JPH02250423A - Semiconductor integrated circuit - Google Patents

Semiconductor integrated circuit

Info

Publication number
JPH02250423A
JPH02250423A JP1072136A JP7213689A JPH02250423A JP H02250423 A JPH02250423 A JP H02250423A JP 1072136 A JP1072136 A JP 1072136A JP 7213689 A JP7213689 A JP 7213689A JP H02250423 A JPH02250423 A JP H02250423A
Authority
JP
Japan
Prior art keywords
signal
latch circuit
circuit
data signal
flip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP1072136A
Other languages
Japanese (ja)
Inventor
Shinichi Miyazaki
伸一 宮崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC IC Microcomputer Systems Co Ltd
Original Assignee
NEC IC Microcomputer Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC IC Microcomputer Systems Co Ltd filed Critical NEC IC Microcomputer Systems Co Ltd
Priority to JP1072136A priority Critical patent/JPH02250423A/en
Publication of JPH02250423A publication Critical patent/JPH02250423A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To relieve the labor of a detailed calculation of delay of data signal by providing a 2nd latch circuit to a pre-stage of a 1st latch circuit so that a flip-flop circuit latches a logic state before a data signal changes. CONSTITUTION:When a data signal DT fetched by a latch circuit 7 reaches a transfer gate 3 of a latch circuit 2 of a next stage, the transfer gate 3 is already conductive and the latch circuit 2 latches a logic value of the data signal DT latched by the latch circuit 7 before block signal CL rises. Then since a 3rd stage latch circuit 2a latches the signal at the trailing of the clock signal CL, the data signal DT with a logic value latched in the latch circuit 7 before the clock signal CL rises is outputted to an output terminal 6 of the flip-flop circuit. Thus, even when the data signal DT changes as soon as the clock signal CL rises, the logic value of the output signal Q is confirmed and the labor of delay the detailed calculation of delay of the logic signal is relieved.

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は半導体集積回路に関し、特にゲートアレイ方式
やスタンダードセル方式などで設計されるフリップフロ
71回路を有する半導体集積回路に関する。
DETAILED DESCRIPTION OF THE INVENTION [Field of Industrial Application] The present invention relates to a semiconductor integrated circuit, and particularly to a semiconductor integrated circuit having a flip-flop 71 circuit designed by a gate array method, a standard cell method, or the like.

〔従来の技術〕[Conventional technology]

従来、この種の半導体集積回路では、フリップフロップ
回路として、第3図に示すように、データ入力端子1を
データ信号DTの入力端とする2個のラッチ回路2,2
.を直列に接続して構成されていて、クロック入力端子
5へのクロック信号CLの立上り時に、データ入力端子
1に印加されているデータ信号DTの論理値を保持する
ようになっていた。
Conventionally, in this type of semiconductor integrated circuit, as a flip-flop circuit, two latch circuits 2, 2 each having a data input terminal 1 as an input terminal for a data signal DT, as shown in FIG.
.. are connected in series, and when the clock signal CL to the clock input terminal 5 rises, the logic value of the data signal DT applied to the data input terminal 1 is held.

〔発明が解決しようとする課題〕[Problem to be solved by the invention]

上述した従来の半導体集積回路は、このようなフリップ
フロップ回路を用いてシフトレジスタなどの論理回路を
構成する場合に、フリップフロップ回路へのデータ信号
がクロック信号の立上りとほぼ同時に変化するので、そ
のフリップフロップ回路がデータ信号の変化前の論理値
を保持するか、変化後の論理値を保持するかは、データ
信号とクロック信号との信号間の微妙な時間差により決
定される。従って、LSIの使用環境又は製造のばらつ
きにより、その論理動作が不安定となり、論理回路設計
時に回路構成−上の制約を受けたり、詳細な論理信号の
遅延計算を必要とする等の手間がかかるという欠点があ
る。
In the conventional semiconductor integrated circuit described above, when a logic circuit such as a shift register is constructed using such a flip-flop circuit, the data signal to the flip-flop circuit changes almost simultaneously with the rising edge of the clock signal, so Whether the flip-flop circuit holds the logic value before the change in the data signal or the logic value after the change is determined by the subtle time difference between the data signal and the clock signal. Therefore, due to variations in the usage environment or manufacturing of LSIs, their logic operation becomes unstable, and when designing logic circuits, they are subject to circuit configuration constraints and require detailed logic signal delay calculations, which is time-consuming. There is a drawback.

〔課題を解決するための手段〕[Means to solve the problem]

本発明の半導体集積回路は、データ信号がクロック信号
とほぼ同時に変化する論理構成を持つ直列接続された2
個の第1のラッチ回路から成るフリップフロップ回路を
備える半導体集積回路において、前記フリップフロップ
回路が前記データ信号の変化前の論理状態を保持するよ
う前記第1のラッチ回路の前段に第2のラッチ回路を有
している。
The semiconductor integrated circuit of the present invention has two series-connected circuits having a logical configuration in which a data signal changes almost simultaneously with a clock signal.
In a semiconductor integrated circuit including a flip-flop circuit including a plurality of first latch circuits, a second latch circuit is provided at a stage preceding the first latch circuit so that the flip-flop circuit maintains a logic state before the change of the data signal. It has a circuit.

〔実施例〕〔Example〕

次に、本発明について図面を参照して説明する。 Next, the present invention will be explained with reference to the drawings.

第1図は本発明の一実施例の回路図である。FIG. 1 is a circuit diagram of an embodiment of the present invention.

第1図に示すように、半導体集積回路上に構成されたフ
リップフロップ回路は、前述した第3図の半導体集積回
路におけるフリップフロップ回路は、前述した第3図の
半導体集積回路におけるフリップフロップ回路の2段の
第1のラッチ回路2.2.に、データ入力端子1とラッ
チ回路2との間に第2のラッチ回路7を追加して構成さ
れる。ここで、ラッチ回路7はクロック入力端子5に入
力されるクロック信号CLの立下り時に、データ入力端
子1へ印加されたデータ信号DTの論理値を保持する。
As shown in FIG. 1, a flip-flop circuit configured on a semiconductor integrated circuit is a flip-flop circuit configured on a semiconductor integrated circuit as shown in FIG. Two-stage first latch circuit 2.2. In addition, a second latch circuit 7 is added between the data input terminal 1 and the latch circuit 2. Here, the latch circuit 7 holds the logical value of the data signal DT applied to the data input terminal 1 when the clock signal CL input to the clock input terminal 5 falls.

第2図は第1図の実施例の動作を説明するための波形図
である。以下に、第1の実施例の動作について第2図を
参照して説明する。
FIG. 2 is a waveform diagram for explaining the operation of the embodiment shown in FIG. The operation of the first embodiment will be explained below with reference to FIG.

第1図の実施例において、クロック入力端子5へ入力さ
れるクロック信号CLの立上りと同時にデータ信号DT
を、第2図に示す時間tDに変化させた場合、第1段目
のラッチ回路7のトラスファーゲート8は導通状態とな
り、データ入力端子1上のデータ信号DTがラッチ回路
7内に取込まれる。しかし、ラッチ回路7に取込まれた
データ信号DTが次段のラッチ回路2のトランスファー
ゲート3に到達する時、そのトランスファーゲート3は
すてに導通状態にあり、ラッチ回路2はラッチ回路7が
クロック信号CLの立上る以前に保持していたデータ信
号DTの論理値を保持し、クロック信号CLの立下り時
、第3段目のラッチ回路2.がその信号を保持するなめ
、このフリップフロップ回路の出力端子6にはクロック
信号CLが立上る以前のラッチ回路7に保持された論理
値のデータ信号DTが出力される。
In the embodiment shown in FIG. 1, at the same time as the clock signal CL input to the clock input terminal 5 rises, the data signal DT
When is changed to time tD shown in FIG. It will be done. However, when the data signal DT taken into the latch circuit 7 reaches the transfer gate 3 of the latch circuit 2 at the next stage, the transfer gate 3 is already in a conductive state, and the latch circuit 2 is in the latch circuit 7. The logic value of the data signal DT held before the rise of the clock signal CL is held, and when the clock signal CL falls, the third stage latch circuit 2. Since the flip-flop circuit holds this signal, the data signal DT of the logic value held in the latch circuit 7 before the clock signal CL rises is outputted to the output terminal 6 of this flip-flop circuit.

このように本実施例は、第2図に示す時間1gにおける
データ信号DTとクロック信号との状態のよう、に、ク
ロック信号CLの立下り時、ラッチ回路7のデータ信号
DTがラッチ回路7に保持され、次のクロック信号CL
の立上り時、出力端子6からの出力信号Qとして得るこ
とができ、データ信号DTがクロック信号CLの立上り
と同時に変化しても、出力信号Qの論理値は確定できる
In this way, in this embodiment, as shown in the state of the data signal DT and the clock signal at time 1g shown in FIG. is held and the next clock signal CL
The logic value of the output signal Q can be determined even if the data signal DT changes at the same time as the rise of the clock signal CL.

〔発明の効果〕〔Effect of the invention〕

以上説明したように本発明は、シフトレジスタなどクロ
ック信号の立上りとほぼ同時に、データ信号が変化する
論理を構成する場合、ラッチ回路2段で構成したフリッ
プフロップ回路とデータ入力端子の間に更に1段のラッ
チ回路を挿入することにより、クロック信号の立下り時
にデータ入力端子に印加されたデータ信号の論理値を、
次のクロック信号の立上り時に、データ入力端子へのデ
ータ信号の論理値に無関係に、出力信号として得ること
ができるので、論理回路設計時の論理構成上の制約を減
少させ、詳細なデータ信号の遅延計算の手間を低減でき
る効果がある。
As explained above, in the case of configuring a logic in which a data signal changes almost simultaneously with the rise of a clock signal, such as a shift register, the present invention provides an additional layer between a flip-flop circuit composed of two stages of latch circuits and a data input terminal. By inserting a stage latch circuit, the logical value of the data signal applied to the data input terminal at the falling edge of the clock signal can be
When the next clock signal rises, it can be obtained as an output signal regardless of the logic value of the data signal to the data input terminal, reducing constraints on the logic configuration when designing logic circuits, and allowing detailed data signals to be output. This has the effect of reducing the time and effort required for delay calculations.

【図面の簡単な説明】[Brief explanation of drawings]

第1図は本発明の一実施例の回路図、第2図は第1図の
実施例の動作を説明するための波形図、第3図は従来の
半導体集積回路の一例の回路図である。 1・・・データ入力端子、2,2..7・・・ラッチ回
路、3.8・・・トランスファーゲート、4,9・・・
インバータ、5・・・クロック入力端子、6・・・出力
端子、CL・・・クロック信号、DT・・・データ信号
、Q・・・出力信号。
FIG. 1 is a circuit diagram of an embodiment of the present invention, FIG. 2 is a waveform diagram for explaining the operation of the embodiment of FIG. 1, and FIG. 3 is a circuit diagram of an example of a conventional semiconductor integrated circuit. . 1...Data input terminal, 2,2. .. 7...Latch circuit, 3.8...Transfer gate, 4,9...
Inverter, 5...clock input terminal, 6...output terminal, CL...clock signal, DT...data signal, Q...output signal.

Claims (1)

【特許請求の範囲】[Claims] データ信号がクロック信号とほぼ同時に変化する論理構
成を持つ直列接続された2個の第1のラッチ回路から成
るフリップフロップ回路を備える半導体集積回路におい
て、前記フリップフロップ回路が前記データ信号の変化
前の論理状態を保持するよう前記第1のラッチ回路の前
段に第2のラッチ回路を有することを特徴とする半導体
集積回路。
In a semiconductor integrated circuit comprising a flip-flop circuit consisting of two first latch circuits connected in series and having a logic configuration in which a data signal changes almost simultaneously with a clock signal, the flip-flop circuit A semiconductor integrated circuit comprising a second latch circuit at a stage preceding the first latch circuit to maintain a logic state.
JP1072136A 1989-03-23 1989-03-23 Semiconductor integrated circuit Pending JPH02250423A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP1072136A JPH02250423A (en) 1989-03-23 1989-03-23 Semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP1072136A JPH02250423A (en) 1989-03-23 1989-03-23 Semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JPH02250423A true JPH02250423A (en) 1990-10-08

Family

ID=13480572

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1072136A Pending JPH02250423A (en) 1989-03-23 1989-03-23 Semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JPH02250423A (en)

Similar Documents

Publication Publication Date Title
US6674307B2 (en) General-purpose logic module and cell using the same
US6552572B1 (en) Clock gating cell for use in a cell library
US5546035A (en) Latch circuit having a logical operation function
US6434727B1 (en) Methods of making hard macro cell using timing interval
US20020036935A1 (en) Programmable high speed frequency divider
JPH02250423A (en) Semiconductor integrated circuit
US10979034B1 (en) Method and apparatus for multi-voltage domain sequential elements
US5903570A (en) Timing circuit using handshake connections
JPH05325586A (en) Semiconductor integrated circuit and flip-flop
JPH03175720A (en) Semiconductor integrated circuit
Nuss A New Paradigm for Synchronous State Machine Design in Verilog
JPH02265268A (en) Designing method of sequential logic network
JP3236235B2 (en) Toggle flip-flop
JP2567463B2 (en) Programmable input / output circuit
JPH05315900A (en) Flip-flop circuit
JPH0918299A (en) Pulse width correction circuit
JPH08212794A (en) Shift register
JP2964799B2 (en) Semiconductor integrated circuit
JPS6137718B2 (en)
JPH02105396A (en) Shift register
JPH0583090A (en) Flip-flop circuit
JPS61153731A (en) Data delaying device
JPH05327423A (en) Semiconductor integrated circuit
JPH0536897A (en) Semiconductor integrated circuit
JPH05218360A (en) Gate array