JP7465912B2 - 半導体製造プロセスの条件を決定するための方法およびコンピュータプログラム - Google Patents

半導体製造プロセスの条件を決定するための方法およびコンピュータプログラム Download PDF

Info

Publication number
JP7465912B2
JP7465912B2 JP2022109683A JP2022109683A JP7465912B2 JP 7465912 B2 JP7465912 B2 JP 7465912B2 JP 2022109683 A JP2022109683 A JP 2022109683A JP 2022109683 A JP2022109683 A JP 2022109683A JP 7465912 B2 JP7465912 B2 JP 7465912B2
Authority
JP
Japan
Prior art keywords
alignment
substrate
weights
measurements
overlay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022109683A
Other languages
English (en)
Other versions
JP2022164661A (ja
Inventor
ティンネマンス、パトリシウス、アロイシウス、ヤコブス
フルセボス、エド、マリア
メーヘンス、ヘンリクス、ヨハネス、ランベルトス
エルダマール、アーメット、コーレイ
フェルヘース、ローク、ヨハネス、ペトルス
ロウロフス、ウィレム、セイン、クリスチアヌ
デ フェン、ウェンディ、ヨハンナ、マルティナ ファン
ヤグビザデ、ハディ
セクリ、ハッキ、エルグン
ブリンクホフ、ラルフ
ヴー、トラン、タン、トゥイ
グーセン、マイケル、ロベルト
ティー ヴェストエインデ、マーイケ ファン
コウ、ウェイティアン
レイプストラ、マナウク
コックス、マテイス
ビュネン、フランシスクス、ゴデフリドゥス、キャスパー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/EP2018/073663 external-priority patent/WO2019063245A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2022164661A publication Critical patent/JP2022164661A/ja
Application granted granted Critical
Publication of JP7465912B2 publication Critical patent/JP7465912B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7019Calibration
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

本発明は、デバイスを製造するためのリソグラフィ方法に関する。より詳細には、本発明は、リソグラフィ法における基板のアライメントのための測定方法に関する。
所望のパターンを基板、通常は基板のターゲット部分に適用するためにリソグラフィ方法が使用されている。リソグラフィは、例えば、集積回路(IC)の製造に使用することができる。そのような場合、マスク又はレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層上に形成される回路パターンを生成することができる。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、1つ又はいくつかのダイの一部を含む)に転写することができる。パターンの転写は、典型的には、基板上に提供された放射感応性材料(レジスト)の層へのイメージングを介して行われる。一般に、単一の基板は、連続的にパターン化される隣接するターゲット部分のネットワークを含む。従来のリソグラフィ装置は、パターン全体を一度にターゲット部分に露光することによって各ターゲット部分が照射されるいわゆるステッパと、放射ビームを通してパターンを特定の方向(「スキャン」方向)にスキャンすることによって各々のターゲット部分が照射しながら、基板をこの方向に平行又は反平行に同期してスキャンするいわゆるスキャナとを含む。パターンを基板にインプリントすることにより、パターン化デバイスから基板にパターンを転写することもできる。
通常、製造された集積回路は、異なるパターンを含む複数の層を含み、各層は、上記のような露光プロセスを使用して生成される。製造される集積回路の適切な動作を保証するために、連続的に露出される層は、互いに適切に位置合わせされる必要がある。これを実現するために、基板には通常、複数のいわゆるアライメントマーク(アライメントターゲットとも呼ばれる)が設けられており、それにより、アライメントマークの位置を使用して、以前に露光されたパターンの位置を決定又は推定する。したがって、後続の層を露光する前に、アライメントマークの位置が決定され、以前に露光されたパターンの位置を決定するために使用される。典型的には、そのようなアライメントマークの位置を決定するために、例えば、アライメントセンサが適用される。アライメントセンサは、放射ビームをアライメントマーク又はターゲットに投影し、反射された放射ビームに基づいて、アライメントマークの位置を決定するように構成されている。スキャナでは、アライメントマーカーはスキャナーアライメントシステムによって読み取られ、スキャナによって提供されるパターン化ステップの影響を受けたときに、基板上の各フィールドを適切に配置するために役立つ。理想的には、アライメントマークの測定位置は、マークの実際の位置に対応する。
しかしながら、さまざまな原因により、アライメントマークの測定位置と実際の位置がずれる場合がある。特に、アライメントマークの変形により、前述のずれが生じる可能性がある。このような変形は、例えば、基板の処理、例えばエッチング、化学機械研磨(CMP)、又は最適ではない(sub-optimal)マーカー位置の決定につながる層の堆積によって引き起こされる。その結果、以前に露光されたパターンと整列していない、つまり位置合わせされていない位置に層が投影又は露光され、いわゆるオーバーレイエラーが発生する可能性がある。
本発明の一側面によれば、発明は、基板の特性を測定するように構成されたセンサシステムの動作パラメータの1つ又は複数の最適化された値を決定するための方法であって、複数の基板について品質パラメータを決定し、動作パラメータの複数の値について、センサシステムを使用して得られた複数の基板の測定パラメータを決定し、品質パラメータの基板間のばらつきと、測定パラメータのマッピングの基板間のばらつきとを比較し、比較に基づいて、動作パラメータの1つ以上の最適化された値を決定する。
マッピングは、重み付け合計、非線形マッピング、又は機械学習方法に基づくトレーニングされたマッピングである。
方法は、比較に基づいて、動作パラメータの第1の値に関連する測定パラメータの重み付けの重み係数と、動作パラメータの第2の値に関連する測定データとの最適なセットを決定することを含む。
品質パラメータは、オーバーレイ又はフォーカスパラメータである。
測定パラメータは、複数の基板に提供される特性の位置、又は基板上の所定位置の面外偏差である。
動作パラメータは、センサシステムの光源に関連するパラメータである。動作パラメータは、波長、偏光状態、空間コヒーレンス状態、又は光源の一時的コヒーレンス状態である。
品質パラメータは、計測システムを使用して決定される。品質パラメータは、コンテキスト情報、測定データ、再構成されたデータ、ハイブリッド計測データのいずれかに基づいて品質パラメータを予測するシミュレーションモデルを使用して決定される。
動作パラメータの最適化された値は、測定パラメータの第1の座標に関連する第1の値のセットと、測定パラメータの第2の座標に関連する第2の値のセットとを含む。
方法は、マークの第1の優先方向に平行な第3の座標を決定し、マークの第2の優先方向に平行な第4の座標を決定し、第3の座標に関連する動作パラメータの第3の最適化値のセットを決定することをさらに含むことができる。第4の座標に関連する動作パラメータの第4の最適化された値のセットは、第3及び第4の座標から第1及び第2の座標への変換を決定し、決定された変換を使用して、第3及び第4の座標における動作パラメータの決定された最適化された値を、第1及び第2の座標における動作パラメータの最適化された値に変換する。
動作パラメータの第1の値は、動作パラメータの第2の値とは無関係に最適化され得る。
いくつかの実施形態では、比較に基づいて動作パラメータの1つ又は複数の最適化された値を決定することは、基板の異なるゾーンに対して実行されてもよい。異なるゾーンは、基板のエッジに近接するゾーンと、基板のセンターに近接するゾーンとを含み得る。各ゾーンは、基板に適用された1つ以上のアライメントマークを含み得る。各ゾーンは、基板に適用された複数のアライメントマークの個々のアライメントマークに対応することができる。
いくつかの実施形態では、測定パラメータはマークの測定位置であり、品質パラメータはマークからデバイスへのシフトであり、動作パラメータの最適化された値は、基板間のばらつきが最小になるように品質パラメータを最適化するように決定される。動作パラメータは、放射源に関連するパラメータであり、放射源からの放射は基板に向けられ、動作パラメータの最適化された値は、動作パラメータを利用して得られる測定値を調整するための重み付けを適用することによって決定される。基板に向けられた放射源からの放射は、基板をターゲットとした後、センサシステムによって収集されてもよい。重み付けは、基板に放射を向けるため、及び/又はセンサシステムによって放射を収集するために使用されるレンズのレンズ加熱効果を含み得る。この方法は、意図的なマークからデバイスへのシフトが適用されたサブセグメント化されたマークを有する基板から得られた測定値を使用してサブセグメント化されたマークを測定するための動作パラメータの重みを決定し、動作パラメータのマークからデバイスへのシフトの感度を決定することをさらに含むことができる。
いくつかの実施形態では、方法は、基板の処理を制御するために利用される計測システムの動作パラメータを最適化するために使用されてもよい。センサシステムは、処理前に基板の第1の特性を測定するように構成された第1の測定システムに関連付けられた第1のセンサシステムと、処理後に基板の第2の特性を測定するように構成された第2の測定システムに関連付けられた第2のセンサシステムとを含み得る。この方法は、動作パラメータの複数の値について第1のセンサシステムを使用して得られた複数の基板の測定パラメータの第1のセットを決定することを含むことができる。動作パラメータの複数の値について第2のセンサシステムを使用して得られた複数の基板の測定パラメータの第2のセットを決定すること、そして、測定パラメータの第1および第2のセットのそれぞれについて、品質パラメータの基板ごとの変動および測定パラメータのマッピングの基板ごとの変動を比較する。動作パラメータの1つまたは複数の最適化された値の決定は、第1の測定システムに関連する動作パラメータの第1のセットおよび第2の測定システムに関連する動作パラメータの第2のセットを同時に最適化することを含むことができ、最適化は基板対基板の第2の特性の変動を軽減する。品質パラメータは、処理後の基板の測定された第2の特性から決定されたオーバーレイであり得る。
第2の側面によれば、本発明は、半導体製造プロセスの条件を決定するための方法を含む。この方法は、本発明の第1の側面に従って動作パラメータの最適化された値を決定し、決定された動作パラメータを基準動作パラメータと比較し、比較に基づいて条件を決定する。
第3の側面によれば、本発明は、基板の特性を測定するように構成されたセンサシステムからの測定データを最適化する方法を含む。方法は、複数の基板のオーバーレイデータを取得することを含む。オーバーレイは、基板上のアライメントマーカーの測定位置と予想位置との間の偏差を表し、センサシステムによって行われたアライメントマーカー位置の複数の測定値を含み、複数の測定値のそれぞれは、センサシステムの異なる動作パラメータを利用する。この方法はさらに、取得されたオーバーレイデータに基づいて、異なる動作パラメータのそれぞれについて、オーバーレイを最小にするために異なる動作パラメータのすべてについてのセンサシステムによる測定値に対する加重調整が組み合わされるように、動作パラメータを利用して取得された測定値を調整するための重みを決定することを含む。
動作パラメータは、センサシステムからの放射源に関連するパラメータであり得る。動作パラメータは、光源の波長、偏光状態、空間コヒーレンス状態または時間コヒーレンス状態であり得る。
別の態様によれば、本発明は、集積回路ウェハ内の層を位置合わせする方法を含む。この方法は、センサシステムを使用して、前記ウェハ上の位置合わせマーカの複数の位置測定値を取得することを含み、複数の測定値のそれぞれは、異なる動作パラメータを利用する。複数のアライメントマーク位置測定のそれぞれについて、位置偏差は、予想されるアライメントマーク位置と測定されたアライメントマーク位置との差として決定され、測定されたアライメントマーク位置は、それぞれのアライメントマーク位置測定に基づいて決定される。位置偏差の考えられる原因として関数のセットが定義され、関数のセットは、基板の変形を表す基板変形関数と、1つ又は複数のアライメントマークの変形を表す少なくとも1つのマーク変形関数を含む。マトリックス方程式PD=M*Fが生成され、これにより、位置偏差を含むベクトルPDは、重み付け組み合わせと等しくセットされ、基板変形関数を含むベクトルFの重み係数マトリックスMで表され、これにより、少なくとも1つのマーク変形関数に関連する重み係数は、適用されたアライメント測定に応じて変化する。マトリックスMの重み係数の値は、複数の基板について得られたオーバーレイに基づいて決定され、オーバーレイは、アライメントマーカーの測定位置と予想位置との間の偏差を表し、異なる動作パラメータを用いてセンサシステムによって行われたアライメントマーカ位置の複数の測定値を含み、測定値を調整する重みは、オーバーレイを最小にするように測定値に対する加重調整が組み合わされるように、異なる動作パラメータを用いて取得される。行列Mの逆行列または疑似逆行列が決定され、それにより、基板変形関数の値を、位置偏差の重み付けされた組み合わせとして得る。基板変形関数の値は、パターン化された放射ビームを用いてターゲット部分の位置合わせを実行するために適用される。
例示的な実施形態が、添付の図面を参照して本明細書で説明される。
本発明の一実施形態によるリソグラフィ装置を示す図である。 異なる測定パラメータを適用した場合のいくつかの可能なアライメント測定結果を示す。 基板上で測定を行うときに、センサの異なる動作パラメータがどのように影響を受けるかを示す。 マークの変形によって異なる動作パラメータがどのように影響を受けるかを示すグラフである。 異なるタイプのマーク変形を有するマーカーを示す図である。 ウェハの位置合わせ、露光及びオーバーレイ測定プロセスを概略的に示すフロー図である。 別のウェハの位置合わせ、露光及びオーバーレイ測定プロセスを概略的に示すフロー図である。 図7a-cは、製品とマークのシフトが放射線の異なる色に対してどのように変化するかを示すグラフである。 マークからデバイスへのシフトに対する感度をどのように校正できるかを示すグラフである。 ウェハ全体のアラインメントマークの非対称性を示すプロットである。 図10aは、アクティブカラーが近赤外線(NIR)であるウェハマップの製品上オーバーレイを示すプロットである。図10bは、2色の重み付けを使用した同じウェハの製品上オーバーレイウェハマップを示す。図10cは、図10aと10bのプロット間の違いを示す。 図11a及び図11bは2つのグラフで、1つはウェハのエッジのマーク、もう1つはセンターのマークであり、2つの直交する方向において異なる二色重み付けに応じてオーバーレイエラーがどのように異なるかを示す。 複数の異なる色、モデル、及びレイアウトを使用して、アライメント補正のOCWを決定し、複数の周波数、モデル、及びレイアウトを使用してオーバーレイ補正を決定するプロセスを概略的に示す。 アライメント補正とオーバーレイ補正の両方の最適な組み合わせを決定するプロセスを概略的に示す。 2組の格子を含むアライメントマークを示している。 本明細書に記載された実施形態を利用する際に使用され得るコンピュータシステムを示すブロック図である。
本発明の実施形態に適用される原理の理解を助けるために、まず、リソグラフィ装置と、これがどのように使用されるかについて図1を参照して説明する。
図1は、本発明の一実施形態によるリソグラフィ装置を概略的に示している。装置は、放射ビームB(例えば、UV放射又は他の適切な放射)を調整するように構成された照明システム(照明器)IL、及びパターニングデバイス(例えばマスク)MAを支持するように構成され、パターニングデバイスを、特定のパラメータにしたがって正確に位置決めするように構成された第1の位置決めデバイスPMに接続されたマスク支持構造(例えばマスクテーブル)MTを含む。装置は、基板(例えば、レジストコーティングされたウェハ)Wを保持するように構成され、特定のパラメータに従って基板を正確に位置決めするように構成される第2の位置決めデバイスPWに接続される、基板テーブル(例えば、ウエハテーブル)WT又は「基板サポート」も含む。装置はさらに、パターニングデバイスMAによって放射ビームBに与えられたパターンを基板Wのターゲット部分C(たとえば1つ又は複数のダイを含む)に投影するように構成された投影システム(たとえば屈折投影レンズシステム)PSを含む。
照明システムは、放射を方向付け、成形、又は制御するための、屈折、反射、磁気、電磁気、静電又は他のタイプの光学コンポーネント、又はそれらの任意の組み合わせなどの様々なタイプの光学コンポーネントを含み得る。
マスク支持構造は、パターニングデバイスを支持する、すなわち、その重量を支える。それは、パターニングデバイスの向き、リソグラフィ装置の設計、及び例えばパターニングデバイスが真空環境で保持されているかどうかなどの他の条件に依存する方法でパターニングデバイスを保持する。マスク支持構造は、機械式、真空式、静電式又はその他のクランプ技術を使用して、パターニングデバイスを保持することができる。マスク支持構造は、例えば、必要に応じて固定又は可動式にすることができるフレーム又はテーブルとすることができる。マスク支持構造は、例えば投影システムに対して、パターニングデバイスが確実に所望の位置にあるようにすることができる。本明細書における「レチクル」又は「マスク」という用語の使用はすべて、より一般的な「パターニングデバイス」という用語の同義語と見なすことができる。
本明細書で使用される「パターニングデバイス」という用語は、基板のターゲット部分にパターンを作成するために放射ビームに断面のパターンを与えるために使用できる任意のデバイスを指すと広く解釈されるべきである。放射ビームに与えられたパターンは、例えば、パターンが位相シフト機能又はいわゆるアシスト機能を含む場合、基板のターゲット部分の所望のパターンに正確に対応しない場合があることに留意すべきである。一般に、放射ビームに与えられたパターンは、集積回路などのターゲット部分に作成されるデバイスの特定の機能層に対応する。
パターニングデバイスは、透過性又は反射性であり得る。パターニングデバイスの例には、マスク、プログラム可能なミラーアレイ、及びプログラム可能なLCDパネルが含まれる。マスクはリソグラフィでよく知られており、バイナリ、交互位相シフト、減衰位相シフトなどのマスクタイプや、さまざまなハイブリッドマスクタイプが含まれる。プログラム可能なミラーアレイの例では、小さなミラーのマトリックス配置を使用し、各ミラーは、入射する放射ビームを異なる方向に反射するように個別に傾けることができる。傾斜したミラーは、ミラーマトリックスによって反射される放射ビームにパターンを与える。
パターニングデバイスは、透過性または反射性であり得る。パターニングデバイスの例には、マスク、プログラム可能なミラーアレイ、およびプログラム可能なLCDパネルが含まれる。マスクはリソグラフィでよく知られており、バイナリ、交互位相シフト、減衰位相シフトなどのマスクタイプや、さまざまなハイブリッドマスクタイプが含まれる。プログラム可能なミラーアレイの例では、小さなミラーのマトリックス配置を使用し、各ミラーは、入射する放射ビームを異なる方向に反射するように個別に傾けることができる。傾斜したミラーは、ミラーマトリックスによって反射される放射ビームにパターンを与える。
本明細書で使用される「投影システム」という用語は、使用される露光放射又は液浸液の使用、若しくは真空の使用のような他の要素に適切な、屈折、反射、反射屈折、磁気、電磁気及び静電気光学システム、又はそれらの任意の組み合わせを含む任意のタイプの投影システムを含むと広く解釈されるべきである。本明細書における「投影レンズ」という用語の使用はすべて、より一般的な「投影システム」という用語の同義語と見なすことができる。
ここで示すように、装置は透過タイプである(例えば透過マスクを使用する)。あるいは、装置は、反射型(例えば、上記で言及したようなタイプのプログラム可能ミラーアレイを使用するか、又は反射マスクを使用する)であってもよい。
リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル又は「基板サポート」(及び/又は2つ以上のマスクテーブル又は「マスクサポート」)を有するタイプのものとすることができる。そのような「多段」機械では、追加のテーブル又はサポートを並行して使用するか、1つ以上の他のテーブル又はサポートを露光に使用しながら、準備ステップを1つ以上のテーブル又はサポートで実行できる。
リソグラフィ装置は、基板の少なくとも一部が、例えば水のような比較的高い屈折率を有する液体で投影システムと基板の間の空間を満たすように覆われるタイプのものでもよい。液浸液は、例えばマスクと投影システムの間など、リソグラフィ装置の他の空間に適用することもできる。液浸技術を使用して、投影システムの開口数を増やすことができる。本明細書で使用する「液浸」という用語は、基板などの構造を液体に沈めなければならないことを意味するのではなく、露光中に液体が投影システムと基板の間にあることを意味するだけである。
図1を参照すると、イルミネータILは、放射源SOから放射ビームを受け取る。放射源とリソグラフィ装置は、例えば、放射源がエキシマレーザである場合、別個の存在であってもよい。そのような場合、放射源は、リソグラフィ装置の一部を形成するとは見なされず、放射ビームは、例えば、適切な誘導ミラー及び/又はビームエキスパンダーを含むビーム送出システムBDの助けを借りて、放射源SOからイルミネータILに渡される。他の場合では、例えば、放射源が水銀ランプである場合、放射源は、リソグラフィ装置の一体部分であってもよい。放射源SO及びイルミネータILは、必要であればビームデリバリシステムBDとともに、放射システムと呼ばれることがある。
イルミネータILは、放射ビームの角強度分布を調整するように構成されたアジャスタADを含むことができる。一般に、イルミネータの瞳面における強度分布の少なくとも外側及び/又は内側半径範囲(一般にそれぞれσ-outer及びσ-innerと呼ばれる)を調整することができる。さらに、イルミネータILは、インテグレータIN及びコンデンサCOなどの様々な他のコンポーネントを含むことができる。イルミネータを使用して、放射ビームを調整し、その断面に所望の均一性及び強度分布を持たせることができる。
放射ビームBは、マスク支持構造(例えば、マスクテーブルMT)上に保持されているパターニングデバイス(例えば、マスクMA)に入射し、パターニングデバイスによってパターン形成される。マスクMAを通過すると、放射ビームBは、ビームを基板Wのターゲット部分Cに集束させる投影システムPSを通過する。第2位置決めデバイスPW及び位置センサIF(例えば、干渉デバイス、リニアエンコーダ又は静電容量式センサ)の助けにより、異なるターゲット部分Cを放射線ビームBの経路上に位置決めするように、基板テーブルWTを正確に移動させられる。同様に、第1位置決めデバイスPM及び別の位置センサ(図1には明示的に示されていない)を使用して、例えばマスクライブラリからの機械的検索後、又はスキャン中に、マスクMAを放射ビームの経路に対して正確に位置決めすることができる。一般に、マスクテーブルMTの移動は、第1位置決めデバイスPMの一部を形成するロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を利用して実現することができる。同様に、基板テーブルWT又は「基板サポート」の移動は、第2ポジショナPWの一部を形成するロングストロークモジュール及びショートストロークモジュールを使用して実現することができる。(スキャナとは対照的に)ステッパの場合、マスクテーブルMTは、ショートストロークアクチュエータのみに接続されてもよく、又は固定されてもよい。マスクMA及び基板Wは、マスクアライメントマークM1、M2及び基板アライメントマークP1、P2を使用して位置合わせすることができる。図示の基板アライメントマークは専用のターゲット部分を占めるが、それらはターゲット部分間のスペースに配置されてもよい(これらはスクライブレーンアライメントマークとして知られている)。同様に、2つ以上のダイがマスクMA上に提供される状況では、マスクアライメントマークは、ダイの間に配置され得る。
図示の装置は、以下のモードの少なくとも1つで使用することができる:
ステップモードでは、マスクテーブルMT又は「マスクサポート」と基板テーブルWT又は「基板サポート」は基本的に静止したままで、放射ビームに与えられたパターン全体が一度にターゲット部分Cに投影される(つまり、単一の静的露出)。次に、基板テーブルWT又は「基板サポート」は、異なるターゲット部分Cを露光できるように、X及び/又はY方向にシフトされる。ステップモードでは、露光フィールドの最大サイズによって、1回の静的露光で撮像されるターゲット部分Cのサイズが制限される。
スキャンモードでは、マスクテーブルMT又は「マスクサポート」と基板テーブルWT又は「基板サポート」が同期してスキャンされ、放射ビームに与えられたパターンがターゲット部分Cに投影される(つまり、単一動的露光)。マスクテーブルMT又は「マスクサポート」に対する基板テーブルWT又は「基板サポート」の速度及び方向は、投影システムPSの(縮小)倍率及び像反転特性によって決定することができる。スキャンモードでは、露光フィールドの最大サイズによって、単一の動的露光におけるターゲット部分の幅(非スキャン方向)が制限される。一方、スキャン動作の長さによって、(スキャン方向の)ターゲット部分の高さが決まる。
別のモードでは、マスクテーブルMT又は「マスクサポート」がプログラム可能なパターニングデバイスを保持して本質的に静止し、放射ビームに与えられたパターンがターゲット部分Cに投影されている間、基板テーブルWT又は「基板サポート」が移動又はスキャンされる。このモードでは、一般にパルス放射線源が使用され、プログラム可能なパターニングデバイスは、基板テーブルWT又は「基板サポート」の各移動後、又はスキャン中の連続する放射線パルスの間に必要に応じて更新される。この動作モードは、上で言及したタイプのプログラム可能ミラーアレイなどのプログラム可能パターン化デバイスを利用するマスクレス・リソグラフィに容易に適用できる。
上述の使用モードの組み合わせ及び/又は変形、又は完全に異なる使用モードを使用することもできる。
本発明の実施形態は、通常、基板上に存在する1つ又は複数のアライメントマークの位置を決定するように構成されたアライメントシステムASをさらに備える上記のリソグラフィ装置で使用される。アライメントシステムは、複数の異なる位置合わせ測定を実行するように構成され、それにより、考慮されるアライメントマークの複数の測定されたアライメントマーク位置を取得する。これに関して、特定のアライメントマークに対して異なるアライメント測定を実行することは、異なる測定パラメータ又は特性を使用してアライメント測定を実行することを意味する。そのような異なる測定パラメータ又は特性は、例えば、さまざまな光学特性を使用してアライメント測定を実行することを含む。一例として、本発明によるリソグラフィ装置に適用されるアライメントシステムは、異なる特性又はパラメータを有する複数のアライメントビームを基板上のアライメントマーク位置に投影するように構成されたアライメント投影システムと、基板からの反射ビームに基づいてアライメント位置を決定する。
上述のように、露光ステップ中にウェハが位置合わせされてパターン化された後、ウェハは、パターニングの精度をチェックするために計測される。ウェハ上の前の層内のパターンの位置を基準とした、パターンの実際の(測定された)位置とパターンの所望の位置との間の偏差は、通常、オーバーレイエラー又は単にオーバーレイと呼ばれる。プロセスに関連するオーバーレイエラーは、プロセスの品質を示す良い指標となる。したがって、オーバーレイはプロセスの品質パラメータと見なすことができる。プロセスの品質を示す関連パラメータは、オーバーレイエラーだけではない。また、基板(ウェハ)を露光するときに発生するフォーカスエラーも重要である。オーバーレイエラーは通常、基板の平面内の位置エラーに関連付けられているため、アライメントシステムのパフォーマンスと密接に関連する。フォーカスエラーは、基板の平面に垂直な位置エラーに関連し、リソグラフィ装置の別の測定システム;レベリングシステムの性能に密接に関連する。また、フォーカスエラーは、リソグラフィプロセスの品質パラメータと見なすことができる。
一般に、品質パラメータは計測システム(例えば、オーバーレイエラーを決定するために使用されるスキャトロメーター)によって測定される。しかし、計測システムを使用することに加えて、又はその代わりに、品質パラメータを導出するために予測を使用することもできる。コンテキストデータ(例えば、対象の基板を処理するためにどの処理装置を使用したかに関する知識)、品質データに直接関連しない測定データ(例えば、オーバーレイエラーを予測するために測定されるウェハ形状データ)、仮想測定データが、直接測定された品質パラメータデータの代表として再構成される。多くの場合、この概念は「ハイブリッド計測」と呼ばれ、さまざまなデータソースを組み合わせ、必要に応じてシミュレーションモデルを組み合わせて、対象の品質パラメータ(オーバーレイ及び/又はフォーカスエラー)に関連付けられた計測データを再構築する方法である。代替として、シミュレーションモデルを使用して、コンテキストデータ及び/又は測定データに基づいて品質パラメータを導出することができる。例えば、シミュレーションモデルを利用して、露光前測定(レベリングデータ、アライメントデータ)及びコンテキストデータ(レチクルレイアウト、プロセス情報)に基づいてリソグラフィプロセスを模倣することができる。シミュレーションモデル自体が品質パラメータデータのマップを生成する場合がある(この場合は予測オーバーレイ)。
本開示の意味の範囲内で、アライメントシステムは、少なくとも偏光の違い又はアライメントビームの波長(周波数)内容の違いを含む異なる動作パラメータで動作する。したがって、アライメントシステムは、異なる動作パラメータを使用して(たとえば、異なる色、すなわち周波数/波長を有するアライメントビームを使用して)、アライメントマークの位置を決定することができる。一般に、アライメントシステムによって実行されるそのようなアライメントマーク測定の目的は、次の露光プロセスのターゲット部分(図1に示すターゲット部分Cなど)の位置を決定又は推定することである。口語的に「色」という用語は、特定の測定パラメータ又は測定パラメータのセットを持つビームを指すために使用される。この異なる「色」ビームは、必ずしも可視スペクトル内で異なる色を有するビームである必要はないが、異なる周波数(波長)又は偏光などの他の特性を有することができる。これらのターゲット部分の位置を決定するために、例えば、ターゲット部分を取り囲むスクライブレーンに設けることができるアライメントマークの位置が測定される。測定されたアライメントマークの位置が公称位置又は予想位置からずれている場合、次の露光が行われるはずのターゲット部分にもずれ位置があると想定できる。アライメントマークの測定された位置を使用して、ターゲット部分の実際の位置を決定又は推定することができ、次の露光が適切な位置で確実に実行され、次の露光がターゲット部分に位置合わせされる。
測定されたアライメントマークの位置が予想される位置又は公称位置からずれている場合、これを基板の変形に起因させる傾向がある。基板のそのような変形は、例えば、基板がさらされる様々なプロセスによって引き起こされる。
複数の測定されたアライメントマーク位置が利用可能であり、位置偏差、すなわち予想されるアライメントマーク位置の偏差が決定されると、これらの偏差は、例えば、基板の変形を説明する関数に適合される。これは、例えば(x、y)位置の関数として偏差(Δx、Δy)を表す2次元関数である。そのような関数を使用して、パターンを投影する必要があるターゲット部分の実際の位置を決定又は推定することができる。
アラインメントシステムによって実行されるアラインメント位置測定は、アラインメントマーク自体の変形又は非対称性によって妨げられる可能性がある。言い換えると、アライメントマークの変形により、アライメントマークが変形しない場合と比較して、ずれたアライメントマークの位置計測がなされる。何の対策も講じられていない場合、このようなずれたアライメントマークの位置測定により、アライメントマークの位置が誤って決定される可能性がある。このタイプのずれ、すなわち、アライメントマークの変形によって引き起こされる偏差位置測定は、利用される動作パラメータに依存することがさらに観察された。一例として、異なる周波数を有するアライメントビームを使用してアライメントマーク位置が測定されると、これは異なる結果、すなわち、アライメントマークの異なる測定位置をもたらす可能性がある。
したがって、複数の異なる動作パラメータを使用して、アライメントマークの位置が測定されるとき、例えば、異なる周波数のアライメントビームを使用すると、異なる結果が得られ、例えば測定に基づいて、複数の異なるアライメントマーク位置を取得することができる。
上記から明らかなように、アライメント測定手順の結果は、実際の基板変形の評価、つまり、アライメントマークの実際の位置の評価である必要があり、これは、その後の露光のターゲット部分の実際の位置を決定するために使用できる。
説明した影響、特にアライメントマークの変形の影響を考慮して、測定されたアライメントマークの位置(例えば、一般に「測定パラメータ」と呼ばれる)、つまり、異なる測定から得られたアライメントマークの位置パラメータ)は、実際の(不明な)基板変形と発生した(不明な)マーク変形の両方に影響される。
両方の影響により、予想されるアライメントマークの位置と測定されたアライメントマークの位置がずれる場合がある。したがって、位置偏差が観察される場合、それは、実際の基板変形によって、若しくはアライメントマーク変形によって、又はそれらの組み合わせによって引き起こされる可能性がある。
図2は、いくつかの可能なシナリオを概略的に示す。アライメントマークXの位置を決定するために3つの測定M1、M2、M3が実行されると仮定する。図2(a)は、アライメントマークの公称位置又は予想位置Eと測定位置M1、M2、M3を概略的に示す。図2(a)は、アライメントマークの実際の位置Aをさらに示す。見てわかるように、実行された測定のいずれも、実際の位置偏差(E-A)の正確な表現をもたらさない。
したがって、図2(a)に示すシナリオには、アライメントマークの実際の変位(実際のアライメントマークの位置Aが予想される位置Eとは異なる)とマークの変形が組み合わされて、ずれた測定が生じる。
図2(b)は、測定(M1、M2、M3)、測定パラメータ(この場合は測定された位置)に測定パラメータの期待値(例:位置E)と異なる差異が観察される別のシナリオを示す。実際の位置Aは、予想される位置Eと一致すると想定される。このシナリオでは、測定は、アライメントマークの位置のずれがあることを示すが、実際には無く、つまり、アライメントマークの位置は基板の変形による影響を受けていない。
図2(c)は、3つすべての測定M1、M2、M3が実際の位置Aと一致して一致する3番目のシナリオを概略的に示す。このようなシナリオは、測定に影響を与えるアライメントマークの変形がない場合に発生する可能性がある。
描かれているさまざまなシナリオから明らかなように、実際のアライメントマーク位置の適切な評価に到達するには、マーク変形の影響と基板変形の影響を区別できる必要がある。
本発明は、両方の効果のそのような分離を実現する方法を提供する。一例では、リソグラフィ装置は、両方の効果を分離するために必要な動作を実行するための処理ユニットPU(図1を参照)を含むことができる。したがって、そのような処理ユニットPUは、プロセッサ、マイクロプロセッサ、コンピュータなどを含み得る。
図3は、本発明の背景にある基本的な物理的原理を示す(対象の動作パラメータがアライメントビームの色である場合、「最適色の重み付け(OCW)」の概念と呼ばれることがよくある)。上の図は、理想的な状況では、マルチカラー測定で使用されるすべての色が、幾何学的に完璧な基板34上のマーカー32に対して同じアライメント位置表示30を生成することを示すが、実際には、上記の理由と下の図に示されているように、異なる色は、実際の(すなわち、不完全な)基板38に対して異なる位置表示36をもたらす。
図4は、マークの変形によって異なる色がどのように影響を受けるかを示し、グラフ40に示す各色の位置エラーは、変形の程度(マークの上部傾斜の角度)とともに線形に変化すると仮定できる。その場合、真のマーク位置の最良の指標を提供するものとして単一の色を決定することができるかもしれない。ただし、図5に示すように、複数の異なるタイプのマーク変形が存在する可能性がある場合、単一の色ですべての変形タイプに最適なものを提供することはできない。実際には、マークの変形によって引き起こされるエラーは、層の厚さの変動や測定するマークのタイプだけでなく、異なる色(波長や偏光など)でも異なるスケールになることがわかった。OCWベースの方法は、決定されたマーカーの位置に対するマーカーの変形の影響を最小限に抑えるために使用されるすべての異なる色の最適な組み合わせを決定することを目標としている。
マークの変形を含むプロセスばらつき(PV)により、アライメント位置にばらつきが生じ、ウェハ内及びウェハ間(PV)で色iが変化する。OCWソリューションは、単一の最適な色から離れるが、すべての色(xバー)で位置合わせの位置を定義できる。「重み」wiが各色(xi)に追加され、xiの線形結合に到達して、プロセスのロバストな位置y(yバー)を定義する。
したがって、本発明の実施形態は、製品間のオーバーレイエラーをもたらすプロセスばらつき(PV)のウェハ間の変形によってアライメントマークが変形する問題に対処する。OCWソリューションは以下を含む:
・OCW位置を線形位置xの重み付けされた線形結合として定義する。
・ウェハ間のオーバーレイエラーが最小になるような最適な線形結合をとることにより、プロセス変動に対するyのプロセス感度を最小化する。
・各色/偏光の最適な重みを、オーバーレイデータを使用したトレーニングを使用して決定する。
・好ましくは、オーバーレイデータは、同様の処理を受けたウェハ上で行われた測定から得られ、測定と処理の両方が同じ又は同様の機器を使用して実行する。
オーバーレイデータに基づいて色の重みw(wバー)を決定するために使用される数学的原理は以下の通りである。
・OCW位置yは、測定された色位置x(xバー)の測定値Mの重み付けされた合計値である
Figure 0007465912000001
・所与のN個の測定マーク
Figure 0007465912000002
・重みを最適化して、補正されていないオーバーレイを最小にする
Figure 0007465912000003
ここで、補正されていないオーバーレイ=オーバーレイ-適用されたウェハアライメントであり
・色の重みw(wバー)は、以下で得られる。
Figure 0007465912000004
上述のように、最適色重み付け(OCW)は、ウェハ上のパターンの最小のオーバーレイ変動を達成するためであるかもしれないアライメントレシピにおける最適色重み係数を決定する。マーク上の複数の位置でOCWを決定してもよい。マーク上の位置は、2D座標u、vなどの座標のセットである2次元表現を使用して記述できる。u、v座標のセットは、線形座標であり得る、すなわち、それらは、互いに平行ではない異なる方向を有する2つの軸、u軸及びv軸に関して表される。u軸及びv軸の方向は、それぞれ、u及びv座標の方向と呼ばれることがある。u、v座標は、直交座標又は正規直交座標である。uとvの軸は、マークとは無関係に位置合わせできる。OCWは、以前に取得した位置合わせとオーバーレイデータでトレーニングできる。色の重み係数は、u方向とv方向に個別にトレーニングして適用できる。色の重み係数は、uとvを組み合わせてトレーニングすることもできるが、独立したトレーニングを行うと、オーバーレイのパフォーマンスが向上する。
数学的には、2つの独立した方向の色の重みを決定する1つの実装は、次のようになる:
Figure 0007465912000005
上記の方程式では、オーバーレイを最適化するために重み係数wucol及びwvcolが決定され、OCW決定位置uocw及びvocwが決定される。例えば、公称マーク位置、ウェハ負荷、及びウェハ変形が重み係数の影響を受けないようにするために、色の重みに1つ以上の追加の制限を課してもよい。これは、すべての色の重みの合計が1に等しくなければならないという要件を追加することによって達成できる。つまり、重みは、独立した方向uとvの両方で100%になり、独立した方向uとvについて:
Figure 0007465912000006
上記の実装では、u方向とv方向の色の重みは独立して計算されているが、上記のuとvの計算セットの表記を行列形式の単一の表記に組み合わせることができる:
Figure 0007465912000007
上記のマトリックス表記では、各色ucol、vcolは独自の重みマトリックスWcolを持ち、u方向とv方向の両方の座標の色の重みが含まれる。上記の計算で説明したOCWの実装では、各重み行列Wcolが対角行列であり、つまり、主対角に配置されていない要素はゼロに等しくなる。上記の行列方程式からわかるように、これは、uocwの計算は、Vcolに依存する項を含まず、同様にvocwの計算は、ucoiに依存する項が含まれていないことを示す。したがって、色の重みの計算は、OCWの実装において、uに依存しない。
セグメント別OCW
アライメントマークは、1つ以上の優先方向を有する構造を含み得る。例えば、マークは、図14に示されるようなふるいBFマークであり、その方向は、OCWに使用される座標に整列していない2つの格子を含む。ふるいのBFマークのサブセグメンテーション、つまりそのピッチと方向が座標u、vと一致していない場合、OCWは異なる角度で異なる効果をもたらす可能性があり、OCWの結果は異なるウェハ間の(オーバーレイ)パフォーマンスの安定性の低下につながる。
アライメントマークに優先方向、例えばu、v座標と整列していないマーク構造の優先方向がある場合、新しい代替の座標セットを使用してOCWを実行し、色の重みを決定することが望ましい場合もあり、新しい座標方向は、マークの1つ又は複数の優先方向と一致する。例えば、ふるいBFマークの場合、図14に示されるような格子方向は、新しい座標u’、v’を決定するための優先方向として使用され得る。したがって、いくつかの実装形態では、OCWを実行することは、座標u’、v’の新しいセットを決定することを含み、u’、v’方向は、マークの優先方向、たとえばふるいBFマークのピッチ方向に位置合わせされる。新しい座標u’、v’は、古い座標u、vとは独立して選択できる。セグメントごとにOCWと称されるこの実装では、新しい座標は、上記の通常のOCW方法で説明したようにOCWを実行するために使用される。決定されたOCW位置と色の重みの1つ以上の表現が古い座標セットu、vで必要な場合、新しいセットu’、v’から古いセットu’、v’への座標変換座標は、色の重みが決定された後に実行される。
セグメント法によるOCWを使用してオーバーレイデータに基づいて色の重みを決定するために使用される数学的原理は次の通りである:
φ1及びφ2を、正のuに対して、新しい方向u’、v’の法線の角度とみなす。角度φ1とφ2は同じでなくてもよく、互いに180°の角度を形成しない。つまり、方向u’、v’は平行でなくてもよい。角度φ1とφ2は直交していてもよいし、互いに別の角度を形成していてもよい。
新しい座標と古い座標の関係は、次のように表すことができる:
Figure 0007465912000008
OCWは、u’、v’の色の重みが互いに独立して計算される新しい座標セットを使用して、上記の方法を使用して実行される。
Figure 0007465912000009
座標のセットu、vに関連してu’OCW、v’OCWを表すために、新しい座標系から古い座標系への変換が次のように実行され:
Figure 0007465912000010
これから以下の方程式が導かれる:
Figure 0007465912000011
このWcolをu、v座標で表すと、
Figure 0007465912000012
セグメントによるOCWを使用して、色の重みは、新しい座標u’、v’の2つの方向について独立して決定される。新しい座標u’、v’で表される、OCW位置u’OCW、v’OCWが互いに独立しているということは、u’OCWが重みw’vcol又は位置v’colに依存しないこと、v’ocwは重みw’ucol又は位置u’colに依存しないことを意味する。決定されたOCW位置が、uocw及びvocwの古い座標u、vで表されると、w’ucol及びw’vcolの関数として、最適化された位置ucol及びvocwの両方は、u及びvの両方向についてucol及びvcolとして、並びに重みw’ucol及びw’vcolとして表される。座標u’、v’について重み制約の合計が満たされると、制約は座標u’、v’で表される対応する色重みについても満たされる:
Figure 0007465912000013
セグメントによるこのOCWの例を、角度φ1=-45°及びφ2=45°の優先方向を持つふるいBFマークについて以下に示す。古い座標は、0°の方向を持つuと90°の方向を持つvとして表される。この特定の例の場合、上記のセグメントアルゴリズムによるOCWに従って、調整されたuとvで表される色重みマトリックスは次のように表される:
Figure 0007465912000014
変換された座標角φι=-45°及びφ2=45°に基づいて新しい座標に対して決定されたこの色の重み行列から、u及びvで表されるOCW位置は次のように表される:
Figure 0007465912000015
拡張されたOCW
u、v座標に基づく通常のOCWの例では、u、v方向についての色の重みwucol及びwvcolは、互いに独立して決定される。セグメントごとのOCWでは、色の重みw’ucol及びw’vcolは、u’、v’座標を使用して互いに独立して決定されるが、OCWの位置を古い座標で表す場合、u、v、uocw及びvocwは、他の方向に関連付けられた重みw’ucol、W’VCOL、及び色ucol、及びvcolから独立していない。両方の方法は、2つの方向の色の重みを個別に決定することにより、最適な色の重みを決定する際に2つの自由度をもたらす。
OCWの一部の実装では、OCW位置を決定するために使用される自由度の数は、色ごとに2を超えるようにさらに増える。これは、OCW位置を決定するための色の重みに追加の係数を追加することによって達成できる。具体的には、自由度の増加は、主対角線上にない色重み行列の1つ又は複数の位置に個別の色重み要素を追加することによって決定できる。結果の色重み付けマトリックスは、互いに独立した3つ以上の個別の色重み付けで構成される。1つの色重み付けの値は、他の個別の色重み付けの1つ以上の値に依存しないため、色重み付けは独立している。
このアプローチは、主対角線以外の位置に非ゼロの色重み付けマトリックス要素が含まれる可能性があるセグメントごとのOCWとは異なるが、各色重み付けマトリックスエレメントは、2つの独立した色重み付けw’ucol及びw’vcolのみの関数として相互接続される。
2自由度を超えるOCWの実装は拡張OCWであり、2つの独立した色の重みが各色の重み行列に追加されて決定される:
Figure 0007465912000016
拡張OCWでは、上記の色重み付けマトリックスを使用してuocw及びvocwを決定する。4つの個別の色の重み付けwuucol,wuvcol,wvucol,wvvcolは、すべて互いに独立して決定できる。上記のマトリックスは、拡張OCWでOCW位置uocw、vocwを計算するために使用される:
Figure 0007465912000017
拡張OCWでは、重み付けの合計の制約も適用できる。つまり、ここでは行列形式で記述されている次の一連の方程式が、色の重み付けによって満たされる必要がある:
Figure 0007465912000018
非行列形式では、拡張OCW方程式は以下のように記述される:
Figure 0007465912000019
Figure 0007465912000020
Figure 0007465912000021
マークが、同じプロセスレイヤーの一部として形成された、複数の方向にわたる1つ、複数、又はすべての特性を含む場合、そのプロセスレイヤーで発生する変形は、これらの複数の方向の一部又はすべての特性に影響を与える可能性がある。例えば、マークは、対応する及び/又は相関する変形によって影響を受けた、u及びv方向、又はu’及びv’方向の特徴を有する場合がある。このような場合、最適化された色の重みの位置を両方向の色の位置に依存させると、より正確な結果が得られる可能性がある。そのため、拡張OCWは最適化を向上させ、オーバーレイを改善する。
測定パラメータ(アライメントデータ)に適用される線形重み付けの説明された方法は、測定パラメータのマッピングに一般化できる。前述のように、マッピングは通常、測定パラメータの線形加重和である。しかしながら、本発明は線形加重和に限定されず、機械学習アルゴリズムで利用されるような訓練されたマッピングも利用され得る。
記載された最適な色の重み付けの方法は、対象の動作パラメータとして色を使用することに限定されず、異なる偏光モードを利用して、例えば、アライメントセンサシステムによって測定されるような異なる測定パラメータを導出することもできる(マーク位置を測定する)。また、コヒーレンスの程度は、動作パラメータと見なすことができる(コヒーレンスの程度が調整可能である場合、例えば、レーザ特性を調整することにより、時間的及び/又は空間的コヒーレンスを調整することができる)。また、例えば、動作パラメータが色であり、センサシステムがレベルセンサである場合、測定パラメータは、レベルセンサ測定の対象となる基板に関連する焦点値であると考えられる。レベルセンサ測定に関連する品質パラメータは、基板の露光中に発生したフォーカスエラーである。
図6aは、ウェハの位置合わせ、露光及びオーバーレイ測定プロセスを概略的に示すフロー図である。図示されているように、ステップ601で、ウェハアライメントスキャンは、いくつかの異なる色(センサシステムの動作パラメータ)を使用して実行される。ステップ602で、カラーレシピを使用して、ウェハを位置合わせするためのウェハマーカー位置を決定するために、異なる色測定をどのように適用すべきかを決定する。ステップ604で、ウェハ(又は層)は、前のステップから決定されたマーカー位置を使用して装置によって位置合わせされる。ステップ604で、前の段階で(すなわち、ウェハの下にある層が処理された後に)ウェハに対して行われた測定から提供されるデータに基づいて、ウェハの位置決めに対する調整が行われる。ステップ605で、(図1を参照して上で説明したように)ウェハを処理ステージにさらす。ステップ606で、オーバーレイ測定が行われ、オーバーレイデータがトレーニングデータプロセッサ(APC)に提供される。ステップ607で、APCは、オーバーレイデータを評価して、予想される位置からの偏差を決定し、これを使用して、次のウェハ/層の位置合わせを修正する。
図6bは、別のウェハの位置合わせ、露光及びオーバーレイ測定プロセスを概略的に示すフロー図である。図6aについて上述した同じステップは、図6bでも同じ参照番号を有する。1つの違いは、図6aのステップ602と同じ場所で発生するステップ602’で、毎回同じカラーレシピを適用する代わりに、最適な色の重み付けを使用して、ウェハを位置合わせするためのマーカー位置を決定することである。別の違いは、ステップ607’で、オーバーレイから決定されたアライメント補正を単に決定する代わりに、より多くのデータがトレーニングデータとして使用されることである。このデータは、ステップ601で得られた色のそれぞれの位置合わせ測定データ608と、以前のウェハ測定(ステップ606)からのオーバーレイデータとを含む。スタックデータ611などの他の関連データもトレーニングデータに使用できる。次に、トレーニングデータを使用して、ステップ604でウェハ位置決めアライメント補正を提供するだけでなく、ステップ602’で使用される最適な色の重み付け609を更新し、ステップ603で使用される基板グリッドモデル610を更新する。
図6bから明らかなように、システムは使用中に学習し、OCW測定とアライメント手順の重み付けを継続的に更新する。したがって、上記の方法の主な利点は、使用されるセンサシステムの動作パラメータにおける局所的な装置固有の変動が考慮され、修正されることである。センサシステムと装置を使用すればするほど、アライメントが向上する。
ここで説明する最適な色の重み付け(OCW)技術は、同時に測定されたすべての波長からの位置合わせ情報を組み合わせ、測定された位置合わせ位置がマークの変形に対して最も敏感ではないように、色の線形結合で使用される最適な設定重みを計算する。しかしながら、マーカーがエッチングされるスタック又はマークを覆うスタックの性質は、時間とともに変化する可能性がある。変化がスタックの光学特性(たとえば、屈折率)に影響を与える場合、さまざまな動作パラメータ(色、偏光状態)に対するマークの応答もそれに応じて変化する可能性がある。スタック特性のそのような変化の影響は、動作パラメータの線形結合で使用される特定の最適な重みのセットがもはや最適ではない可能性があることである。
さらに、マークの変形は、例えば処理装置(CMPツールや蒸着装置など)の特性の変化により、時間とともに変化する可能性がある。マーク変形は、例えば、基板にエッチングされたときの変形のような床傾斜から上傾斜変形及び/又はマークの側壁角度変化に変化し得る。マーク変形特性の変化の結果として、色の線形結合に関連付けられた以前に決定された最適な重みのセットが最適ではなくなった可能性がある(例えば、基板の最適な位置合わせが行われず、オーバーレイの品質が低下する可能性がある)。
この開示では、基板間のオーバーレイ変動の最小量を与える最適な重みのセットを定期的に決定することが提案されている。決定された重みのセットに基づいて計算された品質パラメータの基板間のばらつきが、以前に観察された品質パラメータのウェハごとのばらつきから大幅に逸脱している場合、半導体製造プロセス内の1つ以上のプロセスが変更された可能性がある。言い換えると、品質パラメータの新たに観察された基板間のばらつきに基づいて決定される新しい重みのセットが、以前に決定された重みのセットから大幅に逸脱している場合、半導体製造プロセス内の1つ以上のプロセスが変更された可能性がある。
一実施形態では、半導体製造プロセスの条件は、a)動作パラメータの最適化された値(例えば、アライメントの色に関連する新しい重みのセット)を決定することと、b)決定された動作パラメータを基準動作パラメータ(例えば、以前に決定された、アライメントの色に関連付けられた重みのセット)と比較することと、c)比較に基づいて条件を決定することにより決定される。
アライメントセンサの色に関連する以前に決定された重みのセットの場合、基準動作パラメータは、ベクトルとして表すことができる。例えば、最適な重みが赤の色に対して+1であり、緑の色に対して-1である場合、基準動作パラメータはベクトル<1,-1>として表すことができる。このベクトルには、直交する補数<1,1>に平行な成分がない。例えば、成分ベクトル<1,-1>は(エッチングされた)アライメントマークの上傾斜変形に関連付けられ、成分ベクトル<1,1>は(エッチングされた)マークの側壁角度変形に関連付けられている。プロセスが変更された場合、新しい最適な重みのセットは、赤色では1.2、緑色では0.6になる。動作パラメータの新しい最適化された値は、ベクトル1.2*<1,-1>+0.6*<1,1>で表すことができる。明らかに、ベクトル<1,1>の方が関連性が高くなり、エッチングされたアライメントマークが側壁角度プロファイルに従って変形したことを示す。最適な動作パラメータのベクトル表現を監視することにより、半導体製造プロセスを監視することができる。
一実施形態では、重みの最適なセットは、最初に、品質パラメータ(基板対基板)の変動及び動作パラメータの変動に対するその感度に基づいて決定される。続いて測定される基板は、基板内に存在する動作パラメータと測定データの基板変動との比を表すベクトルの直交(又は正規直交)セットによってさらに特徴付けられる。例えば、赤に関連付けられたアライメントデータがウェハ依存のばらつきf(w_i)(ウェハ ”w_i”の関数)と、緑に関連付けられたアライメントデータ-f(w_i)を示す場合、ベクトル表現<1、-1>は測定データに存在する。プロセス変更が発生した場合、アライメントデータのバリエーションが変更されることがある。例えば、赤色はウェハ依存の変動3*g(w_i)を示し、緑色はウェハ依存の変動g(w_i)を示し、このベクトル表現は<3,1>となる。ベクトル<3,1>は、<1,-1>への射影1*<1,-1>及び<1,1>への射影2*<1,1>(<1,1>は、<1,-1>の直交補数)と表される。したがって、プロセスの変更により、以前には存在しなかった測定データの変動に成分<1,1>が導入された。最適な重みのセットは、その測定データセットで観測された最も強い成分(振幅が最大のベクトル)を抑制するように最適化できるようになった。新たに測定された動作パラメータを、最適な重みのセットの元の較正の瞬間に対応する直交基底に定期的に投影することが提案される。ベクトル全体の振幅の分布が変化した場合、プロセスが変化した可能性がある。
一実施形態では、半導体製造プロセスの状態は、以下によって監視される:
a)本発明の実施形態によって決定される動作パラメータの最適化された値を取得し、動作パラメータの最適化された値は、基準として個々の動作パラメータを有する第1のベクトルとして表され;
b)測定データの基板ごとの変動の動作パラメータ全体の変動を取得し、
c)測定データの予想される基板ごとの変動に関連する動作パラメータの新しい値を決定し、動作パラメータの新しい値は、基準として個々の動作パラメータを有する第2のベクトルとして表され、
d)第1のベクトルと第2のベクトルの比較に基づいて、半導体製造プロセスの条件を決定する。
一実施形態では、以下のステップが続く:
a)複数の基板及び複数の動作パラメータの測定データが得られ、
b)測定データ内に存在する動作パラメータの線形結合を表す一連のベクトルが決定され、
c)必要に応じて、動作パラメータに対して以前に決定された最適な重みのセットが使用可能な場合、以前に決定された最適な重みのセットによって定義された空間へのベクトルのセットの投影が、ベクトルのセットから差し引かれ、
d)特異値分解(SVD)がベクトルのセットに適用され、
e)前のステップで取得された特異値が分析され、(ほぼ)ゼロの特異値に関連付けられたベクトルは、マーク変形に関する情報を含まない動作パラメータの組み合わせを表すため、特に重要であり、
f)(ほぼ)ゼロの特異値に関連付けられたベクトルに基づく、いわゆる「ゼロカーネル」が計算され、ゼロカーネルは基本的に、初期マーク変形や初期スタック(光学)特性の影響を受けない動作パラメータの組み合わせを表す線形ベクトル空間である。
一実施形態では、特異値がランク付けされ、閾値を超えるすべての特異値が除去される。ゼロカーネルは、フィルターで除外されない特異値に関連付けられたベクトルに基づいて決定される。
処理条件の変化は、決定されたゼロカーネルに新しく決定された動作パラメータデータ(1つ以上の基板に関連付けられている)を投影することで検出できる。マーク変形及び/又はスタック特性の性質が変化した場合、ゼロカーネルへの新しい動作パラメータデータの投影が変化するため、ゼロカーネルを処理条件の変化を監視及び/又は決定する方法が使用される。
一実施形態では、測定データ及び/又は性能データの変動を表す最初のベクトルのセットが、複数の動作パラメータについて決定される。ベクトルは、測定及び/又は品質パラメータの基板ごとの変動に関連する動作パラメータの線形結合を表す。ベクトルのセットを決定する手順は、複数の異なるマーク変形及び/又はスタック特性について繰り返される。したがって、ベクトルの合計セットは、マーク変形及び/又はスタック特性の標準セットの最適に選択された動作パラメータ(組み合わせ)を表す。新しい基板と複数の動作パラメータについて、定期的に新しい測定データが取得される。新しく取得した測定データは、新しい最適な動作パラメータに関連付けられた新しいベクトル表現を取得するために使用される。新たに得られたベクトル表現は、最初のベクトルのセットに投影され、ベクトルのセットからの各ベクトルへの投影に関連付けられた相対的な重みが計算される。その後、相対重みがランク付けされ、閾値を下回る相対重みはゼロと見なされる(例えば、関連性の特定の測定値を下回るコンポーネントは除外される)。一実施形態では、最適な動作パラメータが監視され、そのベクトル表現が、ベクトルの初期セットに属するベクトルに分解される。続いて、コンポーネントのランキングとしきい値の適用が実行される。ゼロ以外のコンポーネントの相対的な強さは、エッチングされたマークがどのように影響を受けるか(たとえば、上部の傾斜、側壁の角度の変化など)、これらのコンポーネント(ベクトル)から推測できるため、半導体製造プロセスのKPIと見なすことができる。これは、どのプロセスステップが変更されたかを示す。例えば、ベクトル<1,-1>の関連性の大きな変化は、アライメントマークの上部傾斜プロパティが変化したことを示し、これは通常CMPプロセスステップのドリフトに関連する。
上記の原則を具体化する1つのアプリケーションは、いわゆるマーク-デバイス間のオフセット(MTD)を補正することである。これは、アライメントマークが周囲の製品の特徴と公称値とで異なるシフトを持つ場合の影響である。この影響は、アライメントマークよりもピッチが非常に小さい製品特性(つまり、特性幅又は特性間の間隔)が存在し、露光光が投影レンズの異なる部分を通過することで引き起こされる。例えばレンズの加熱によって引き起こされるレンズ収差の場合、これはピッチに依存したシフトをもたらす。これらの影響は、特定のスキャナの照明設定と製品機能の履歴に依存するため、ウェハ間又はロット間で安定しておらず、APCシステムで完全に補正することはできない。
この問題に対して提案されている解決策には、マークの設計、及び計算MTD(c-MTD)が含まれる。マークのデザインはデザインルール、検出可能性、収差感度によって制限されるが、cMTDは処理への影響を考慮していない。
別の方法では、サブセグメント化されたマークを使用する。ここで、より細かいピッチ(製品機能のピッチと同様)を持つ追加のマークが基板に含まれる。これらのいわゆるサブセグメントマークは、粗いピッチマーク(位置合わせに使用)と細かいピッチ(製品のデザインルールに準拠)で構成される。ファインピッチマークを照らすための露光光は、製品特徴の露光光と同じ投影レンズの部分を通過する。レンズ収差によって引き起こされるピッチ依存シフト、つまりMTDは、リソ誘起マークの非対称性をもたらす。このマークの非対称性は、アライメントセンサのさまざまな色のアライメント位置の違いにつながる。
OCWの原理をサブセグメント化されたマークに適用して、サブセグメント化されたマークの異なる色(動作パラメータ)のそれぞれの重みを決定できるが、この場合、それぞれの異なる色についてのレンズ収差の影響も考慮に入れることができる。色の重みを決定するために使用されるトレーニングデータは、製品のオーバーレイデータから取得される。
一般に、OCWはプロセスに起因するマークの非対称性の影響を最小限に抑えるために適用され、特に処理の問題が予想される層(主にバックエンド光リソグラフィ-BEOL)に適していることに留意すべきである。しかしながら、MTDは主に極端な照明設定が使用されるフロントエンドの光リソグラフィ(FEOL)の問題である。
図7は、3つのシナリオでのMTDシフト効果を示す。図7(a)では、デバイス(製品)特性のピッチが小さい場合に検出されたオーバーレイエラー(OVL)に対するレンズ収差Zの影響がΔDとして示されており、ΔDは、レンズ収差Zに本質的に線形比例し、ΔD=ml+SdZ、ここでmlは一定のオフセット、Sdはデバイスの収差感度である。図7(b)で、より大きなピッチアライメントマーカーは、検出されたマーカー位置(APD)のシフトΔMを示し、これも、本質的に線形でZに比例し、リソ誘導された色の非対称性がないように照明放射(色)に依存しない。この場合、ΔΜ=m2+SmZであり、ここで、m2は一定のオフセットであり、Smはメインマーカーの収差感度である。照明放射が投影レンズの別の部分を通過しているため、ΔΜはADと同じ関係(つまり、グラフの勾配)を有さない。
図7(c)では、サブセグメントマークの効果が示されている。ここでは、色(波長)依存性があり、リソによって誘導される非対称性(色ごとに異なる測定値)が生じる。ここで、ΔΜ=m3+SmZ+Κ(λ)[Sm-Ss]Zであり、ここで、Ssはセグメント化されたマークの感度、Κ(λ)はスタックの感度である。ただし、OCWの原理を使用すると、前述のように、異なる色に異なる重みが適用されるため、実際のオーバーレイエラーに非常に近く、MTDシフトを引き起こす効果レンズの収差を考慮した、色で重み付けされた測定値を決定できる。
色の重みをMTDの影響を受けないようにキャリブレートするには、キャリブレーションセットにレンズ加熱効果を含めることができる。また、各色のMTDに対するアライメント位置の感度を計算するために意図的なMTDシフトを使用する、デザイナーセグメントマーク(DSM)を使用して行われた測定からキャリブレーションデータを取得してもよい。キャリブレーションの例を図8に示す。別の可能性は、計算方法を使用して異なる色の感度を計算することである。
オーバーレイを測定するために使用される計測マークにも同じ原理を適用できる。これは、これらのマークもサブセグメント化でき、同様のマークとデバイスのオフセットの影響を受けるためである。
本明細書で説明するOCW原理によって対処できる別の問題は、基板又はウェハ全体で発生する可能性のある変動に関する。これまでは、マークレイアウト、色、マークタイプなどのウェハアライメント設定がウェハ全体に使用されていた。ただし、マークの非対称性は、通常、ウェハの異なる領域で異なる。ウェハ全体のウェハ位置合わせに同じ色設定を使用しても、マークの非対称性の違いは考慮されないため、ウェハ間のばらつきがさらに大きくなる可能性がある。例えば、ウェハエッジマークの非対称性が大きい状況において現在の慣例では、許容できないほど大きなエラーが発生する場合は、ウェハエッジのマークを無視する。
したがって、実施形態は、ウェハの異なる領域又はゾーンに異なる色の重み付けを適用することにより、ウェハ表面領域全体に適用されるウェハ位置合わせのためのOCWの使用による最適化を提供することができる。したがって、異なる色の重み付けにより、マークの非対称性がウェハの残りの部分よりも大きいか又は異なる領域でのオーバーレイエラーを減らすことができる。さらに、領域/ゾーンごとに正しい色の重み付けが適用されると(つまり、エッジ対センター)、ウェハアライメントレイアウトの最適化の柔軟性が高まる。
図9は、ウェハ全体のアライメントマークの非対称プロットを示す。プロットは、ウェハ上のアライメントマークのアレイの4つの色の間の変化を示す。マークに関連付けられた矢印が大きいほど、マークの非対称性の度合いが大きくなる。マークの非対称性は、ウェハのエッジで明らかに大きくなる。図10でも同様の効果が見られ、プロット(a)は、アクティブカラーが近赤外(NIR)である製品上オーバーレイウェハマップを示す。プロット10(b)は、2色の重み付けを使用した同じウェハの製品上オーバーレイウェハマップを示す。プロット10(c)は、プロット10(a)と10(b)の違いを示しており、NIRとTCWの間に大きな違いがあることは明らかである。違いは、ウェハのエッジの周りに分布する領域で最も重要である。これは、マークの非対称性の影響がウェハ全体で異なることを示す。この挙動を調査するために、ウェハのエッジとウェハのセンターに対してTCW分析が実行され、ウェハ上の両方のゾーンに最適な色の重みが決定された。
ウェハアライメントパフォーマンスの改善は、2色のみを参照し、2色の重み付け(TCW)を適用することで示される。図11には、2つのグラフが含まれ、1つはウェハのエッジのマーク、もう1つはセンターのマークである。各グラフは、異なる2色の重みの組み合わせの関数として、ウェハ表面に平行な2つの直交方向(XオーバーレイとYオーバーレイ)でオーバーレイエラーがどのように変化するかを示している。この場合の2つの色は、緑(つまり、約50ナノメートルの可視光)と近赤外線(NIR)である。2色の重みは、緑の場合は-1から2、NIRの場合は2から-1である。重みの合計は常に1である。
図11は、最適な色の重み付け(オーバーレイエラーが最小の場合)が、ウェハのエッジとセンターで異なることを示す。ウェハのエッジの場合、緑と-1、NIRと2の重み付けを組み合わせると最適なパフォーマンスが得られるが、ウェハのセンターのグリーンでは、-0.4とグリーンと1.4の重み付けを使って最適なパフォーマンスが得られる。重み付けの差は20%である。
より多くの色/色の重み付けを使用すると、より大きな改善を実現できることが理解されよう。
ウェハの異なるゾーンに(最終的にはマークごとに)色の重み付けを適用すると、ウェハのエッジだけでなくセンターでもマークの非対称性の影響が軽減される。この方法を適用できるウェハのゾーンごとに異なる色設定(色、重み付け)がある。このようにして、ユーザーは、ウェハのさまざまなゾーンのウェハアライメント戦略を最適化し、ウェハアライメントを微調整して、プロセス中のウェハ間のばらつきを減らすことができる。
上述のウェハ処理方法では、オーバーレイウェハ間の変動に影響を与える2組のオーバーレイ補正が適用される。1つの修正は、位置合わせによるものである。ウェハが露光される前に、そのウェハ上のアライメントマークがスキャナのアライメントセンサによって測定され、予め定義されたアライメントモデルを使用して、アライメント測定で補正セットが計算される。露光中、補正はそのウェハに適用される。その他の補正は、ウェハオーバーレイプロセスごとの補正である。ウェハを露光した後、それをオーバーレイ計測ツールに送信して、オーバーレイマークを測定する。測定されたオーバーレイは、次の露出を設定するために使用される補正セットを計算するために使用される。この補正は、ウェハごとに行うことができる。
2つの修正方法にはそれぞれ長所と短所がある。アライメントは常にウェハごとに行われ、リアルタイムの補正であるが、測定時間の制限により、アライメントマークの数は制限されており、アライメントマークの非対称性によって悪影響を受ける可能性がある。ウェハごとのオーバーレイ補正には、より多くの補正能力がある-ウェハごとに多くのオーバーレイマークを測定できるが、補正は通常「リアルタイム」ではなく、例えば時間フィルターは実行間制御で使用される。
アライメントとウェハごとのオーバーレイ補正には同じ目的があり、オーバーレイのウェハ間のばらつきを減らすことである。2つの方法の設定は別々に行われ:位置合わせの補正の場合、設定は位置合わせモデル、サンプリング、色の最適化に基づき:一方、オーバーレイ補正の設定は、オーバーレイモデル、サンプリング、測定頻度などの最適化に基づく。ただし、独立したセットアップでは、位置合わせとオーバーレイの間の相互作用は考慮されない。したがって、設定が最適ではない可能性がある。
この点を図12に示す。上の図は、複数の異なる色、モデル、及びレイアウトを使用して、アライメント補正のOCWを決定するプロセスを示す。オーバーレイ測定は、色、モデル、及びレイアウトの最適な組み合わせを評価するために使用され、前述のように、最適な色の重み付けがアライメント補正プロセスに対して決定される。下の図は、複数の周波数、モデル、レイアウトを使用した、オーバーレイ補正の対応するプロセスを示す。オーバーレイ測定は、周波数、モデル、及びレイアウトの最適な組み合わせを評価するために使用され、最適な色の重み付けが、位置合わせ修正プロセスに対して決定される。2つの補正手順では、最適な色の重み付けが異なることに留意すべきである。
本発明の実施形態では、図13に示すように、オーバーレイの評価を使用して、単一の評価を提供し、アライメント補正とオーバーレイ補正の両方の最適な組み合わせを決定する。したがって、同じオーバーレイ測定に基づいて設定を同時に評価することにより、アライメント設定パラメータとオーバーレイ設定パラメータの単一の組み合わせが決定され、これらは、アライメントとオーバーレイ補正の組み合わせに最適であるが、位置合わせとオーバーレイ補正のどちらか一方のみに対して決定された設定のどちらかとは異なる場合がある。
記載された最適色重み付け(OCW)の方法は、加工装置(例えばマークに影響を与える)がリソグラフィ装置の制御に及ぼす影響を最小限に抑えるための非常に効果的な方法である。ただし、すべての場合にOCWメソッドを使用する必要があるわけではない。a)処理によって引き起こされたウェハ間の品質パラメータ(例えば、オーバーレイ)の変動が小さいか、修正できず、処理によって引き起こされた変動は最終結果に存在しない、及び/又は、b)マークはアーティファクトを処理するのに十分にロバストであり、選択した動作パラメータのマーク(又はレベルセンサの読み出しの場合はスタック)を読み取ると、同様の結果が得られるかもしれない。OCWのメリットの評価は、半導体製造プロセスの対象となる基板上の各層に対して行う必要があるかもしれない。対象となる層のセットの実施形態では、i)品質パラメータに関連付けられた補正可能なもののウェハ間の変動、及びii)動作パラメータにわたる測定データの変動のウェハ間の変動の両方が決定される。補正可能なウェハ間の変動及び/又は測定データ変動のウェハ間の変動が特定の閾値未満である層は、OCWフレームワークから除外されてもよい。
一実施形態では、基板に関連する層は、a)層に関連する品質パラメータの第1の基板から基板への変動、及びb)動作パラメータの選択全体にわたる層に関連する測定パラメータ間の第2の基板から基板への変動に基づいて選択される。
一実施形態では、第1の基板間の変動及び第2の基板間の変動が閾値を超える場合に、層は、OCWアルゴリズムの適用のために選択される。
一実施形態では、第1の基板間変動及び第2の基板間変動は、半導体プロセスのKPFとして構成される。これらのKPFは、例えば1つのプロットにプロットすることによって時間毎に監視される(x軸は最初の基板間のばらつきに関連付けられた最初のKPIの値であり、y軸は2番目の基板間変動に関連付けられた2番目のKPIの値である)。
第1及び第2のKPIの両方が閾値を超える場合、品質パラメータの最小の基板間変動をもたらすように構成された最適な動作パラメータを再計算することにより、新しいOCWレシピを決定することが決定され得る。品質パラメータの変動と動作パラメータ全体の測定データの変動性が結びついているため、a)測定は処理の変更によって明らかに影響を受け、b)(品質パラメータによって表される)パフォーマンスは結果として劣っている。したがって、最適な動作パラメータの再計算は、おそらくパフォーマンスを向上させ(例えば、最初の基板と基板のばらつきを減らす)、したがってつじつまが合う。
あるいは、第1と第2の両方のKPIを1つのKPIにまとめることもできる。この場合、単一のKPIが閾値を超えたときに、新しいOCWレシピを決定することが決定される場合がある。
2番目のKPIのみが閾値を超える場合、マークは処理の変更の影響を受ける可能性があるが、これはパフォーマンスの顕著な悪化にはつながらない。現在のOCW設定(最適な動作パラメータ設定を含むレシピ)は、変更された処理の制御に適切であると結論付けることができる。
最初のKPIのみが閾値を超えた場合、プロセスによって引き起こされたマークの変形やスタックの特性の変化が、品質パラメータの変動の観察された変化の原因ではない可能性がある。したがって、最適な動作パラメータを再計算することはあまり意味がない。
本発明のさらなる実施形態は、以下の番号付きの条項のリストに開示されている
1. 基板の特性を測定するように構成されたセンサシステムの動作パラメータの1つ以上の最適化された値を決定する方法であって、
複数の基板の品質パラメータを決定し、
動作パラメータの複数の値について、センサシステムを使用して得られた複数の基板の測定パラメータを決定し、
品質パラメータの基板間のばらつきと、測定パラメータのマッピングの基板間のばらつきとを比較し、
比較に基づいて、動作パラメータの1つ以上の最適化された値を決定する。
2. マッピングが、重み付け合計、非線形マッピング、又は機械学習方法に基づくトレーニングされたマッピングである、条項1に記載の方法。
3. 比較に基づいて、動作パラメータの第1の値に関連付けられた測定パラメータ及び動作パラメータの第2の値に関連付けられた測定パラメータを重み付けするための重み係数の最適なセットを決定するステップをさらに含む、条項1に記載の方法。
4. 品質パラメータがオーバーレイ又はフォーカスパラメータである、前述のいずれかの条項に記載の方法。
5. 測定パラメータが、複数の基板に提供された特性の位置、又は前記基板上の位置の面外偏差である、前述のいずれかの条項に記載の方法。
6. 動作パラメータが、センサシステムからの光源に関連するパラメータである、前述のいずれかの条項に記載の方法。
7. 動作パラメータは、光源の波長、偏光状態、空間コヒーレンス状態又は時間コヒーレンス状態である、条項5に記載の方法。
8. 品質パラメータが、計測システムを使用して決定される、前述のいずれかの条項に記載の方法。
9. 品質パラメータが、コンテキスト情報、測定データ、再構成データ、ハイブリッド計測データのいずれかに基づいて品質パラメータを予測するシミュレーションモデルを使用して決定される、条項1から6のいずれかに記載の方法。
10. 半導体製造プロセスの状態を決定する方法であって、
先行する条項に従って動作パラメータの最適化された値を決定し、
決定された動作パラメータを基準動作パラメータと比較し、比較に基づいて条件を決定する方法。
11. 基板の特性を測定するように構成されたセンサシステムからの測定データを最適化する方法であって、
複数の基板のオーバーレイデータを取得し、ここで、オーバーレイは、基板上のアライメントマーカーの測定位置と予想位置との間の偏差を表し、センサシステムによって作成されたアライメントマーカー位置の複数の測定値を含み、複数の測定値は、それぞれセンサシステムの異なる動作パラメータを利用したものであり、
取得されたオーバーレイデータに基づいて、オーバーレイを最小にするために、さまざまな動作パラメータのそれぞれについて、さまざまな動作パラメータのすべてについてセンサシステムによって行われた測定値に対する加重調整が組み合わされるように、動作パラメータを使用して取得された測定値を調整するための重みを決定する方法。
12. 動作パラメータは、センサシステムからの放射源に関連するパラメータである、条項11に記載の方法。
13. 動作パラメータは、光源の波長、偏光状態、空間コヒーレンス状態又は時間コヒーレンス状態である、条項12に記載の方法。
14. 比較に基づいて動作パラメータの1つ又は複数の最適化された値を決定することが、基板の異なるゾーンに対して実行される、条項1から9のいずれかに記載の方法。
15. 異なるゾーンが、基板のエッジに近接するゾーンと、基板のセンターに近接するゾーンとを含む、条項14に記載の方法。
16. 各ゾーンが、基板に適用された1つ又は複数のアライメントマークを含む、条項14又は条項15に記載の方法。
17. 各ゾーンが、基板に適用された複数のアライメントマークの個々のアライメントマークに対応する、条項14又は条項15の方法。
18. 測定パラメータはマークの測定位置であり、品質パラメータはマークからデバイスへのシフトであり、動作パラメータの最適化された値は、基板ごとのばらつきを最小限にするために、品質パラメータを最適化するように決定される、条項1から9のいずれかの方法。
19. 動作パラメータは、放射源に関連するパラメータであり、放射源からの放射は基板に向けられており、動作パラメータの最適化された値は、動作パラメータを利用して得られた測定値を調整するための重み付けを適用することによって決定される、条項18に記載の方法。
20. 基板に向けられた放射源からの放射が、基板をターゲットにした後にセンサシステムによって収集される、条項19に記載の方法。
21. 重み付けが、放射を基板に向けるため及び/又はセンサシステムによって放射を収集するために使用されるレンズのレンズ加熱効果を含む、条項19に記載の方法。
22. マークからデバイスへのシフトに対する動作パラメータの感度を決定するように、意図的なマークからデバイスへのシフトが適用されたサブセグメント化されたマークを有する基板から得られた測定値を使用してサブセグメント化されたマークを測定するための動作パラメータの重みを決定することをさらに含む、条項18から21のいずれかの方法。
23. 基板の処理を制御するために利用される計測システムの動作パラメータを最適化するための条項1から9のいずれかの方法であって、
センサシステムは、処理前に基板の第1の特性を測定するように構成される第1の測定システムに関連する第1のセンサシステムを含み、
方法は、処理後に基板の第2の特性を測定するように構成された第2の測定システムに関連付けられた第2のセンサシステムを含み、
方法は、動作パラメータの複数の値について第1のセンサシステムを使用して得られた複数の基板の測定パラメータの第1のセットを決定し、
動作パラメータの複数の値について第2のセンサシステムを使用して得られた複数の基板の測定パラメータの第2のセットを決定し、
測定パラメータの第1及び第2のセットのそれぞれについて、品質パラメータの基板ごとの変動と、測定パラメータのマッピングの基板ごとの変動とを比較し、
動作パラメータの1つ又は複数の最適化された値の決定は、第1の測定システムに関連する動作パラメータの第1のセット及び第2の測定システムに関連する動作パラメータの第2のセットを同時に最適化することを含み、最適化は基板間バリエーションの第2の特性を軽減する、方法。
24. 品質パラメータが、処理後の基板の測定された第2の特性から決定されたオーバーレイである、条項23に記載の方法。
25. 品質パラメータ及び測定パラメータが、複数の基板に関連する特定の層に関連する、条項1に記載の方法。
26. 特定の層が、i)特定の層に関連する品質パラメータの第1の基板ごとの変動、及びii)測定パラメータ間の変動の第2の基板間の特定の相に関連付けられた変動の評価に基づいて選択される、条項25に記載の方法。
27. 特定の層は、第1の基板間の変動及び第2の基板間の変動が閾値を超える場合に選択される、条項26に記載の方法。
28. 半導体製造プロセスの状態を監視する方法であって、
a) 第1項から第27項のいずれかの方法を使用して、動作パラメータの最適化された値を取得し、
b) 動作パラメータの複数の値について、センサシステムを使用して取得されたさらなる基板の測定パラメータを取得し、
c) 測定データの予想される最小の基板ごとの変動に関連する動作パラメータの新しい値を決定し、
d) 最適化された値と動作パラメータの新しい値の比較に基づいて、半導体製造プロセスの条件を決定する、方法。
29. 動作パラメータの最適化された値が、測定パラメータの第1の座標に関連する第1の値のセットと、測定パラメータの第2の座標に関連する第2の値のセットとを含む、条項1に記載の方法。
30. 条項29による方法であって、さらに:
マークの第1の優先方向に平行な第3の座標を決定し、
マークの第2の優先方向に平行な第4の座標を決定し、
第3の座標に関連付けられた動作パラメータの第3の最適化された値のセット、及び第4の座標に関連付けられた動作パラメータの第4の最適化された値のセットを決定し、
第3及び第4の座標から第1及び第2の座標への変換を決定し、
決定された変換を使用して、第3及び第4の座標における動作パラメータの決定された最適化された値を第1及び第2の座標における動作パラメータの最適化された値に変換する、方法。
31. 動作パラメータの第1の値が、動作パラメータの第2の値とは無関係に最適化される、条項29に記載の方法。
図15は、本明細書に開示される方法及びフローの実装を助けるコンピュータシステム100を示すブロック図である。コンピュータシステム100は、情報を通信するためのバス102又は他の通信機構、及び情報を処理するためにバス102に結合されたプロセッサ104(又は複数のプロセッサ104及び105)を含む。コンピュータシステム100はまた、プロセッサ104によって実行される情報及び命令を記憶するためにバス102に結合された、ランダムアクセスメモリ(RAM)又は他の動的記憶装置などのメインメモリ106を含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に一時変数又は他の中間情報を格納するためにも使用できる。コンピュータシステム100はさらに、バス104に結合され、プロセッサ104のための静的情報及び命令を記憶するための、読み取り専用メモリ(ROM)108又は他の静的記憶装置を含む。磁気ディスク又は光ディスクなどの記憶装置110が設けられ、情報及び命令を記憶するためにバス102に結合される。
コンピュータシステム100は、バス102を介して、コンピュータユーザに情報を表示するためのブラウン管(CRT)又はフラットパネル又はタッチパネルディスプレイなどのディスプレイ112に結合することができる。英数字及び他のキーを含む入力デバイス114は、情報及びコマンド選択をプロセッサ104に通信するためにバス102に結合される。別のタイプのユーザ入力デバイスは、方向制御情報及びコマンド選択をプロセッサ104に通信し、ディスプレイ112上のカーソル移動を制御するためのマウス、トラックボール、又はカーソル方向キーなどのカーソル制御116である。この入力デバイスは、通常、1つの軸(xなど)と2番目の軸(yなど)の2つの軸に2つの自由度があり、平面内の位置を指定できる。入力デバイスとして、タッチパネル(画面)ディスプレイを使用することもできる。
一実施形態によれば、プロセスの一部は、メインメモリ106に含まれる1つ又は複数の命令の1つ又は複数のシーケンスを実行するプロセッサ104に応答して、コンピュータシステム100によって実行され得る。そのような命令は、ストレージデバイス110などの別のコンピュータ可読媒体からメインメモリ106に読み込まれてもよい。メインメモリ106に含まれる命令のシーケンスの実行により、プロセッサ104は、本明細書で説明されるプロセスステップを実行する。マルチプロセッシング構成の1つ又は複数のプロセッサを使用して、メインメモリ106に含まれる命令のシーケンスを実行することもできる。代替の実施形態では、ハードワイヤード回路を、ソフトウェア命令の代わりに、又はソフトウェア命令と組み合わせて使用することができる。したがって、本明細書の説明は、ハードウェア回路とソフトウェアの特定の組み合わせに限定されない。
本明細書で使用される「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与する任意の媒体を指す。そのような媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定されない多くの形態をとることができる。不揮発性媒体には、例えば、ストレージデバイス110などの光ディスク又は磁気ディスクが含まれる。揮発性媒体には、メインメモリ106などの動的メモリが含まれる。伝送媒体には、バス102を構成するワイヤーを含む、同軸ケーブル、銅線、及び光ファイバーが含まれる。伝送媒体は、無線周波数(RF)及び赤外線(IR)データ通信中に生成されるような、音波又は光波の形をとることもできる。コンピュータ可読媒体の一般的な形式には、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、その他の磁気媒体、CD-ROM、DVD、その他の光学媒体、パンチカード、紙テープ、その他穴のパターンを持つ他の物理媒体、RAM、PROM、EPROM、FLASH(登録商標)-EPROM、他のメモリチップ又はカートリッジ、以下に説明する搬送波、又はコンピュータが読み取ることができる他の任意の媒体がある。
様々な形態のコンピュータ可読媒体が、実行のためにプロセッサ104に1つ又は複数の命令の1つ又は複数のシーケンスを伝達ことに関与し得る。例えば、命令は、最初はリモートコンピュータの磁気ディスク上にあるかもしれない。リモートコンピュータは、ダイナミックメモリに命令をロードし、モデムを使用して電話回線経由で命令を送信できる。コンピュータシステム100にローカルなモデムは、電話回線でデータを受信し、赤外線送信機を使用してデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器は、赤外線信号で運ばれるデータを受信し、そのデータをバス102に置くことができる。バス102はデータをメインメモリ106に運び、そこからプロセッサ104が命令を検索して実行する。メインメモリ106によって受け取られた命令は、プロセッサ104による実行の前又は後のいずれかに、任意選択でストレージデバイス110に格納されてもよい。
コンピュータシステム100はまた、望ましくは、バス102に結合された通信インターフェース118を含む。通信インターフェース118は、ローカルネットワーク122に接続されているネットワークリンク120に結合する双方向データ通信を提供する。例えば、通信インターフェース118は、対応するタイプの電話回線へのデータ通信接続を提供するための統合サービスデジタルネットワーク(ISDN)カード又はモデムであり得る。別の例として、通信インターフェース118は、互換性のあるLANへのデータ通信接続を提供するローカルエリアネットワーク(LAN)カードであってもよい。無線リンクを実装してもよい。そのような実装のいずれにおいても、通信インターフェース118は、様々なタイプの情報を表すデジタルデータストリームを運ぶ電気信号、電磁気信号、又は光信号を送受信する。
ネットワークリンク120は、通常、1つ又は複数のネットワークを介して他のデータデバイスにデータ通信を提供する。例えば、ネットワークリンク120は、ローカルネットワーク122を介して、ホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を提供することができる。ISP126は、今や一般に「インターネット」128と呼ばれている世界規模のパケットデータ通信ネットワークを通じてデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128は両方とも、デジタルデータストリームを運ぶ電気的、電磁気的又は光学的信号を使用する。コンピュータシステム100との間でデジタルデータを運ぶ、様々なネットワークを介する信号、及びネットワークリンク120上の信号と通信インターフェース118を介する信号は、情報を伝送する搬送波の例示的な形態である。
コンピュータシステム100は、ネットワーク、ネットワークリンク120、及び通信インターフェース118を介して、メッセージを送信し、プログラムコードを含むデータを受信することができる。インターネットの例では、サーバー130は、インターネット128、ISP126、ローカルネットワーク122及び通信インターフェース118を介して、アプリケーションプログラムの要求されたコードを送信することができる。そのようなダウンロードされたアプリケーションの1つは、例えば、実施形態の照明最適化を提供することができる。受け取ったコードは、受け取られたときにプロセッサ104によって実行され、及び/又は後で実行するために記憶装置110又は他の不揮発性記憶装置に記憶されてもよい。このようにして、コンピュータシステム100は、搬送波の形でアプリケーションコードを取得することができる。
本開示の実施形態は、ハードウェア、ファームウェア、ソフトウェア、又はそれらの任意の組み合わせで実装され得る。本開示の実施形態はまた、1つ又は複数のプロセッサによって読み取られ実行され得る、機械可読媒体に記憶された命令として実装されてもよい。機械可読媒体は、機械(例えば、コンピューティングデバイス)によって読み取り可能な形式で情報を格納又は送信するための任意のメカニズムを含み得る。例えば、機械可読媒体は、読み取り専用メモリ(ROM);ランダムアクセスメモリ(RAM);磁気ディスク記憶媒体;光学記憶媒体;フラッシュメモリデバイス;電気的、光学的、音響的又はその他の形態の伝播信号(例えば、搬送波、赤外線信号、デジタル信号など)等を含む。さらに、ファームウェア、ソフトウェア、ルーチン、命令は、特定のアクションを実行するものとして本明細書で説明される場合がある。しかしながら、そのような説明は単に便宜上のものであり、そのようなアクションは実際には、コンピューティングデバイス、プロセッサ、コントローラ、又はファームウェア、ソフトウェア、ルーチン、命令などを実行する他のデバイスから生じることを理解すべきである。
ブロック図では、図示されたコンポーネントは別個の機能ブロックとして示されているが、実施形態は、本明細書で説明されている機能が図示されているように編成されているシステムに限定されない。各コンポーネントによって提供される機能は、現在描かれているものとは異なる構成のソフトウェア又はハードウェアモジュールによって提供される場合があり、例えばこのようなソフトウェア又はハードウェアは、混じり合い、結合され、複製され、分割され、(例えばデータセンター内で又は地理的に)分配され、又は別の方法で組織化されてもよい。本明細書で説明される機能は、有形の非一時的な機械可読媒体に格納されたコードを実行する1つ又は複数のコンピュータの1つ又は複数のプロセッサによって提供されてもよい。場合によっては、サードパーティのコンテンツ配信ネットワークが、ネットワークを介して伝達される情報の一部又はすべてをホストすることがある。その場合、情報(コンテンツなど)が提供又は提供されると言われる範囲で、情報は、コンテンツ配信ネットワークからその情報を取得するための指示の送信によって提供される。
特に明記しない限り、説明から明らかなように、この明細書全体を通して、「処理」、「計算」、「計算」、「決定」などの用語を利用する説明は、例えば、専用コンピュータ又は同様の専用電子処理/計算装置のような特定の装置のアクション又はプロセスを指すことが理解される。
読者は、本出願がいくつかの発明を説明していることを理解すべきである。それらの発明を複数の分離された特許出願に分離するのではなく、関連する主題が出願プロセスの経済に役立つため、出願人はこれらの発明を単一の文書にグループ化した。しかし、そのような明確な利点と発明の側面は混同されるべきではない。いくつかの場合では、実施形態は本明細書に記載されたすべての欠陥に対処するが、本発明は独立して有用であり、いくつかの実施形態はそのような問題のサブセットのみに対処するか、又は本開示を検討する当業者に明らかである他の言及されていない利点を提供する。コストの制約により、ここに開示されている一部の発明は現在クレームされていない場合があり、継続出願などの後の出願で、又は現在のクレームを修正することによってクレームされる場合がある。同様に、スペースの制約により、本書の要約セクションも要約セクションも、そのようなすべての発明又はそのような発明のすべての側面の包括的なリストを含んでいると見なすべきではない。
説明及び図面は、本発明を開示された特定の形態に限定することを意図するものではなく、逆に、添付の請求項によって定義される本発明の趣旨及び範囲に含まれるすべての修正、等価物、及び代替物を網羅することを意図していることを理解すべきである。
本発明の様々な態様の修正及び代替の実施形態は、この説明を考慮して当業者には明らかであろう。したがって、この説明及び図面は、例示のみとして解釈されるべきであり、本発明を実施する一般的な方法を当業者に教示する目的のためのものである。本明細書に示され、説明される本発明の形態は、実施形態の例として解釈されるべきであることを理解されたい。要素及び材料は、本明細書で図示及び説明されたものと置き換えることができ、部品及びプロセスを逆にしたり、順序を変更したり、省略したり、特定の機能を独立して利用したり、実施形態又は実施形態の機能を組み合わせたりすることができる。本発明のこの説明の恩恵を受けた後、当業者は、以下の特許請求の範囲に記載されている本発明の精神及び範囲から逸脱することなく、本明細書に記載されている要素に変更を加えることができる。ここで使用されている見出しは、整理を目的としたものであり、説明の範囲を限定するために使用されることを意図したものではない。
本出願全体で使用される「可能性がある」という用語は、強制的な意味(すなわち、必ずという意味)ではなく、許容的な意味(すなわち、潜在的な可能性があることを意味する)で使用される。「含む(include)」、「含んでいる(including)」、及び「含む(include)」などの語は、含むが限定されないことを意味する。本出願を通して使用される場合、単数形「a」、「an」、及び「the」は、内容が明示的に他を示さない限り、複数の指示対象を含む。したがって、例えば、「an」要素又は「a」要素への言及は、「1つ以上」などの1つ以上の要素に対する他の用語及び句の使用にもかかわらず、2つ以上の要素の組み合わせを含む。「又は」という用語は、別段の指示がない限り、非排他的であり、すなわち「及び」及び「又は」の両方を包含する。条件付き関係を説明する用語、たとえば、「X、Yに応じて」、「X、Yにより」、「X、Yの場合」、「X、Yの場合」などは、前件が関係する因果関係を含むは必要な因果条件、前件は十分な因果条件、又は前件は後件の寄与因果条件であり、例えば、「状態Xは条件Yの取得時に発生」は、「XはYのみで発生」及び「X,YとZで発生」することを含む。このような条件付き関係は、いくつかの結果が遅れる可能性があるため、先行条件の取得にすぐに続く結果に限定されず、条件付きステートメントでは、先行条件は、結果に接続され、例えば先行条件は、結果として起こることの可能性に接続される。複数の属性又は機能が複数のオブジェクトにマッピングされているステートメント(たとえば、ステップA、B、C、及びDを実行する1つ以上のプロセッサ)は、特に明記しない限り、そのようなすべてのオブジェクトにマッピングされているそのようなすべての属性又は機能と、属性又は機能のサブセットにマッピングされている属性又は機能を包含する(例えば、すべてのプロセッサがそれぞれステップA~Dを実行し、プロセッサ1がステップAを実行し、プロセッサ2がステップB及びステップCの一部を実行し、プロセッサ3がステップCの一部及びステップDを実行する)。さらに、特に明記しない限り、1つの値又はアクションが別の条件又は値に「基づく」という記述は、条件又は値が唯一の要因である場合と、条件又は値が複数の要因のうちの1つの要因である場合の両方を含む。特に明記されていない限り、一部の集合の「各」インスタンスにいくつかのプロパティがあるという記述は、より大きな集合の一部のその他の同一又は類似のメンバーがプロパティを持たない場合、つまり、それぞれが必ずしもすべてを意味するわけではない場合を除いて読むべきではない。
特定の米国特許、米国特許出願、又はその他の資料(記事など)が参照により組み込まれている場合、そのような米国特許、米国特許出願、及びその他の資料の本文は、そのような資料と本明細書のステートメント及び図面との間に矛盾がない範囲でのみ参照により組み込まれる。そのような矛盾が生じた場合、参照により組み込まれるそのような米国特許、米国特許出願、及び他の資料におけるそのような矛盾するテキストは、参照により本明細書に具体的に組み込まれない。本開示の特定の実施形態が上記で説明されたが、実施形態は、説明された以外の方法で実施されてもよいことが理解されよう。

Claims (12)

  1. 半導体製造プロセスの条件を監視するための方法であって、
    複数の基板のオーバーレイデータを取得することを含み、オーバーレイは、以前に露光されたパターンを基準とした基板上のアライメントマーカーの測定位置と、前記以前に露光されたパターンを基準とした前記アライメントマーカーの公称位置との間の偏差を表すものであり、前記方法はさらに、
    アライメントセンサシステムによる前記アライメントマーカーの位置の複数の測定値を含み、前記複数の測定値のそれぞれが、前記アライメントセンサシステムの異なる動作パラメータを利用したものである、アライメントマーカー位置データを取得することと、
    前記オーバーレイデータおよび前記アライメントマーカー位置データに基づいて、前記アライメントマーカーの測定位置に対する前記アライメントマーカーの変形の影響を最小限に抑えるように、前記複数の測定値を線形結合するための重みのセットを決定することと、
    決定された重みのセットを以前に決定された重みのセットと比較することと、
    前記比較に基づいて前記半導体製造プロセスの条件が変更された可能性があるか否かを決定することと、を備える方法。
  2. 前記動作パラメータは、前記アライメントセンサシステムからの放射源に関連するパラメータである、請求項1に記載の方法。
  3. 前記動作パラメータは、前記放射源の波長、偏光状態、空間コヒーレンス状態又は時間コヒーレンス状態である、請求項2に記載の方法。
  4. 前記重みのセットを決定することが、基板の異なるゾーンに対して実行される、請求項1から3のいずれかに記載の方法。
  5. 前記異なるゾーンは、基板のエッジに近接するゾーンと、基板のセンターに近接するゾーンとを含む、請求項4に記載の方法。
  6. 半導体製造プロセスの条件を監視する方法であって、
    複数の基板のオーバーレイデータを取得することを含み、オーバーレイは、以前に露光されたパターンを基準とした基板上のアライメントマーカーの測定位置と、前記以前に露光されたパターンを基準とした前記アライメントマーカーの公称位置との間の偏差を表すものであり、前記方法はさらに、
    アライメントセンサシステムによる前記アライメントマーカーの位置の複数の測定値を含み、前記複数の測定値のそれぞれが、前記アライメントセンサシステムの異なる動作パラメータを利用したものである、アライメントマーカー位置データを取得することと、
    前記オーバーレイデータおよび前記アライメントマーカー位置データに基づいて、前記アライメントマーカーの測定位置に対する前記アライメントマーカーの変形の影響を最小限に抑えるように、前記複数の測定値を線形結合するための重みのセットを決定することと、
    前記動作パラメータの複数の値について前記アライメントセンサシステムを使用して、更なる基板についてアライメントマーカー位置の更なる複数の測定値を取得することと、
    前記オーバーレイデータおよび前記更なる基板についての前記更なる複数の測定値に基づいて、前記アライメントマーカーの測定位置に対する前記アライメントマーカーの変形の影響を最小限に抑えるように、前記更なる基板についての前記更なる複数の測定値を線形結合するための新しい重みのセットを決定することと、
    前記重みのセットと前記新しい重みのセットの比較に基づいて前記半導体製造プロセスの条件が変更された可能性があるか否かを決定することと、を備える方法。
  7. 半導体製造プロセスの条件を監視するための方法をコンピュータに実行させるためのコンピュータプログラムであって、前記方法は、
    複数の基板のオーバーレイデータを取得することを含み、オーバーレイは、以前に露光されたパターンを基準とした基板上のアライメントマーカーの測定位置と、前記以前に露光されたパターンを基準とした前記アライメントマーカーの公称位置との間の偏差を表すものであり、前記方法はさらに、
    アライメントセンサシステムによる前記アライメントマーカーの位置の複数の測定値を含み、前記複数の測定値のそれぞれが、前記アライメントセンサシステムの異なる動作パラメータを利用したものである、アライメントマーカー位置データを取得することと、
    前記オーバーレイデータおよび前記アライメントマーカー位置データに基づいて、前記アライメントマーカーの測定位置に対する前記アライメントマーカーの変形の影響を最小限に抑えるように、前記複数の測定値を線形結合するための重みのセットを決定することと、
    決定された重みのセットを以前に決定された重みのセットと比較することと、
    前記比較に基づいて前記半導体製造プロセスの条件が変更された可能性があるか否かを決定することと、を含む、コンピュータプログラム。
  8. 前記動作パラメータは、前記アライメントセンサシステムからの放射源に関連するパラメータである、請求項7に記載のコンピュータプログラム。
  9. 前記動作パラメータは、前記放射源の波長、偏光状態、空間コヒーレンス状態又は時間コヒーレンス状態である、請求項8に記載のコンピュータプログラム。
  10. 前記重みのセットを決定することが、基板の異なるゾーンに対して実行される、請求項7から9のいずれかに記載のコンピュータプログラム。
  11. 前記異なるゾーンは、基板のエッジに近接するゾーンと、基板のセンターに近接するゾーンとを含む、請求項10に記載のコンピュータプログラム。
  12. 半導体製造プロセスの条件を監視する方法をコンピュータに実行させるためのコンピュータプログラムであって、前記方法は、
    複数の基板のオーバーレイデータを取得することを含み、オーバーレイは、以前に露光されたパターンを基準とした基板上のアライメントマーカーの測定位置と、前記以前に露光されたパターンを基準とした前記アライメントマーカーの公称位置との間の偏差を表すものであり、前記方法はさらに、
    アライメントセンサシステムによる前記アライメントマーカーの位置の複数の測定値を含み、前記複数の測定値のそれぞれが、前記アライメントセンサシステムの異なる動作パラメータを利用したものである、アライメントマーカー位置データを取得することと、
    前記オーバーレイデータおよび前記アライメントマーカー位置データに基づいて、前記アライメントマーカーの測定位置に対する前記アライメントマーカーの変形の影響を最小限に抑えるように、前記複数の測定値を線形結合するための重みのセットを決定することと、
    前記動作パラメータの複数の値について前記アライメントセンサシステムを使用して、更なる基板についてアライメントマーカー位置の更なる複数の測定値を取得することと、
    前記オーバーレイデータおよび前記更なる基板についての前記更なる複数の測定値に基づいて、前記アライメントマーカーの測定位置に対する前記アライメントマーカーの変形の影響を最小限に抑えるように、前記更なる基板についての前記更なる複数の測定値を線形結合するための新しい重みのセットを決定することと、
    前記重みのセットと前記新しい重みのセットの比較に基づいて前記半導体製造プロセスの条件が変更された可能性があるか否かを決定することと、を備えるコンピュータプログラム。
JP2022109683A 2017-09-28 2022-07-07 半導体製造プロセスの条件を決定するための方法およびコンピュータプログラム Active JP7465912B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP17193637 2017-09-28
EP17193637.0 2017-09-28
PCT/EP2018/073663 WO2019063245A1 (en) 2017-09-28 2018-09-04 LITHOGRAPHIC METHOD
JP2020517951A JP2020535484A (ja) 2017-09-28 2018-09-04 リソグラフィ方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020517951A Division JP2020535484A (ja) 2017-09-28 2018-09-04 リソグラフィ方法

Publications (2)

Publication Number Publication Date
JP2022164661A JP2022164661A (ja) 2022-10-27
JP7465912B2 true JP7465912B2 (ja) 2024-04-11

Family

ID=59974285

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020517951A Pending JP2020535484A (ja) 2017-09-28 2018-09-04 リソグラフィ方法
JP2022109683A Active JP7465912B2 (ja) 2017-09-28 2022-07-07 半導体製造プロセスの条件を決定するための方法およびコンピュータプログラム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020517951A Pending JP2020535484A (ja) 2017-09-28 2018-09-04 リソグラフィ方法

Country Status (3)

Country Link
JP (2) JP2020535484A (ja)
KR (2) KR102445282B1 (ja)
CN (1) CN111164515B (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114706281B (zh) * 2022-05-18 2023-09-19 圆周率半导体(南通)有限公司 一种提高pcb板曝光均匀性的方法
KR102662778B1 (ko) * 2023-08-16 2024-04-30 (주)오로스 테크놀로지 오버레이 계측 장치의 타겟 선정 방법 및 오버레이 계측 장치의 타겟 선정 시스템

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005513771A (ja) 2001-12-17 2005-05-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド フィードフォワードオーバーレイ情報を導入したフォトリソグラフィー・オーバーレイ整合を制御するための方法および装置
JP2011066323A (ja) 2009-09-18 2011-03-31 Toshiba Corp 露光処理の補正方法
JP2013118369A (ja) 2011-12-02 2013-06-13 Asml Netherlands Bv アライメントマーク変形推定方法、基板位置予測方法、アライメントシステムおよびリソグラフィ装置
WO2017032534A2 (en) 2015-08-27 2017-03-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1022207A (ja) * 1996-07-08 1998-01-23 Nikon Corp 位置検出装置
JP4095391B2 (ja) * 2002-09-24 2008-06-04 キヤノン株式会社 位置検出方法
JP4072407B2 (ja) * 2002-09-24 2008-04-09 キヤノン株式会社 露光方法
EP3048637B1 (en) * 2004-04-23 2017-08-30 Nikon Corporation Exposure apparatus and expsure method
EP1744217B1 (en) * 2005-07-12 2012-03-14 ASML Netherlands B.V. Method of selecting a grid model for correcting grid deformations in a lithographic apparatus and lithographic assembly using the same
JP2009145681A (ja) * 2007-12-14 2009-07-02 Hitachi Displays Ltd 表示装置の製造方法
JP2011159753A (ja) * 2010-01-29 2011-08-18 Nikon Corp 検出条件最適化方法、プログラム作成方法、及び露光装置
JP2012059853A (ja) * 2010-09-08 2012-03-22 Nikon Corp 検出条件最適化方法、プログラム作成方法、並びに露光装置及びマーク検出装置
CN103582819B (zh) * 2011-04-06 2016-09-14 科磊股份有限公司 用于提供经改进过程控制的质量度量的方法及系统
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
CN107850862B (zh) * 2015-07-13 2020-06-05 Asml荷兰有限公司 光刻设备和器件制造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005513771A (ja) 2001-12-17 2005-05-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド フィードフォワードオーバーレイ情報を導入したフォトリソグラフィー・オーバーレイ整合を制御するための方法および装置
JP2011066323A (ja) 2009-09-18 2011-03-31 Toshiba Corp 露光処理の補正方法
JP2013118369A (ja) 2011-12-02 2013-06-13 Asml Netherlands Bv アライメントマーク変形推定方法、基板位置予測方法、アライメントシステムおよびリソグラフィ装置
WO2017032534A2 (en) 2015-08-27 2017-03-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method

Also Published As

Publication number Publication date
JP2022164661A (ja) 2022-10-27
KR20200037860A (ko) 2020-04-09
CN111164515B (zh) 2022-03-22
KR102445282B1 (ko) 2022-09-19
JP2020535484A (ja) 2020-12-03
KR20220041955A (ko) 2022-04-01
KR102378617B1 (ko) 2022-03-23
CN111164515A (zh) 2020-05-15

Similar Documents

Publication Publication Date Title
US10962887B2 (en) Lithographic method
US11036146B2 (en) Method and apparatus to reduce effects of nonlinear behavior
CN111433679B (zh) 确定与图案化过程有关的信息的方法、减小测量数据中的误差的方法、校准量测过程的方法、选择量测目标的方法
US10725372B2 (en) Method and apparatus for reticle optimization
JP7465912B2 (ja) 半導体製造プロセスの条件を決定するための方法およびコンピュータプログラム
US10691863B2 (en) Method and apparatus to correct for patterning process error
KR102124896B1 (ko) 처리 파라미터의 간접 결정
TWI815508B (zh) 判定一圖案化裝置之透鏡致動器設定的方法及相關聯非暫時性電腦可讀媒體
US10558130B2 (en) Methods for controlling lithographic apparatus, lithographic apparatus and device manufacturing method
US10915689B2 (en) Method and apparatus to correct for patterning process error
US10719011B2 (en) Method and apparatus to correct for patterning process error
US20180299770A1 (en) Method and apparatus to correct for patterning process error
WO2017067756A1 (en) Method and apparatus to correct for patterning process error

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220707

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230815

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231017

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240401

R150 Certificate of patent or registration of utility model

Ref document number: 7465912

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150