JP7154232B2 - 堆積-処理-エッチングプロセスを用いたシリコンの選択的堆積 - Google Patents

堆積-処理-エッチングプロセスを用いたシリコンの選択的堆積 Download PDF

Info

Publication number
JP7154232B2
JP7154232B2 JP2019566806A JP2019566806A JP7154232B2 JP 7154232 B2 JP7154232 B2 JP 7154232B2 JP 2019566806 A JP2019566806 A JP 2019566806A JP 2019566806 A JP2019566806 A JP 2019566806A JP 7154232 B2 JP7154232 B2 JP 7154232B2
Authority
JP
Japan
Prior art keywords
plasma
film
silicon
deposition
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019566806A
Other languages
English (en)
Other versions
JP2020523782A (ja
Inventor
ルイ チェン,
フェイ ワン,
アブヒジット バス マリック,
ロバート ヤン フィッサー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020523782A publication Critical patent/JP2020523782A/ja
Application granted granted Critical
Publication of JP7154232B2 publication Critical patent/JP7154232B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K31/00Medicinal preparations containing organic active ingredients
    • A61K31/33Heterocyclic compounds
    • A61K31/395Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins
    • A61K31/435Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins having six-membered rings with one nitrogen as the only ring hetero atom
    • A61K31/438The ring being spiro-condensed with carbocyclic or heterocyclic ring systems
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K31/00Medicinal preparations containing organic active ingredients
    • A61K31/33Heterocyclic compounds
    • A61K31/395Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins
    • A61K31/435Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins having six-membered rings with one nitrogen as the only ring hetero atom
    • A61K31/44Non condensed pyridines; Hydrogenated derivatives thereof
    • A61K31/4409Non condensed pyridines; Hydrogenated derivatives thereof only substituted in position 4, e.g. isoniazid, iproniazid
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K31/00Medicinal preparations containing organic active ingredients
    • A61K31/33Heterocyclic compounds
    • A61K31/395Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins
    • A61K31/435Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins having six-membered rings with one nitrogen as the only ring hetero atom
    • A61K31/47Quinolines; Isoquinolines
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K31/00Medicinal preparations containing organic active ingredients
    • A61K31/33Heterocyclic compounds
    • A61K31/395Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins
    • A61K31/495Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins having six-membered rings with two or more nitrogen atoms as the only ring heteroatoms, e.g. piperazine or tetrazines
    • A61K31/4965Non-condensed pyrazines
    • A61K31/497Non-condensed pyrazines containing further heterocyclic rings
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K47/00Medicinal preparations characterised by the non-active ingredients used, e.g. carriers or inert additives; Targeting or modifying agents chemically bound to the active ingredient
    • A61K47/06Organic compounds, e.g. natural or synthetic hydrocarbons, polyolefins, mineral oil, petrolatum or ozokerite
    • A61K47/08Organic compounds, e.g. natural or synthetic hydrocarbons, polyolefins, mineral oil, petrolatum or ozokerite containing oxygen, e.g. ethers, acetals, ketones, quinones, aldehydes, peroxides
    • A61K47/12Carboxylic acids; Salts or anhydrides thereof
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K47/00Medicinal preparations characterised by the non-active ingredients used, e.g. carriers or inert additives; Targeting or modifying agents chemically bound to the active ingredient
    • A61K47/06Organic compounds, e.g. natural or synthetic hydrocarbons, polyolefins, mineral oil, petrolatum or ozokerite
    • A61K47/26Carbohydrates, e.g. sugar alcohols, amino sugars, nucleic acids, mono-, di- or oligo-saccharides; Derivatives thereof, e.g. polysorbates, sorbitan fatty acid esters or glycyrrhizin
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K47/00Medicinal preparations characterised by the non-active ingredients used, e.g. carriers or inert additives; Targeting or modifying agents chemically bound to the active ingredient
    • A61K47/30Macromolecular organic or inorganic compounds, e.g. inorganic polyphosphates
    • A61K47/36Polysaccharides; Derivatives thereof, e.g. gums, starch, alginate, dextrin, hyaluronic acid, chitosan, inulin, agar or pectin
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K9/00Medicinal preparations characterised by special physical form
    • A61K9/0012Galenical forms characterised by the site of application
    • A61K9/0019Injectable compositions; Intramuscular, intravenous, arterial, subcutaneous administration; Compositions to be administered through the skin in an invasive manner
    • A61K9/0024Solid, semi-solid or solidifying implants, which are implanted or injected in body tissue
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61PSPECIFIC THERAPEUTIC ACTIVITY OF CHEMICAL COMPOUNDS OR MEDICINAL PREPARATIONS
    • A61P31/00Antiinfectives, i.e. antibiotics, antiseptics, chemotherapeutics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Public Health (AREA)
  • Animal Behavior & Ethology (AREA)
  • Veterinary Medicine (AREA)
  • General Health & Medical Sciences (AREA)
  • Pharmacology & Pharmacy (AREA)
  • Medicinal Chemistry (AREA)
  • Epidemiology (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Molecular Biology (AREA)
  • Biochemistry (AREA)
  • Biomedical Technology (AREA)
  • Neurosurgery (AREA)
  • Dermatology (AREA)
  • Organic Chemistry (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Communicable Diseases (AREA)
  • Oncology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Weting (AREA)

Description

[0001] 本開示は概して、シリコン膜を選択的に堆積させる方法に関する。具体的には、本開示は、多重ステージの堆積-処理-エッチングプロセスにより、シリコン層を選択的に堆積するためのプロセスに関する。
[0002] ガスの化学反応によって基板上に膜を形成することは、現代の半導体デバイスの製造における第一次段階の1つである。そのような堆積プロセスは、化学気相堆積(CVD)、並びに、従来型のCVD技法と組み合わせてプラズマを使用するプラズマ化学気相堆積(PECVD)を含む。
[0003] 半導体用のパターニング用途のニーズがあるため、選択的な堆積プロセスがより頻繁に採用されてきている。従来、マイクロエレクトロニクス業界では、さまざまなリソグラフィやエッチングプロセスを使用してパターニングが行われてきた。しかしながら、リソグラフィは指数関数的に複雑化し、高価になっているため、フィーチャ(特徴)を堆積するための選択的堆積がより興味をそそるものになっている。
[0004] デバイスサイズが10nmレジーム(regime)未満まで縮小し続けているため、フォトリソグラフィ技術を使用した従来のパターニングプロセスはますます困難になりつつある。より小さなデバイスサイズでは、正確でないパターニングとデバイス性能の低下が蔓延している。さらに、複数のパターニング技術により、製造プロセスは複雑化し、高価になっている。
[0005] そのため、別の表面と比べて、ある1つの表面の上に膜を選択的に堆積する技術的な方法が必要になっている。
[0006] 本開示の一又は複数の実施形態は、第1の表面と第2の表面を有するように準備された基板に膜を選択的に堆積する方法を対象としている。第1の表面と第2の表面にシリコン膜を堆積するため、基板はシランと堆積プラズマに曝露される。シリコン膜は第1の表面と第2の表面とで異なる性質を有する。第1の表面又は第2の表面のうちの一又は複数の上で、シリコン膜の構造、組成又は形態を変えるため、シリコン膜は処理プラズマに曝露される。処理プラズマはAr、He、又はHのうちの一又は複数のプラズマを含む。第2の表面から膜のほぼすべてを除去し、第1の表面の上のシリコン膜の少なくとも一部を残すため、膜は第1の表面及び第2の表面からエッチングされる。第2の表面と比べて、第1の表面の上に膜を選択的に形成するため、堆積、処理及びエッチングが繰り返される。
[0007] 本開示の追加的な実施形態は、基本的にシリコンからなる第1の表面と、少なくとも1つの異なる材料からなる第2の表面を有するように準備された基板に膜を選択的に堆積する方法を対象としている。第1の表面と第2の表面にシリコン膜を堆積するため、基板はSiHと堆積プラズマに曝露される。シリコン膜は第1の表面と第2の表面とで異なる性質を有する。第1の表面又は第2の表面のうちの一又は複数の上で、シリコン膜の構造、組成又は形態を変えるため、シリコン膜は処理プラズマに曝露される。処理プラズマはAr、He、又はHのうちの一又は複数のプラズマからなる。第2の表面から膜のほぼすべてを除去し、第1の表面の上のシリコン膜の少なくとも一部を残すため、膜は第1の表面及び第2の表面から熱エッチングされる。第2の表面と比べて、第1の表面の上に膜を選択的に形成するため、堆積、処理及びエッチングが繰り返される。
[0008] 本開示のさらなる実施形態は、基本的にシリコンからなる第1の表面と、少なくとも1つの異なる材料からなる第2の表面を有するように準備された基板に膜を選択的に堆積する方法を対象としている。第1の表面と第2の表面にシリコン膜を堆積するため、基板はSiHと堆積プラズマに曝露される。シリコン膜は第1の表面と第2の表面とで異なる性質を有する。第1の表面又は第2の表面のうちの一又は複数の上で、シリコン膜の構造、組成又は形態を変えるため、シリコン膜は処理プラズマに曝露される。処理プラズマはAr、He、又はHのうちの一又は複数のプラズマからなる。第2の表面から膜のほぼすべてを除去し、第1の表面の上のシリコン膜の少なくとも一部を残すため、膜は第1の表面及び第2の表面からプラズマエッチングされる。第2の表面と比べて、第1の表面の上に膜を選択的に形成するため、堆積、処理及びエッチングが繰り返される。
[0009] 本発明の上述の特徴を詳細に理解しうるように、上記で簡単に要約されている本発明のより詳細な説明が、実施形態を参照することによって得られ、一部の実施形態は付随する図面に示されている。しかし、本発明は他の等しく有効な実施形態も許容しうることから、付随する図面は、この発明の典型的な実施形態のみを示しており、したがって、発明の範囲を限定するとみなすべきではないことに、留意されたい。
本開示の一又は複数の実施形態によるプロセスフローを示す。
[0011] 本発明のいくつかの例示的な実施形態を説明する前に、本発明は下記の説明において明記される構成又はプロセスステップの詳細事項に限定されないということを、理解されたい。本発明は、他の実施形態が可能であり、かつ、様々な方法で実践又は実行されることが可能である。
[0012] 本書で使用する「基板」とは、製造プロセス中に膜処理が実行される任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理が実行され得る基板表面には、用途に応じて、シリコン、酸化ケイ素、歪みシリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電材料などの任意の他の材料が含まれる。基板は、半導体ウエハを含むが、それに限定されるわけではない。基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム(eビーム)硬化、且つ/又はベークするために、基板を前処理プロセスに曝すことができる。本発明では、基板自体の表面に直接的に膜処理を行うことに加えて、開示されている膜処理ステップのうちの任意のものが、以下でより詳細に開示するように、基板に形成された下部層に実施されることもある。「基板表面(substrate surface)」という語は、文脈から分かるように、かかる下部層を含むことを意図している。したがって、例えば基板表面上に膜/層または膜/層の一部が堆積している場合には、新たに堆積した膜/層の露出面が、基板表面になるのである。
[0013] 本開示の実施形態は、様々な表面組成を有する基板上に膜(例えば、シリコン)を選択的に堆積する方法を提供する。いくつかの実施形態は、有利にはクラスタツール環境で実行可能な循環的な堆積-処理-エッチングプロセスを含む方法を提供する。いくつかの実施形態は、有利には、他の表面と比べて、シリコン表面の上にシリコン膜を堆積する。
[0014] 特定の操作理論に縛られることなく、材料(例えば、Si)の核形成は様々な表面で異なると考えられている。そのため、結晶度の異なる膜上での核形成は異なるであろう。加えて、材料(例えば、Si)のエッチング速度は様々な表面で異なるであろう。いくつかの実施形態は、有利には、他の表面ではなく、特定の表面の上でより速く材料(例えば、Si)をエッチングするためにプラズマを使用する方法を提供する。いくつかの実施形態は、有利には、堆積-処理-エッチングプロセスを繰り返すことによって、シリコン膜の選択的な堆積を作り出すため、異なる表面上で異なるエッチング速度を使用する。
[0015] 図は、本開示の一又は複数の実施形態による例示的な処理方法100を示す。第1の表面と第2の表面を有する基板が、110での処理に提供される。このように使用されている、「提供される(provided)」という用語は、更なる処理のために、基板がある位置又は環境に置かれることを意味する。第1の表面と第2の表面は材料が異なる。例えば、表面の一方はシリコンで、他方は金属になりうる。いくつかの実施形態では、第1の表面と第2の表面は同じ化学組成を有するが、異なる物理特性(例えば、結晶化度)を有する。
[0016] 第1の表面は、限定するものではないが、例えば、金属膜を含む任意の好適な材料になりうる。いくつかの実施形態では、第1の表面は、シリコン、タングステン、コバルト、銅、ルテニウム、パラジウム、プラチナ、ニッケル、クロム、マンガン、鉄、ジルコニウム、モリブデン、ニオブ、銀、ハフニウム、タンタル、又はランタノイドのうちの一又は複数を含む金属である。いくつかの実施形態では、第1の表面は、基本的にシリコンからなる。このように使用されている、「基本的に~からなる」という表現は、表面に関して、原子ベースで約95%、98%、又は99%以上がシリコンであることを意味する。
[0017] 第2の表面は、第1の表面とは異なる任意の好適な表面になりうる。第1の表面と第2の表面の違いは、膜組成又は膜の何らかの物理特性に基づきうる。いくつかの実施形態では、第2の表面は、金属ボライド、金属酸化物、金属窒化物、金属炭化物、金属オキシカーバイド、金属酸窒化物、金属オキシボライド、金属窒化ホウ素、金属ボロカーバイド、金属炭窒化物、金属オキシカーボナイトライド、金属ボロカーボナイトライド、金属ボロオキシナイトライド、金属オキシボロカーボナイトライド、又はこれらの組み合わせを含む。いくつかの実施形態では、第2の表面は、低誘電率(k<5)又は高誘電率(k>=5)を有する誘電体材料を含む。いくつかの実施形態では、第2の表面は、酸化ケイ素、窒化ケイ素のうちの一又は複数を含む。
[0018] いくつかの実施形態では、第1の表面は金属表面を含み、第2の表面は、第1の表面と同様の金属を含むが、第1の表面とは異なる結晶化度を有する。いくつかの実施形態では、第1の表面と第2の表面は、異なる結晶構造、密度、及び/又は表面終端を有する誘電体材料を含む。
[0019] 図中のプロセスの説明は、シリコンを含む第1の表面と酸化ケイ素又は窒化ケイ素のうちの一又は複数を含む第2の表面を有する基板に関して示されているが、当業者であれば、これが可能な構成の1つを表わしているにすぎず、他の組み合わせも本開示の範囲内にあることを認識するであろう。基板は120で、シラン及び堆積プラズマに曝露される。本開示の目的では、この曝露は堆積と称される。いくつかの実施形態では、シランは化学式Si2n+2を有する少なくとも1つの種(species)を含む。いくつかの実施形態では、シランは基本的にSiHからなる。いくつかの実施形態では、シランは基本的にSiからなる。いくつかの実施形態では、シランは基本的にジクロロシランSiHClからなる。このように使用される「基本的に~からなる」という表現は、シランに関して、重量単位で約95%、98%、又は99%以上が上記の種であることを意味する。いくつかの実施形態では、シランはハロゲン原子がCl、Br及びIのうちの一又は複数を含むハロゲン化ケイ素種を含む。いくつかの実施形態では、ハロゲン化ケイ素は実質的にフッ素原子を含まない。このように使用されている、「実質的にフッ素原子を含まない」という表現は、ハロゲン種の組成に関して、原子ベースで約95%、98%、又は99%以下がフッ素であることを意味する。
[0020] いくつかの実施形態では、堆積プラズマは、Ar、He、H又はNのうちの一又は複数を含む。いくつかの実施形態では、堆積プラズマは、基本的にArからなる。いくつかの実施形態では、堆積プラズマは、基本的にHeからなる。いくつかの実施形態では、堆積プラズマは、基本的にHからなる。いくつかの実施形態では、堆積プラズマは、基本的にNからなる。このように使用されている「基本的に~からなる」という表現は、堆積プラズマに関して、原子ベースで約95%、98%、又は99%以上が上記の種であることを意味する。
[0021] 堆積プラズマは、導電結合プラズマ(CCP)又は誘導結合プラズマ(ICP)であってよく、また、直接プラズマ又は遠隔プラズマであってよい。いくつかの実施形態では、堆積プラズマは約0Wから約2000Wの範囲の出力を有する。いくつかの実施形態では、最小プラズマ出力は0W、10W、50W又は100Wを超える。
[0022] 堆積120中の温度は、例えば、使用される前駆体及び/又は堆積プラズマに応じて、任意の好適な温度になりうる。いくつかの実施形態では、堆積温度は、約100°C~500°Cの範囲内、又は約150°C~約450°Cの範囲内、又は約200°C~約400°Cの範囲内にある。
[0023] 堆積120中の処理チャンバ圧力は、約100mTorr~300Torrの範囲内、又は約200mTorr~約250Torrの範囲内、又は約500mTorr~約200Torrの範囲内、又は約1Torr~約150Torrの範囲内にありうる。
[0024] 既に特定されているように、第1の表面及び第2の表面の上でのシリコン膜の核形成は、120における第1の表面及び第2の表面の上でのシラン/プラズマへの曝露の結果として、厚み並びに堆積した膜の物理特性に影響を及ぼしうる。いくつかの実施形態では、堆積後、第1の表面の上と第2の表面の上に堆積したシリコン膜の結晶化度は異なる。
[0025] 堆積した膜は、処理プロセスに移行する前に任意の好適な厚みになりうる。いくつかの実施形態では、堆積した膜の厚みは、処理プロセスに移行する前に約5A、10A、15A、20A又は25A以上である。いくつかの実施形態では、堆積した膜の厚みは、処理プロセスに移行する前に約100A、90A、80A、70A、60A又は50A以下である。
[0026] 第1の表面及び/又は第2の表面の上のシリコン膜の構造、組成又は形態を変えるため、堆積後、基板は130で処理プラズマに曝露される。本開示の目的では、この曝露は処理と称される。
[0027] いくつかの実施形態では、処理プラズマは、Ar、He、又はHのうちの一又は複数を含む。いくつかの実施形態では、処理プラズマは、基本的にArからなる。いくつかの実施形態では、処理プラズマは、基本的にHeからなる。いくつかの実施形態では、処理プラズマは、基本的にHからなる。このように使用される「基本的に~からなる」という表現は、処理プラズマに関して、原子ベースで約95%、98%、又は99%以上が上記の種であることを意味する。いくつかの実施形態では、処理プラズマは堆積プラズマと同じである。いくつかの実施形態では、処理プラズマは堆積プラズマとは異なる。
[0028] 処理プラズマは、導電結合プラズマ(CCP)又は誘導結合プラズマ(ICP)であってよく、また、直接プラズマ又は遠隔プラズマであってよい。いくつかの実施形態では、プラズマは約0~約2000Wの範囲内の出力を有する。いくつかの実施形態では、最小プラズマ出力は0W、10W、50W又は100Wを超える。
[0029] 処理130での温度は、例えば、使用される処理プラズマに応じて任意の好適な温度になりうる。いくつかの実施形態では、処理温度は、約100°C~約500°Cの範囲内、又は約150°C~約450°Cの範囲内、又は約200°C~約400°Cの範囲内にある。
[0030] 処理130中の処理チャンバ圧力は、約100mTorr~300Torrの範囲内、又は約200mTorr~約250Torrの範囲内、又は約500mTorr~約200Torrの範囲内、又は約1Torr~約150Torrの範囲内にありうる。
[0031] 既に特定されているように、第1の表面及び第2の表面の上のシリコン膜の構造、組成又は形態は、130での処理プラズマへの曝露の結果として、異なるものになるであろう。いくつかの実施形態では、第1の表面及び第2の表面の上のシリコン膜の処理後の結晶化度は異なる。いくつかの実施形態では、第1の表面及び第2の表面の上のシリコン膜の処理後の結晶化度は処理前とは異なり、処理後の結晶化度の差異は処理前よりも大きくなる。
[0032] 処理後、140で基板はエッチングされ、第2の表面からはほとんどすべてのシリコン膜が除去され、第1の表面の上の少なくとも一部のシリコン膜は残される。このように使用される「ほとんどすべて」という表現は、第2の表面から十分な量の膜が除去され、その後の堆積プロセスに核形成遅延(nucleation delay)をもたらす。いくつかの実施形態では、第2の表面からほとんどすべての膜を除去することは、第2の表面から少なくとも約95%、98%又は99%の膜がエッチングされるか除去されることを意味する。
[0033] いくつかの実施形態では、膜は熱エッチングプロセスによってエッチングされる。本開示の目的では、熱エッチングプロセスは、エッチャントを熱エッチングプロセスの反応物質(reactant)として利用しうる。いくつかの実施形態では、熱エッチングプロセスは、Hを含むエッチャントと共に実施される。いくつかの実施形態では、不活性ガスは、熱エッチングプロセスの間に、エッチャントと共に流される。
[0034] いくつかの実施形態では、膜はプラズマエッチングプロセスによってエッチングされる。本開示の目的では、プラズマエッチングプロセスで利用されるプラズマは、エッチングプラズマと称される。いくつかの実施形態では、エッチングプラズマは、H、HCl、Cl、又はNFのうちの一又は複数を含む。いくつかの実施形態では、エッチングプラズマは、基本的にHからなる。いくつかの実施形態では、エッチングプラズマは、基本的にHClからなる。いくつかの実施形態では、エッチングプラズマは、基本的にClからなる。いくつかの実施形態では、エッチングプラズマは、基本的にNFからなる。このように使用される「基本的に~からなる」という表現は、エッチングプラズマに関して、原子ベースで約95%、98%、又は99%以上が上記の種であることを意味する。いくつかの実施形態では、不活性ガスは、プラズマエッチングプロセスの間に、エッチングプラズマと共に流される。
[0035] エッチングプラズマは、導電結合プラズマ(CCP)又は誘導結合プラズマ(ICP)であってよく、また、直接プラズマ又は遠隔プラズマであってよい。いくつかの実施形態では、プラズマは約0~約2000Wの範囲内の出力を有する。いくつかの実施形態では、最小プラズマ出力は0W、10W、50W又は100Wを超える。
[0036] エッチング130中の温度は、例えば、使用されるエッチングプロセス、エッチャント及び/又はエッチングプラズマに応じて、任意の好適な温度になりうる。いくつかの実施形態では、エッチング温度は、約100°C~約500°Cの範囲内、又は約150°C~約450°Cの範囲内、又は約200°C~約400°Cの範囲内にある。
[0037] エッチング140中の処理チャンバ圧力は、約100mTorr~300Torrの範囲内、又は約200mTorr~約250Torrの範囲内、又は約500mTorr~約200Torrの範囲内、又は約1Torr~約150Torrの範囲内にありうる。
[0038] エッチング後、方法100は決定点(decision point)150に達する。シリコン膜が第1の層上で所定の厚みに達すると、基板はオプションにより、160でさらなるポストプロセスを継続する。シリコン膜が第1の層上で所定の厚みに達しない場合には、「堆積」-「処理」-「エッチング」のサイクルを少なくとも1回追加するため、方法は120に戻る。
[0039] いくつかの実施形態は、オプションのポストプロセス(事後処理)160工程を含む。ポストプロセス160は、膜又は基板のパラメータを改善するため、堆積した膜又は基板を修正するために使用されうる。いくつかの実施形態では、ポストプロセス160は、膜のアニーリングを含む。いくつかの実施形態では、ポストプロセス160は、堆積120、処理130及び/又はエッチング140に使用されるのと同じ処理チャンバでのインシトゥ(その場)アニールによって実施されうる。好適なアニーリング処理には、非限定的に、急速熱処理(RTP)又は急速熱アニール(RTA)、スパイクアニール、又はUV硬化、又は電子ビーム硬化及び/又はレーザアニールが含まれる。アニール温度は、約500°C~900°Cの範囲内でありうる。アニール中の環境の組成物には、H、Ar、He、N、NH、SiHなどの一又は複数が含まれうる。アニール中の圧力は、約100mTorr~約1atmの範囲内であってよい。
[0040] 本開示に記載されている方法中の任意の時点で、基板は加熱又は冷却されうる。このような加熱又は冷却は、限定するものではないが、基板支持体の温度を変化させること、及び、基板表面へ加熱されたまたは冷却されたガスを流すことを含む、任意の好適な手段によって、達成することができる。いくつかの実施形態では、基板支持体は、伝導的に基板温度を変化させるように制御することができる、ヒータ/クーラを含む。一又は複数の実施形態では、基板温度を局所的に変化させるため、使用されるガス(反応性ガスまたは不活性ガス)が加熱または冷却される。いくつかの実施形態では、基板温度を対流によって変化させるため、ヒータ/クーラは、チャンバ内部で基板表面に隣接するように配置される。
[0041] 基板はまた、プロセス中に、静止又は回転させることができる。回転基板は、連続的に、又は非連続に段階的に、回転されうる。例えば、プロセス全体を通して基板を回転させてもよく、又は、様々な反応性ガス、パージガス又はプラズマへの曝露の合間に基板を少しずつ回転させてもよい。処理中に基板を(連続的に或いは段階的に)回転させることは、例えばガス流形状の局所的可変性の影響を最小化することによって、より均一な堆積、又はエッチングの生成に役立ちうる。
[0042] 本明細書全体を通じて、「一実施形態」、「特定の実施形態」、「一又は複数の実施形態」、又は「実施形態」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な箇所での「一又は複数の実施形態で」、「いくつかの実施形態で」、又は「一実施形態で」又は「実施形態で」などの文言の表出は、必ずしも、本発明の同一の実施形態に言及しているわけではない。さらに、特定の特徴、構造、材料、又は特性は、一又は複数の実施形態において任意の適切な様態で組み合わせてもよい。
[0043] 本書の発明は特定の実施形態を参照して説明されているが、これらの実施形態は本発明の原理及び用途の例示にすぎないことを、理解されたい。本発明の趣旨及び範囲から逸脱することなく、本発明の方法及び装置に様々な改変及び変形を行いうることが、当業者には明らかになろう。したがって、本発明は、付随する特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (15)

  1. 膜を選択的に堆積する方法であって、
    第1の表面と第2の表面を有する基板を提供することと、
    前記第1の表面と前記第2の表面の上に、前記第1の表面の上と前記第2の表面の上とで異なる結晶化度を有するシリコン膜を堆積するために、シラン及び堆積プラズマに前記基板を曝露することと、
    前記第1の表面の上のシリコン膜と前記第2の表面の上のシリコン膜との間の結晶化度の差異を増大させるため、Ar、He、又はHのうちの一又は複数のプラズマを含む処理プラズマに前記シリコン膜を曝露することと、
    前記第2の表面から前記膜のほとんどすべてを除去し、前記第1の表面の上の前記シリコン膜の少なくとも一部を残すため、前記第1の表面と前記第2の表面から前記膜をエッチングすることと、
    前記第2の表面と比べて、前記第1の表面の上に膜を選択的に形成するため、堆積、処理及びエッチングを繰り返すことと、
    を含む方法。
  2. 前記基板の前記第1の表面は基本的にシリコンからなる、請求項1に記載の方法。
  3. 前記基板の前記第2の表面は、酸化ケイ素、窒化ケイ素、ガラス又は金属のうちの一又は複数を含む、請求項1に記載の方法。
  4. 前記シランは一般式Si2n+2を有する少なくとも1つの種を含む、請求項1に記載の方法。
  5. 前記シランは基本的にSiHからなる、請求項3に記載の方法。
  6. 前記シランは基本的にSiからなる、請求項3に記載の方法。
  7. 前記シランは基本的にSiHCl(ジクロロシラン又はDCS)からなる、請求項1に記載の方法。
  8. 前記堆積プラズマは、Ar、He、H、又はNのうちの一又は複数を含む、請求項1に記載の方法。
  9. 堆積後、前記シリコン膜の結晶化度は、前記第1の表面の上と前記第2の表面の上とで異なる、請求項1に記載の方法。
  10. 前記処理プラズマは基本的に容量結合プラズマからなる、請求項1に記載の方法。
  11. 前記処理プラズマは基本的に誘導結合プラズマからなる、請求項1に記載の方法。
  12. 処理後、堆積した前記シリコン膜の結晶化度は前記第1の表面の上と前記第2の表面の上とで異なる、請求項1に記載の方法。
  13. 前記膜は熱エッチングプロセスを用いてエッチングされる、請求項1に記載の方法。
  14. 前記膜は、プラズマエッチングプロセスを用いてエッチングされる、請求項1に記載の方法。
  15. 前記膜はH、HCl、Cl、又はNFからなるプラズマによってエッチングされる、請求項1に記載の方法。
JP2019566806A 2017-06-06 2018-06-06 堆積-処理-エッチングプロセスを用いたシリコンの選択的堆積 Active JP7154232B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762515852P 2017-06-06 2017-06-06
US62/515,852 2017-06-06
PCT/US2018/036241 WO2018226817A1 (en) 2017-06-06 2018-06-06 Selective deposition of silicon using deposition-treat-etch process

Publications (2)

Publication Number Publication Date
JP2020523782A JP2020523782A (ja) 2020-08-06
JP7154232B2 true JP7154232B2 (ja) 2022-10-17

Family

ID=64565986

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019566806A Active JP7154232B2 (ja) 2017-06-06 2018-06-06 堆積-処理-エッチングプロセスを用いたシリコンの選択的堆積

Country Status (6)

Country Link
US (2) US11081348B2 (ja)
JP (1) JP7154232B2 (ja)
KR (1) KR102320775B1 (ja)
CN (1) CN110870044B (ja)
TW (1) TWI711716B (ja)
WO (1) WO2018226817A1 (ja)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008522437A (ja) 2004-12-01 2008-06-26 アプライド マテリアルズ インコーポレイテッド 交互ガス供給による選択的エピタキシープロセス
JP2014512669A (ja) 2011-02-23 2014-05-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 低温選択エピタキシー方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5470768A (en) * 1992-08-07 1995-11-28 Fujitsu Limited Method for fabricating a thin-film transistor
KR100434698B1 (ko) * 2001-09-05 2004-06-07 주식회사 하이닉스반도체 반도체소자의 선택적 에피성장법
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US20080026149A1 (en) 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
KR101496149B1 (ko) * 2008-12-08 2015-02-26 삼성전자주식회사 결정질 실리콘 제조 방법
JP6086862B2 (ja) * 2013-08-30 2017-03-01 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
TW201525173A (zh) * 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008522437A (ja) 2004-12-01 2008-06-26 アプライド マテリアルズ インコーポレイテッド 交互ガス供給による選択的エピタキシープロセス
JP2014512669A (ja) 2011-02-23 2014-05-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 低温選択エピタキシー方法

Also Published As

Publication number Publication date
US20180350597A1 (en) 2018-12-06
TWI711716B (zh) 2020-12-01
JP2020523782A (ja) 2020-08-06
US11769666B2 (en) 2023-09-26
WO2018226817A1 (en) 2018-12-13
US11081348B2 (en) 2021-08-03
CN110870044B (zh) 2023-07-28
TW201903195A (zh) 2019-01-16
US20200144060A9 (en) 2020-05-07
KR102320775B1 (ko) 2021-11-01
CN110870044A (zh) 2020-03-06
KR20200003280A (ko) 2020-01-08
US20210351035A1 (en) 2021-11-11

Similar Documents

Publication Publication Date Title
JP7203515B2 (ja) 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
JP7118512B2 (ja) 反応性アニールを使用する間隙充填
TWI695903B (zh) 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法
TWI394858B (zh) 用於沉積具有降低電阻率及改良表面形態之鎢膜的方法
JP7118511B2 (ja) シリコン間隙充填のための二段階プロセス
TWI819257B (zh) 具有可調整碳含量之碳氮化矽間隙填充
JP6946463B2 (ja) ワードライン抵抗を低下させる方法
JP7154232B2 (ja) 堆積-処理-エッチングプロセスを用いたシリコンの選択的堆積
TWI329340B (en) Method for manufacturing semiconductor device
US20230197438A1 (en) Selective tantalum nitride deposition for barrier applications
TW202316632A (zh) 形成具有降低電阻的記憶體裝置的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210531

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220913

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221004

R150 Certificate of patent or registration of utility model

Ref document number: 7154232

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150