JP7015336B2 - Optical systems and assay chips for probing, detecting and analyzing molecules - Google Patents

Optical systems and assay chips for probing, detecting and analyzing molecules Download PDF

Info

Publication number
JP7015336B2
JP7015336B2 JP2020037466A JP2020037466A JP7015336B2 JP 7015336 B2 JP7015336 B2 JP 7015336B2 JP 2020037466 A JP2020037466 A JP 2020037466A JP 2020037466 A JP2020037466 A JP 2020037466A JP 7015336 B2 JP7015336 B2 JP 7015336B2
Authority
JP
Japan
Prior art keywords
sample
layer
sample well
excitation
energy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020037466A
Other languages
Japanese (ja)
Other versions
JP2020092710A (en
Inventor
エム. ロスバーグ、ジョナサン
カビリ、アリ
ダブリュ. シックラー、ジェイソン
ジェイ. ジャーフィス、ブレット
ラッキー、ジェレミー
シュミッド、ジェラード
チプリアニー、ベンジャミン
ジュウェル、ジャック
ウエスト、ローレンス
フェリーノ、マイケル
イー. グレン、ポール
イー. コーヘン、アダム
ベロフィオーレ、アンソニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Quantum Si Inc
Original Assignee
Quantum Si Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Quantum Si Inc filed Critical Quantum Si Inc
Publication of JP2020092710A publication Critical patent/JP2020092710A/en
Application granted granted Critical
Publication of JP7015336B2 publication Critical patent/JP7015336B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6486Measuring fluorescence of biological material, e.g. DNA, RNA, cells
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/508Containers for the purpose of retaining a material to be analysed, e.g. test tubes rigid containers not provided for above
    • B01L3/5085Containers for the purpose of retaining a material to be analysed, e.g. test tubes rigid containers not provided for above for multiple samples, e.g. microtitration plates
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q1/00Measuring or testing processes involving enzymes, nucleic acids or microorganisms; Compositions therefor; Processes of preparing such compositions
    • C12Q1/68Measuring or testing processes involving enzymes, nucleic acids or microorganisms; Compositions therefor; Processes of preparing such compositions involving nucleic acids
    • C12Q1/6869Methods for sequencing
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q1/00Measuring or testing processes involving enzymes, nucleic acids or microorganisms; Compositions therefor; Processes of preparing such compositions
    • C12Q1/68Measuring or testing processes involving enzymes, nucleic acids or microorganisms; Compositions therefor; Processes of preparing such compositions involving nucleic acids
    • C12Q1/6869Methods for sequencing
    • C12Q1/6874Methods for sequencing involving nucleic acid arrays, e.g. sequencing by hybridisation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/645Specially adapted constructive features of fluorimeters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/645Specially adapted constructive features of fluorimeters
    • G01N21/6452Individual samples arranged in a regular 2D-array, e.g. multiwell plates
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/645Specially adapted constructive features of fluorimeters
    • G01N21/648Specially adapted constructive features of fluorimeters using evanescent coupling or surface plasmon coupling for the excitation of fluorescence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/75Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated
    • G01N21/77Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator
    • G01N21/7703Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator using reagent-clad optical fibres or optical waveguides
    • G01N21/774Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator using reagent-clad optical fibres or optical waveguides the reagent being on a grating or periodic structure
    • G01N21/7743Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator using reagent-clad optical fibres or optical waveguides the reagent being on a grating or periodic structure the reagent-coated grating coupling light in or out of the waveguide
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/75Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated
    • G01N21/77Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator
    • G01N21/7703Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator using reagent-clad optical fibres or optical waveguides
    • G01N21/7746Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator using reagent-clad optical fibres or optical waveguides the waveguide coupled to a cavity resonator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/12Specific details about manufacturing devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0809Geometry, shape and general structure rectangular shaped
    • B01L2300/0829Multi-well plates; Microtitration plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0887Laminated structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0893Geometry, shape and general structure having a very large number of wells, microfabricated wells
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/16Surface properties and coatings
    • B01L2300/168Specific optical properties, e.g. reflective coatings
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q2521/00Reaction characterised by the enzymatic activity
    • C12Q2521/10Nucleotidyl transfering
    • C12Q2521/101DNA polymerase
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q2525/00Reactions involving modified oligonucleotides, nucleic acids, or nucleotides
    • C12Q2525/10Modifications characterised by
    • C12Q2525/101Modifications characterised by incorporating non-naturally occurring nucleotides, e.g. inosine
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q2537/00Reactions characterised by the reaction format or use of a specific feature
    • C12Q2537/10Reactions characterised by the reaction format or use of a specific feature the purpose or use of
    • C12Q2537/157A reaction step characterised by the number of molecules incorporated or released
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q2563/00Nucleic acid detection characterized by the use of physical, structural and functional properties
    • C12Q2563/103Nucleic acid detection characterized by the use of physical, structural and functional properties luminescence
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q2563/00Nucleic acid detection characterized by the use of physical, structural and functional properties
    • C12Q2563/107Nucleic acid detection characterized by the use of physical, structural and functional properties fluorescence
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q2565/00Nucleic acid analysis characterised by mode or means of detection
    • C12Q2565/60Detection means characterised by use of a special device
    • C12Q2565/607Detection means characterised by use of a special device being a sensor, e.g. electrode
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N2021/6417Spectrofluorimetric devices
    • G01N2021/6419Excitation at two or more wavelengths
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6428Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes"
    • G01N2021/6439Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes" with indicators, stains, dyes, tags, labels, marks
    • G01N2021/6441Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes" with indicators, stains, dyes, tags, labels, marks with two or more labels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/645Specially adapted constructive features of fluorimeters
    • G01N2021/6463Optics
    • G01N2021/6478Special lenses
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/645Specially adapted constructive features of fluorimeters
    • G01N21/6452Individual samples arranged in a regular 2D-array, e.g. multiwell plates
    • G01N21/6454Individual samples arranged in a regular 2D-array, e.g. multiwell plates using an integrated detector array
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/02Mechanical
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • G01N2201/06113Coherent sources; lasers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • G01N2201/06113Coherent sources; lasers
    • G01N2201/0612Laser diodes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/062LED's
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/068Optics, miscellaneous
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/125Digital circuitry
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49016Antenna or wave energy "plumbing" making

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Engineering & Computer Science (AREA)
  • Proteomics, Peptides & Aminoacids (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Zoology (AREA)
  • Wood Science & Technology (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Molecular Biology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Biotechnology (AREA)
  • Microbiology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • General Engineering & Computer Science (AREA)
  • Genetics & Genomics (AREA)
  • Biophysics (AREA)
  • Plasma & Fusion (AREA)
  • Biomedical Technology (AREA)
  • Hematology (AREA)
  • Clinical Laboratory Science (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Immobilizing And Processing Of Enzymes And Microorganisms (AREA)
  • Optical Measuring Cells (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)

Description

本出願は、生物学的なサンプル及び/又は化学的なサンプルの迅速で大規模並列の定量的な分析を実施するためのデバイス、方法、及び技法一般に関し、また、前記デバイスを製作する方法に関する。 The present application relates to devices, methods, and techniques in general for performing rapid, massively parallel quantitative analysis of biological and / or chemical samples, and to methods of making said devices. ..

生物学的なサンプルの検出及び分析は、生物学的なアッセイ(「バイオアッセイ」)を使用して実施され得る。バイオアッセイは、従来から、大きくて高価な実験機器を必要とし、機器を動作させ、バイオアッセイを実施するように訓練された研究科学者を必要とする。そのうえ、バイオアッセイは、従来から、まとめて実施されており、大量の特定のタイプのサンプルが、検出及び定量化のために必要であるようになっている。 Detection and analysis of biological samples can be performed using biological assays (“bioassays”). Bioassays have traditionally required large and expensive laboratory equipment and research scientists trained to operate the equipment and perform bioassays. Moreover, bioassays have traditionally been performed in bulk, requiring large numbers of specific types of samples for detection and quantification.

いくつかのバイオアッセイは、特定の波長の光を放出する発光性タグを用いてタグを付けることによって実施される。タグは、励起光供給源によって照射され、発光を引き起こし、発光性の光は、フォトディテクターによって検出され、タグによって放出される発光性の光の量を定量化する。 Some bioassays are performed by tagging with a luminescent tag that emits light of a particular wavelength. The tag is illuminated by an excitation light source and causes luminescence, which is detected by the photodetector and quantifies the amount of luminescent light emitted by the tag.

発光性タグを使用するバイオアッセイは、従来から、サンプルを照射するための高価なレーザ光供給源と、照射されたサンプルからの発光を収集するための複雑で大型の検出オプティクス及び電子機器とを必要とする。 Bioassays using luminescent tags have traditionally included expensive laser light sources for irradiating samples and complex, large detection optics and electronics for collecting luminescence from the irradiated samples. I need.

本明細書で説明されている技術は、アッセイ・チップ及び機器を使用して、試料を迅速に分析するための装置及び方法に関する。アッセイ・チップは、使い捨てのもしくはリサイクル可能なチップの形態であることが可能であり、それは、少量の試料を受け入れるように、及び、試料の中のサンプルの多数の分析を並行して実行するように構成されている。アッセイ・チップ及び機器が使用され、いくつかの実施形態では、特定の化学的な又は生物学的な分析対象の存在を検出し、いくつかの実施形態では、化学的な又は生物学的な反応を評価し、いくつかの実施形態では、遺伝子配列を決定することが可能である。いくつかの実施形態によれば、集積デバイスは、単一分子遺伝子シークエンシングのために使用され得る。 The techniques described herein relate to devices and methods for rapid analysis of samples using assay chips and instruments. The assay chip can be in the form of a disposable or recyclable chip, which is to accept a small amount of sample and to perform a large number of analyzes of the sample in the sample in parallel. It is configured in. Assay chips and instruments are used to detect the presence of specific chemical or biological analytical objects in some embodiments and chemical or biological reactions in some embodiments. And in some embodiments it is possible to determine the gene sequence. According to some embodiments, the integrated device can be used for single molecule gene sequencing.

いくつかの実施形態によれば、ユーザは、アッセイ・チップの上のチャンバーの中に試料を堆積させ、受け入れ機器の中へアッセイ・チップを挿入する。機器は、単独で、又は、コンピュータと通信して、集積デバイスと自動的にインターフェース接続し、アッセイ・チップから光を送り、及び受け取り、受け取られた光を処理し、分析の結果をユーザに提供する。 According to some embodiments, the user deposits a sample in a chamber above the assay chip and inserts the assay chip into the receiving device. The instrument, alone or by communicating with a computer, automatically interfaces with the integrated device, sends and receives light from the assay chip, processes the received light, and provides the results of the analysis to the user. do.

いくつかの実施形態によれば、アッセイ・チップは、サンプルを受け入れるように構成されているサンプル・ウェルであって、サンプルは、励起されると、エミッション・エネルギーを放出する、サンプル・ウェルと、エミッション・エネルギーを特定の方向に方向付けする少なくとも1つのエレメントと、光路であって、光路に沿ってエミッション・エネルギーがサンプル・ウェルからセンサーに向けて進む、光路とを含む。少なくとも1つのエレメントは、屈折エレメント、回折エレメント、プラズモニック・エレメント、及び共振器からなる群から選択される。 According to some embodiments, the assay chip is a sample well configured to receive a sample, wherein the sample emits emission energy when excited. It includes at least one element that directs the emission energy in a particular direction and an optical path, which is the path along which the emission energy travels from the sample well toward the sensor. The at least one element is selected from the group consisting of a refraction element, a diffraction element, a plasmonic element, and a resonator.

いくつかの実施形態では、アッセイ・チップが、廃棄の前に、単一の生物学的なアッセイだけにおいて使用される。
いくつかの実施形態では、少なくとも1つのエレメントが、エミッション・エネルギーをセンサーに向けて方向付けするように構成されている少なくとも1つのレンズを含む。
In some embodiments, the assay chip is used in only a single biological assay prior to disposal.
In some embodiments, at least one element comprises at least one lens configured to direct emission energy towards the sensor.

いくつかの実施形態では、少なくとも1つのレンズが、屈折レンズである。
いくつかの実施形態では、光路が、アッセイ・チップの1つ又は複数の界面におけるエミッション・エネルギーの反射を低減させるように構成されている少なくとも1つの反射防止層を含む。
In some embodiments, the at least one lens is a refracting lens.
In some embodiments, the optical path comprises at least one antireflection layer that is configured to reduce the reflection of emission energy at one or more interfaces of the assay chip.

いくつかの実施形態では、アッセイ・チップが、使い捨てのフレームをさらに含む。
いくつかの実施形態では、少なくとも1つのエレメントが、同心円状のリング・グレーチングを含む。
In some embodiments, the assay chip further comprises a disposable frame.
In some embodiments, at least one element comprises concentric ring grating.

いくつかの実施形態では、同心円状のリング・グレーチングが、サンプル・ウェルの中へカップリングしてサンプルを励起する、励起光供給源からの励起光の量を増加させるように構成されている。 In some embodiments, concentric ring gratings are configured to increase the amount of excitation light from the excitation light source that couples into the sample well to excite the sample.

いくつかの実施形態では、同心円状のリング・グレーチングが、エミッション・エネルギーをセンサーに向けて方向付けするようにさらに構成されている。
いくつかの実施形態では、同心円状のリング・グレーチングが、非周期的な同心円状のリング・グレーチングである。
In some embodiments, concentric ring gratings are further configured to direct emission energy towards the sensor.
In some embodiments, the concentric ring grating is an aperiodic concentric ring grating.

いくつかの実施形態では、少なくとも1つのレンズが、回折レンズである。
いくつかの実施形態では、少なくとも1つのエレメントが、誘電体共振器アンテナからなる。
In some embodiments, the at least one lens is a diffractive lens.
In some embodiments, at least one element consists of a dielectric resonator antenna.

いくつかの実施形態によれば、複数のサンプル・ウェルを含むアッセイ・チップとインターフェース接続するように構成されている機器であって、複数のサンプル・ウェルのそれぞれのサンプル・ウェルは、サンプルを受け入れるように構成されており、機器は、複数のサンプル・ウェルの少なくとも一部分のサンプルを励起するように構成されている少なくとも1つの励起光供給源と、複数のセンサーであって、複数のセンサーのそれぞれのセンサーは、複数のサンプル・ウェルのサンプル・ウェルに対応しており、複数のセンサーのそれぞれのセンサーは、それぞれのサンプル・ウェルの中のサンプルからのエミッション・エネルギーを検出するように構成されている、複数のセンサーと、複数のサンプル・ウェルのそれぞれのサンプル・ウェルからのエミッション・エネルギーを複数のセンサーのそれぞれのセンサーに向けて方向付けするように構成されている、少なくとも1つの光学エレメントとを含む。 According to some embodiments, the instrument is configured to interface with an assay chip containing multiple sample wells, where each sample well of the plurality of sample wells accepts a sample. The instrument is configured to excite at least a portion of a sample of a plurality of sample wells, with at least one source of excitation light and a plurality of sensors, each of the plurality of sensors. Sensors correspond to sample wells in multiple sample wells, and each sensor in multiple sensors is configured to detect emission energy from the samples in each sample well. With at least one optical element configured to direct the emission energy from each of the multiple sensors and each of the sample wells towards the respective sensor of the multiple sensors. including.

いくつかの実施形態では、機器が、少なくとも1つの励起光供給源からの励起光をアッセイ・チップに向けて反射するように、及び、複数のサンプルからのエミッション・エネルギーを複数のセンサーに向けて透過させるように構成されているポリクロイック・ミラーをさらに含む。 In some embodiments, the instrument reflects excitation light from at least one source of excitation light toward the assay chip, and emits energy from multiple samples towards multiple sensors. It further includes a polychromic mirror that is configured to be transparent.

いくつかの実施形態では、少なくとも1つの光学エレメントが、リレー・レンズからなる。
いくつかの実施形態では、少なくとも1つの励起光供給源が、複数の光供給源を含み、複数の光供給源のそれぞれの光供給源は、複数の波長のうちの1つ又は複数において励起光を放出する。
In some embodiments, at least one optical element consists of a relay lens.
In some embodiments, the at least one excitation light source comprises a plurality of light sources, and each light source of the plurality of light sources is the excitation light at one or more of a plurality of wavelengths. Is released.

いくつかの実施形態では、機器が、複数の光供給源のそれぞれから放出される光を空間的に重ね合わせるための波長コンバイナーをさらに含む。
いくつかの実施形態では、少なくとも1つの励起光供給源が、パルス光供給源からなる。
In some embodiments, the device further comprises a wavelength combiner for spatially superimposing the light emitted from each of the plurality of light sources.
In some embodiments, the at least one excitation light source comprises a pulsed light source.

いくつかの実施形態では、機器が、少なくとも1つのスペクトル・フィルターをさらに含み、少なくとも1つのスペクトル・フィルターは、エミッション・エネルギーを透過させるように、ならびに、少なくとも1つの励起光供給源からの励起光を吸収及び/又は反射させるように構成されている。 In some embodiments, the instrument further comprises at least one spectral filter so that the at least one spectral filter allows emission energy to pass through, as well as excitation light from at least one excitation light source. Is configured to absorb and / or reflect.

いくつかの実施形態では、機器が、第1の波長のエミッション・エネルギーを第2の波長のエミッション・エネルギーから空間的に分離するための少なくとも1つのスペクトル・ソーティング・エレメントをさらに含む。 In some embodiments, the instrument further comprises at least one spectral sorting element for spatially separating the first wavelength emission energy from the second wavelength emission energy.

いくつかの実施形態では、少なくとも1つのスペクトル・ソーティング・エレメントが、回折光学素子からなる。
いくつかの実施形態では、回折光学素子が、エミッション・エネルギーを色彩的に分散させること、及び、エミッション・エネルギーの焦点を合わせることの両方を行う。
In some embodiments, at least one spectral sorting element comprises a diffractive optical element.
In some embodiments, the diffractive optics both disperse the emission energies chromatically and focus the emission energies.

いくつかの実施形態では、回折光学素子が、オフセットされたフレネル・レンズからなる。
いくつかの実施形態では、少なくとも1つのスペクトル・ソーティング・エレメントが、光フィルタリング・エレメントである。
In some embodiments, the diffractive optics consist of an offset Fresnel lens.
In some embodiments, at least one spectral sorting element is an optical filtering element.

いくつかの実施形態では、機器が、制御システムをさらに含み、制御システムは、(i)直接励起光を前記複数のサンプル・ウェルに方向付けし、(ii)前記複数のセンサーにおいて、前記複数のウェルからの信号を検出し、(iii)前記信号の空間的な分布パターンを使用し、前記サンプル又はそのサブユニットを特定するようにプログラムされている。 In some embodiments, the instrument further comprises a control system, which (i) directs the direct excitation light to the plurality of sample wells and (ii) the plurality of sensors. It is programmed to detect the signal from the well and (iii) use the spatial distribution pattern of the signal to identify the sample or its subunits.

いくつかの実施形態によれば、装置は、複数のピクセルを含むアッセイ・チップと、アッセイ・チップにインターフェース接続するように構成されている機器とを含む。アッセイ・チップの複数のピクセルのそれぞれは、サンプルを受け入れるように構成されているサンプル・ウェルであって、サンプルは、励起されると、エミッション・エネルギーを放出する、サンプル・ウェルと、エミッション・エネルギーを特定の方向に方向付けするための少なくとも1つのエレメントであって、屈折エレメント、回折エレメント、プラズモニック・エレメント、及び共振器からなる群から選択される、少なくとも1つのエレメントと、光路であって、光路に沿ってエミッション・エネルギーがサンプル・ウェルからセンサーに向けて進む、光路とを含む。機器は、それぞれのサンプル・ウェルの中のサンプルを励起するように構成されている少なくとも1つの励起光供給源と、複数のセンサーであって、複数のセンサーのそれぞれのセンサーは、それぞれのサンプル・ウェルに対応しており、複数のセンサーのそれぞれのセンサーは、それぞれのサンプル・ウェルの中のサンプルからのエミッション・エネルギーを検出するように構成されている、複数のセンサーと、それぞれのサンプル・ウェルからのエミッション・エネルギーを複数のセンサーのそれぞれのセンサーに向けて方向付けするように構成されている、少なくとも1つの光学エレメントとを含む。 According to some embodiments, the apparatus comprises an assay chip comprising a plurality of pixels and an instrument configured to interface to the assay chip. Each of the multiple pixels of the assay chip is a sample well configured to receive the sample, and the sample emits emission energy when excited, the sample well and the emission energy. At least one element for orienting in a particular direction, at least one element selected from the group consisting of a refraction element, a diffraction element, a plasmonic element, and a resonator, and an optical path. Emission energy travels along the optical path from the sample well towards the sensor, including the optical path. The instrument is a plurality of sensors, with at least one source of excitation light configured to excite the sample in each sample well, with each sensor of the plurality of sensors being a sample. Each sensor of multiple sensors corresponds to a well and is configured to detect the emission energy from the samples in each sample well, with multiple sensors and each sample well. Includes at least one optical element configured to direct the emission energy from the sensor towards each sensor of the plurality of sensors.

いくつかの実施形態では、アッセイ・チップが、機器に接続されるように、及び、機器から除去されるように構成されている。
いくつかの実施形態では、アッセイ・チップが機器に接続されているときに、複数のサンプル・ウェルのサンプル・ウェルと複数のセンサーの対応するセンサーとの間の光学的な距離が、30cm未満である。
In some embodiments, the assay chip is configured to be connected to and removed from the instrument.
In some embodiments, the optical distance between the sample wells of the plurality of sample wells and the corresponding sensors of the plurality of sensors is less than 30 cm when the assay chip is connected to the instrument. be.

いくつかの実施形態では、アッセイ・チップが機器に接続されているときに、複数のサンプル・ウェルのサンプル・ウェルと複数のセンサーの対応するセンサーとの間の光学的な距離が、5cm未満である。 In some embodiments, the optical distance between the sample wells of the plurality of sample wells and the corresponding sensors of the plurality of sensors is less than 5 cm when the assay chip is connected to the instrument. be.

いくつかの実施形態では、アッセイ・チップが機器に接続されているときに、複数のサンプル・ウェルのサンプル・ウェルと複数のセンサーの対応するセンサーとの間の光学的な距離が、1cm未満である。 In some embodiments, the optical distance between the sample wells of the plurality of sample wells and the corresponding sensors of the plurality of sensors is less than 1 cm when the assay chip is connected to the instrument. be.

いくつかの実施形態では、機器が、ポータブルである。
いくつかの実施形態では、それぞれのサンプルが、複数の波長帯域のうちの1つの波長帯域の中のエミッション・エネルギーを放出する発光性タグを含み、複数のセンサーのそれぞれのセンサーが、複数の波長帯域のそれぞれにおいてエミッション・エネルギーを検出するように構成されているサブ・センサーを含む。
In some embodiments, the device is portable.
In some embodiments, each sample comprises a luminescent tag that emits emission energy within one wavelength band of the plurality of wavelength bands, and each sensor of the plurality of sensors has a plurality of wavelengths. Includes sub-sensors configured to detect emission energy in each of the bands.

いくつかの実施形態では、複数のセンサーのそれぞれのセンサーが、少なくとも2つのサブ・センサーを含む。
いくつかの実施形態では、複数のセンサーのそれぞれのセンサーが、少なくとも4つのサブ・センサーからなる。
In some embodiments, each sensor of the plurality of sensors comprises at least two sub-sensors.
In some embodiments, each sensor of the plurality of sensors comprises at least four sub-sensors.

いくつかの実施形態では、機器が、少なくとも1つの波長依存エレメントをさらに含み、少なくとも1つの波長依存エレメントは、第1の波長のエミッション・エネルギーを少なくとも2つのサブ・センサーの第1のサブ・センサーに向けて方向付けし、第2の波長のエミッション・エネルギーを少なくとも2つのサブ・センサーの第2のサブ・センサーに向けて方向付けする。 In some embodiments, the instrument further comprises at least one wavelength dependent element, wherein the at least one wavelength dependent element is the first sub-sensor of the at least two sub-sensors with the emission energy of the first wavelength. Directs towards, and directs the emission energy of the second wavelength towards the second sub-sensor of at least two sub-sensors.

いくつかの実施形態では、少なくとも1つの波長依存エレメントが、回折光学素子である。
いくつかの実施形態では、少なくとも1つの波長依存エレメントが、スペクトル・フィルターである。
In some embodiments, the at least one wavelength dependent element is a diffractive optical element.
In some embodiments, the at least one wavelength dependent element is a spectral filter.

いくつかの実施形態では、少なくとも1つの励起供給源が、パルス光を放出する。
いくつかの実施形態では、第1のサンプルに関連付けられる第1の発光性タグは、第1の波長の光によって励起されるが、第2の波長の光によって励起されず、第2のサンプルに関連付けられる第2の発光性タグは、第2の波長の光によって励起されるが、第1の波長の光によって励起されない。
In some embodiments, at least one excitation source emits pulsed light.
In some embodiments, the first luminescent tag associated with the first sample is excited by the light of the first wavelength, but not by the light of the second wavelength, to the second sample. The associated second luminescent tag is excited by the light of the second wavelength, but not by the light of the first wavelength.

いくつかの実施形態によれば、試料を分析する方法であって、方法は、
複数のサンプル・ウェルからなるアッセイ・チップの上部表面の上に試料を提供する工程と、少なくとも1つの励起光供給源及び少なくとも1つのセンサーからなる機器にチップを整合させる工程と、複数のサンプル・ウェルのうちの少なくとも1つの中の試料からのサンプルを、少なくとも1つの励起光供給源からの励起光によって励起する工程と、励起光による励起に応答して、少なくとも1つのサンプル・ウェルの中のサンプルが発生させたエミッション・エネルギーを、少なくとも1つのセンサーによって検出する工程とを含む。
According to some embodiments, the method is a method of analyzing a sample.
Multiple sample wells, including providing the sample on the top surface of an assay chip consisting of multiple sample wells, and matching the chip to a device consisting of at least one excitation light source and at least one sensor. A step of exciting a sample from a sample in at least one of the wells with excitation light from at least one excitation light source and in response to excitation by the excitation light, in at least one sample well. It comprises the step of detecting the emission energy generated by the sample by at least one sensor.

いくつかの実施形態では、方法が、エミッション・エネルギーの検出に基づいて、エミッション・エネルギーを放出した分子のタイプを決定する工程をさらに含む。
いくつかの実施形態では、分子のタイプを決定する工程が、エミッション・エネルギーのスペクトルの特質を測定する工程を含む。
In some embodiments, the method further comprises determining the type of molecule that has released the emission energy, based on the detection of the emission energy.
In some embodiments, the step of determining the type of molecule comprises measuring the properties of the emission energy spectrum.

いくつかの実施形態では、分子のタイプを決定する工程が、サンプルを励起した励起光の波長を決定する工程をさらに含む。
いくつかの実施形態では、少なくとも1つの励起光供給源が、連続波光を放出する。
In some embodiments, the step of determining the type of molecule further comprises determining the wavelength of the excitation light that excited the sample.
In some embodiments, at least one source of excitation light emits continuous wave light.

いくつかの実施形態では、少なくとも1つの励起光供給源が、パルス光を放出する。
いくつかの実施形態では、少なくとも1つの励起光供給源が、複数の励起光供給源からなり、複数の励起光供給源のそれぞれの励起光供給源が、異なる波長の光を放出する。
In some embodiments, at least one source of excitation light emits pulsed light.
In some embodiments, the at least one excitation light source comprises a plurality of excitation light sources, and each excitation light source of the plurality of excitation light sources emits light of a different wavelength.

いくつかの実施形態では、サンプルが、フルオロフォアに取り付けられている少なくとも1つのヌクレオチドを含む。
いくつかの実施形態によれば、ターゲット核酸分子をシークエンシングするための方法は、(a)励起供給源及びセンサーを含む機器に隣接してチップを提供する工程であって、チップは、少なくとも1つのウェルを含み、少なくとも1つのウェルは、チップが前記機器のセンシング位置にあるときに、励起供給源及びセンサーに動作可能にカップリングされており、ウェルは、ターゲット核酸分子、重合酵素、及び、複数のタイプのヌクレオチド又はヌクレオチド類似体を含有する、工程と、(b)センシング位置において、チップによって、重合酵素の存在下で、ターゲット核酸分子のプライミング場所において、伸長反応を実施し、ヌクレオチド又はヌクレオチド類似体を、ターゲット核酸分子に相補的な成長する鎖の中へ順次組み込む工程であって、励起供給源からの励起エネルギーによる組み込み及び励起が起こると、ヌクレオチド又はヌクレオチド類似体は、ウェルの中に信号を放出する、工程と、(c)複数のタイプのヌクレオチド又はヌクレオチド類似体に関して区別可能な、信号の空間的な及び/又は時間的な分布パターンを検出するために、センサーを使用する工程と、(d)信号の空間的な及び/又は時間的な分布パターンに基づいて、ヌクレオチド又はヌクレオチド類似体を特定し、それによって、ターゲット核酸分子をシークエンシングする工程とを含む。
In some embodiments, the sample comprises at least one nucleotide attached to the fluorophore.
According to some embodiments, the method for sequencing the target nucleic acid molecule is (a) providing the chip adjacent to the device including the excitation source and the sensor, the chip being at least one. The well comprises one well and at least one well is operably coupled to an excitation source and a sensor when the chip is in the sensing position of the instrument, and the well is a target nucleic acid molecule, a polymerizing enzyme, and. A step containing multiple types of nucleotides or nucleotide analogs, and (b) at the sensing position, the chip, in the presence of the polymerizable enzyme, at the priming site of the target nucleic acid molecule, undergoes an extension reaction to the nucleotide or nucleotide. In the step of sequentially incorporating the analog into a growing chain complementary to the target nucleic acid molecule, when integration and excitation by excitation energy from the excitation source occurs, the nucleotide or nucleotide analog is placed in the well. The step of emitting a signal and (c) the step of using a sensor to detect a spatial and / or temporal distribution pattern of a signal that is distinguishable with respect to multiple types of nucleotides or nucleotide analogs. , (D) The step of identifying a nucleotide or nucleotide analog based on the spatial and / or temporal distribution pattern of the signal, thereby sequencing the target nucleic acid molecule.

いくつかの実施形態では、ヌクレオチド又はヌクレオチド類似体が、タグを含み、タグは、前記成長する鎖の中に組み込むと、信号を放出する。
いくつかの実施形態では、タグが、発光性タグである。
In some embodiments, a nucleotide or nucleotide analog comprises a tag, which emits a signal when incorporated into said growing strand.
In some embodiments, the tag is a luminescent tag.

いくつかの実施形態では、ヌクレオチド又はヌクレオチド類似体が、前記信号の空間的な及び/又は時間的な分布パターンを検出することに続いて特定される。
いくつかの実施形態では、複数のタイプのヌクレオチド又はヌクレオチド類似体が、4つの異なるタイプのヌクレオチド又はヌクレオチド類似体を含み、4つの異なるタイプのヌクレオチド又はヌクレオチド類似体に関連付けられる前記信号の空間的な及び/又は時間的な分布パターンは、互いから区別可能である。
In some embodiments, nucleotides or nucleotide analogs are identified following detection of spatial and / or temporal distribution patterns of said signals.
In some embodiments, the plurality of types of nucleotides or nucleotide analogs comprises four different types of nucleotides or nucleotide analogs and the spatial of said signal associated with four different types of nucleotides or nucleotide analogs. And / or temporal distribution patterns are distinguishable from each other.

いくつかの実施形態では、前記4つの異なるタイプのヌクレオチド又はヌクレオチド類似体に関連付けられる信号の空間的な及び/又は時間的な分布パターンが、互いから別々に検出される。 In some embodiments, spatial and / or temporal distribution patterns of signals associated with the four different types of nucleotides or nucleotide analogs are detected separately from each other.

いくつかの実施形態では、信号の空間的な及び/又は時間的な分布パターンが、空間的な及び/又は時間的な分布パターンのそれぞれの形状及び/又は強度分布に基づいて、互いから区別可能である。 In some embodiments, the spatial and / or temporal distribution patterns of the signal are distinguishable from each other based on their respective shape and / or intensity distributions of the spatial and / or temporal distribution patterns. Is.

いくつかの実施形態では、プライミング場所が、ターゲット核酸分子に相補的なプライマーを含む。
いくつかの実施形態では、本発明の方法の行為(b)が、前記プライマーを使用してプライマー伸長反応を実施する工程を含み、プライマーは、前記ターゲット核酸分子に対してハイブリダイズされ、前記成長する鎖を生み出す。
In some embodiments, the priming site comprises a primer complementary to the target nucleic acid molecule.
In some embodiments, the act (b) of the method of the invention comprises the step of carrying out a primer extension reaction using the primer, the primer being hybridized to the target nucleic acid molecule and said growth. Create a chain to grow.

いくつかの実施形態では、ターゲット核酸分子は二本鎖である。
いくつかの実施形態では、プライミング場所が、ターゲット核酸分子の中のギャップ又はニックである。
In some embodiments, the target nucleic acid molecule is double-stranded.
In some embodiments, the priming location is a gap or nick in the target nucleic acid molecule.

いくつかの実施形態では、重合酵素が、ウェルの中に固定化されている。
いくつかの実施形態では、重合酵素が、ウェルの底部部分において固定化されている。
いくつかの実施形態では、重合酵素が、ウェルの表面に取り付けられるリンカーを使用して固定化されている。
In some embodiments, the polymerizing enzyme is immobilized in the wells.
In some embodiments, the polymerizing enzyme is immobilized at the bottom of the well.
In some embodiments, the polymerizing enzyme is immobilized using a linker attached to the surface of the well.

いくつかの実施形態では、重合酵素が、鎖置換活性を示す。
いくつかの実施形態では、ウェルが、前記チップの中の複数のウェルの間にある。
いくつかの実施形態では、機器が、前記複数のウェルに動作可能にカップリングされる複数の励起供給源を含む。
In some embodiments, the polymerizing enzyme exhibits chain substitution activity.
In some embodiments, the wells are between the plurality of wells in the chip.
In some embodiments, the instrument comprises a plurality of excitation sources operably coupled to said plurality of wells.

いくつかの実施形態では、方法の行為(c)の前に、前記信号の空間的な及び/又は時間的な分布パターンが、前記信号から発生する。
いくつかの実施形態によれば、核酸シークエンシングのための方法は、(a)機器に隣接してチップを提供する工程であって、チップは、複数のウェルを含み、複数のウェルは、チップが機器のセンシング位置にあるときに、(i)励起供給源及び(ii)前記機器のセンサーにそれぞれ動作可能にカップリングされており、前記複数のうちの個々のウェルは、ターゲット核酸分子、重合酵素、及び、複数のタイプのヌクレオチド又はヌクレオチド類似体を含有する、工程と、(b)前記ヌクレオチド又はヌクレオチド類似体及び前記重合酵素の存在下において、前記ターゲット核酸分子に相補的な成長する鎖を生み出すために、センシング位置において、チップによって、前記ターゲット核酸分子に重合反応を受けさせる工程であって、組み込みの間に、前記励起供給源からの励起エネルギーによる励起が起こると、前記ヌクレオチド又はヌクレオチド類似体は、前記個々のウェルの中に信号を放出する、工程と、(c)複数のタイプのヌクレオチド又はヌクレオチド類似体に関して区別可能な、信号の空間的な及び/又は時間的な分布パターンを検出するために、センサーを使用する工程と、(d)前記信号の前記空間的な及び/又は時間的な分布パターンに基づいて、前記ターゲット核酸分子の配列を特定する工程とを含む。
In some embodiments, a spatial and / or temporal distribution pattern of the signal is generated from the signal prior to the action (c) of the method.
According to some embodiments, the method for nucleic acid sequencing is (a) a step of providing a chip adjacent to a device, wherein the chip comprises a plurality of wells and the plurality of wells are chips. Are operably coupled to (i) the excitation source and (ii) the sensor of the device when is in the sensing position of the device, and the individual wells of the plurality are target nucleic acid molecules, polymerization. A step containing an enzyme and multiple types of nucleotides or nucleotide analogs, and (b) a growing chain complementary to the target nucleic acid molecule in the presence of the nucleotide or nucleotide analog and the polymerizable enzyme. In order to produce, in the step of subjecting the target nucleic acid molecule to a polymerization reaction by the chip at the sensing position, when excitation by excitation energy from the excitation source occurs during integration, the nucleotide or nucleotide-like. The body detects a step of emitting a signal into said individual well and (c) a spatial and / or temporal distribution pattern of the signal that is distinguishable for multiple types of nucleotides or nucleotide analogs. In order to do so, it comprises the steps of using a sensor and (d) identifying the sequence of the target nucleic acid molecule based on the spatial and / or temporal distribution pattern of the signal.

いくつかの実施形態では、ヌクレオチド又はヌクレオチド類似体が、タグを含み、タグは、前記成長する鎖の中に組み込むと、前記信号を放出する。
いくつかの実施形態では、タグが、発光性タグである。
In some embodiments, the nucleotide or nucleotide analog comprises a tag, which emits the signal when incorporated into the growing chain.
In some embodiments, the tag is a luminescent tag.

いくつかの実施形態では、配列が、前記信号の前記空間的な及び/又は時間的な分布パターンを検出することに続いて特定される。
いくつかの実施形態では、複数のタイプのヌクレオチド又はヌクレオチド類似体が、4つの異なるタイプのヌクレオチド又はヌクレオチド類似体を含み、4つの異なるタイプのヌクレオチド又はヌクレオチド類似体に関連付けられる前記信号の前記空間的な及び/又は時間的な分布パターンは、互いから区別可能である。
In some embodiments, the sequence is identified following detecting the spatial and / or temporal distribution pattern of the signal.
In some embodiments, the spatial of said signal, wherein the plurality of types of nucleotides or nucleotide analogs comprises four different types of nucleotides or nucleotide analogs and is associated with four different types of nucleotides or nucleotide analogs. And / or temporal distribution patterns are distinguishable from each other.

いくつかの実施形態では、前記4つの異なるタイプのヌクレオチド又はヌクレオチド類似体に関連付けられる前記信号の空間的な及び/又は時間的な分布パターンが、互いから別々に検出される。 In some embodiments, spatial and / or temporal distribution patterns of the signal associated with the four different types of nucleotides or nucleotide analogs are detected separately from each other.

いくつかの実施形態では、方法の行為(b)が、プライマーを使用してプライマー伸長反応を実施する工程からなり、プライマーは、前記ターゲット核酸分子に対してハイブリダイズされ、前記成長する鎖を生み出す。 In some embodiments, the act (b) of the method comprises the step of carrying out a primer extension reaction using a primer, which hybridizes to the target nucleic acid molecule to produce the growing strand. ..

いくつかの実施形態では、ターゲット核酸分子が、一本鎖である。
いくつかの実施形態では、重合酵素が、前記ウェルの中に固定化されている。
いくつかの実施形態では、励起供給源が、前記複数のウェルに動作可能にカップリングされる。
In some embodiments, the target nucleic acid molecule is single-stranded.
In some embodiments, the polymerizing enzyme is immobilized in the well.
In some embodiments, the excitation source is operably coupled to the plurality of wells.

いくつかの実施形態では、方法の行為(c)が、前記信号の空間的な及び/又は時間的な分布パターンを検出する工程を含む。
いくつかの実施形態では、機器が、前記複数のウェルに動作可能にカップリングされる複数の励起供給源を含む。
In some embodiments, the act (c) of the method comprises the step of detecting the spatial and / or temporal distribution pattern of the signal.
In some embodiments, the instrument comprises a plurality of excitation sources operably coupled to said plurality of wells.

いくつかの実施形態では、機器が、前記複数のウェルに動作可能にカップリングされる複数のセンサーを含む。
いくつかの実施形態では、方法の行為(c)の前に、前記信号の空間的な及び/又は時間的な分布パターンが、前記信号から発生する。
In some embodiments, the device comprises a plurality of sensors operably coupled to said plurality of wells.
In some embodiments, a spatial and / or temporal distribution pattern of the signal is generated from the signal prior to the action (c) of the method.

本教示の先述の及び他の態様、実施形態、及び特徴は、添付の図面に関連する以下の説明からより完全に理解され得る。
「ピクセル」という用語は、本開示において、集積デバイスの単位セルを表すために使用され得る。単位セルは、サンプル・ウェル及びセンサーを含むことが可能である。単位セルは、励起供給源をさらに含むことが可能である。単位セルは、サンプル・ウェルへの励起供給源からの励起エネルギーのカップリングを増強するように構成されている、少なくとも1つの励起カップリング光学的な構造体(それは、「第1の構造体」と称され得る)をさらに含むことが可能である。単位セルは、センサーへのサンプル・ウェルからのエミッションのカップリングを増強するように構成されている、少なくとも1つのエミッション・カップリング構造体をさらに含むことが可能である。単位セルは、集積された電子デバイス(たとえば、CMOSデバイス)をさらに含むことが可能である。集積デバイスの上にアレイで配置されている複数のピクセルが存在することが可能である。
The aforementioned and other embodiments, embodiments, and features of the present teaching can be more fully understood from the following description relating to the accompanying drawings.
The term "pixel" may be used in the present disclosure to describe a unit cell of an integrated device. The unit cell can include a sample well and a sensor. The unit cell can further include an excitation source. The unit cell is configured to enhance the coupling of excitation energy from the excitation source to the sample well, at least one excitation coupling optical structure, which is the "first structure". Can be referred to as). The unit cell can further include at least one emission coupling structure that is configured to enhance the coupling of emissions from the sample wells to the sensor. The unit cell can further include an integrated electronic device (eg, a CMOS device). It is possible that there are multiple pixels arranged in an array on top of the integrated device.

「光学的な」という用語は、本開示において、可視、近赤外線、及び短波長赤外線スペクトル・バンドを表すために使用され得る。
「タグ」という用語は、本開示において、分析されることになるサンプルに取り付けられているか、又は、サンプルと反応させられ得る反応物質に取り付けられている、タグ、プローブ、マーカ、又はレポータを表すために使用され得る。
The term "optical" can be used herein to describe the visible, near-infrared, and short-wavelength infrared spectral bands.
The term "tag" as used herein refers to a tag, probe, marker, or reporter attached to a sample to be analyzed or attached to a reactant that can react with the sample. Can be used for.

「励起エネルギー」という語句は、本開示において、サンプル・ウェルの中のサンプル及び/又はタグに送達されるエネルギーの任意の形態(たとえば、放射又は非放射)を表すために使用され得る。放射励起エネルギーは、1つ又は複数の特性波長における光学的な放射線からなることが可能である。 The phrase "excitation energy" may be used in the present disclosure to describe any form (eg, radiant or non-radiative) of energy delivered to a sample and / or tag in a sample well. The radiation excitation energy can consist of optical radiation at one or more characteristic wavelengths.

「特性波長」という語句は、本開示において、放射線の限定されたバンド幅の中の中央の又は支配的な波長を表すために使用され得る。いくつかのケースでは、それは、放射線のバンド幅のピーク波長を表すことが可能である。フルオロフォアの特性波長の例は、563nm、595nm、662nm、及び687nmである。 The phrase "characteristic wavelength" may be used in the present disclosure to describe a central or dominant wavelength within a limited bandwidth of radiation. In some cases it is possible to represent the peak wavelength of the radiation bandwidth. Examples of characteristic wavelengths for fluorophores are 563 nm, 595 nm, 662 nm, and 687 nm.

「特性エネルギー」という語句は、本開示において、特性波長に関連付けられるエネルギーを表すために使用され得る。
「エミッション」という用語は、本開示において、タグ及び/又はサンプルからのエミッションを表すために使用され得る。これは、放射エミッション(たとえば、光学的なエミッション)、又は、非放射エネルギー伝達(たとえば、デクスター・エネルギー移動もしくはフェルスター共鳴エネルギー移動)を含むことが可能である。エミッションは、サンプル・ウェルの中のサンプル及び/又はタグの励起から結果として生じる。
The phrase "characteristic energy" may be used in the present disclosure to describe the energy associated with a characteristic wavelength.
The term "emissions" may be used in the present disclosure to describe emissions from tags and / or samples. It can include radiant emissions (eg, optical emissions) or non-radiant energy transfers (eg, Dexter energy transfer or Förster resonance energy transfer). Emissions result from excitation of the sample and / or tag in the sample well.

「サンプル・ウェルからのエミッション」又は「サンプルからのエミッション」という語句は、本開示において、サンプル・ウェルの中のタグ及び/又はサンプルからのエミッションを表すために使用され得る。 The phrase "emissions from sample wells" or "emissions from samples" may be used in the present disclosure to describe tags and / or emissions from samples within sample wells.

「自己整合される」という用語は、本開示において、第1のリソグラフィック・パターニング工程(たとえば、フォトリソグラフィー、イオン-ビーム・リソグラフィー、EUVリソグラフィー)が、第1のエレメントのパターンをプリントし、第2のリソグラフィック・パターニング工程が、第1のリソグラフィック・パターニング工程に整合させられ、a第2のエレメントのパターンをプリントするという、2つの別々のリソグラフィック・パターニング工程を使用することなく、少なくとも2つの別個のエレメント(たとえば、サンプル・ウェル及びエミッション・カップリング構造体、サンプル・ウェル及び励起供給源)が、互いに対して製作及び整合させられ得る、マイクロ製作プロセスを表すために使用され得る。自己整合されるプロセスは、単一のリソグラフィック・パターニング工程において、第1のエレメント及び第2のエレメントの両方のパターンを含むことからなることが可能であり、又は、第1のエレメントの製作された構造体の特徴を使用して、第2のエレメントを形成することからなることが可能である。 The term "self-aligned" is used herein in which a first graphic patterning step (eg, photolithography, ion-beam lithography, EUV lithography) prints a pattern of a first element and a second. At least without using two separate graphic patterning steps, where the graphic patterning step of 2 is aligned with the 1 lithographic patterning step and a prints the pattern of the 2nd element. Two separate elements (eg, sample well and emission coupling structure, sample well and excitation source) can be used to represent a microfabrication process that can be made and matched to each other. The self-aligned process can consist of including patterns of both the first element and the second element in a single lithography patterning process, or the first element is manufactured. It is possible to use the features of the structure to form a second element.

「センサー」という用語は、本開示において、サンプル・ウェルからのエミッションを感知し、感知されたエミッションを表す少なくとも1つの電気信号を作り出すように構成されている、1つ又は複数の集積回路デバイスを表すために使用され得る。 The term "sensor" as used herein refers to one or more integrated circuit devices configured to sense emissions from sample wells and produce at least one electrical signal representing the sensed emissions. Can be used to represent.

「ナノ・スケール」という用語は、本開示において、150ナノメートル(nm)以下であるが、おおよそ500nmよりは大きくないオーダの少なくとも1つの寸法又は最小特徴サイズを有する構造体を表すために使用され得る。 The term "nanoscale" is used herein to describe a structure having at least one dimension or minimum feature size on the order of 150 nanometers (nm) or less, but not greater than approximately 500 nm. obtain.

「マイクロ・スケール」という用語は、本開示において、おおよそ500nmからおおよそ100ミクロンの間の少なくとも1つの寸法又は最小特徴サイズを有する構造体を表すために使用され得る。 The term "microscale" can be used in the present disclosure to describe a structure having at least one dimension or minimum feature size between approximately 500 nm and approximately 100 microns.

「増強される励起エネルギー」という語句は、本開示において、サンプル・ウェルの励起領域における励起エネルギーの強度を増加させるということを表すために使用され得る。強度は、たとえば、サンプル・ウェルへ入射する励起エネルギーを集中及び/又は共鳴させることによって、増加させられ得る。いくつかのケースでは、強度は、励起エネルギーがサンプル・ウェルの励起領域の中へさらに浸透することを可能にする反射防止コーティング又は損失性層によって、増加させられ得る。励起エネルギーの増強は、サンプル・ウェルの励起領域における励起エネルギーを増強する構造体を含まない実施形態に対する比較参照であることが可能である。 The phrase "enhanced excitation energy" can be used herein to describe increasing the intensity of excitation energy in the excited region of a sample well. Intensity can be increased, for example, by concentrating and / or resonating the excitation energy incident on the sample well. In some cases, the intensity can be increased by an antireflection coating or lossy layer that allows the excitation energy to penetrate further into the excited region of the sample well. The excitation energy enhancement can be a comparative reference to an embodiment that does not include a structure that enhances the excitation energy in the excitation region of the sample well.

「約」、「おおよそ」、及び「実質的に」という用語は、本開示において、値を表すために使用され得、基準値プラス及びマイナス許容可能な変化を包含することが意図されている。変化の量は、いくつかの実施形態では、5%未満であることが可能であり、いくつかの実施形態では、10%未満であることが可能であり、さらに、いくつかの実施形態では、20%未満であることが可能である。たとえば、1つ又は複数の桁数を含む範囲など、大きい範囲の値にわたって、装置が適正に機能することができる実施形態では、変化の量は、2倍となる可能性がある。たとえば、20から350の範囲にある値に関して装置が適正に機能する場合には、「おおよそ80」は、40から160の間の値を包含することが可能である。 The terms "about," "approximately," and "substantially" can be used in the present disclosure to represent values and are intended to include reference values plus and minus acceptable variations. The amount of change can be less than 5% in some embodiments, less than 10% in some embodiments, and in some embodiments. It can be less than 20%. In embodiments where the device can function properly over a large range of values, for example a range containing one or more digits, the amount of change can be doubled. For example, "approximately 80" can include values between 40 and 160 if the device functions properly for values in the range 20-350.

「隣接して」という用語は、本開示において、互いに対して極めて接近して配置されている2つのエレメントを表すために使用され得る(たとえば、ピクセルの横断方向の又は垂直方向の寸法の約5分の1よりも小さい距離以内)。いくつかのケースでは、隣接するエレメントの間に、介在構造体又は層が存在することが可能である。いくつかのケースでは、隣接するエレメントは、介在構造体又はエレメントがない状態で、互いに対して直接隣接していることが可能である。 The term "adjacent" can be used in the present disclosure to describe two elements that are placed very close to each other (eg, about 5 of the transverse or vertical dimensions of a pixel). Within a distance less than one-third). In some cases, intervening structures or layers can be present between adjacent elements. In some cases, adjacent elements can be directly adjacent to each other in the absence of intervening structures or elements.

「検出する」という用語は、本開示において、サンプル・ウェルからのエミッションをセンサーにおいて受け取るということ、及び、エミッションを表し、又はエミッションに関連付けられる、少なくとも1つの電気信号を作り出すことを表すために使用され得る。また、「検出する」という用語は、本開示において、サンプル・ウェルからのエミッションに基づいて、サンプル・ウェルの中の特定のサンプル又はタグの存在を決定すること、又は、サンプル・ウェルの中の特定のサンプル又はタグの特質を特定することを表すために使用され得る。 The term "detect" is used herein to indicate that an emission from a sample well is received at a sensor and that it represents or produces at least one electrical signal associated with the emission. Can be done. Also, the term "detecting" is used herein to determine the presence of a particular sample or tag within a sample well, or within a sample well, based on emissions from the sample well. It can be used to represent identifying the nature of a particular sample or tag.

当業者は、本明細書で説明されている図は、単に図示目的のためのものであるということを理解することとなる。いくつかの場合には、本発明のさまざまな態様は、本発明の理解を促進させるために、誇張して又は拡大して示されている可能性があるということが理解されるべきである。図面において、同様の参照文字は、全体的に、さまざまな図を通して、同様の特徴、機能的に同様のエレメント、及び/又は、構造的に同様のエレメントを表している。図面は、必ずしも実寸ではなく、その代わりに、本教示の原理を図示する際に強調されている。図面は、決して、本教示の範囲を限定することを意図していない。 Those skilled in the art will appreciate that the figures described herein are for illustration purposes only. It should be understood that in some cases, various aspects of the invention may be exaggerated or expanded to facilitate understanding of the invention. In drawings, similar reference characters generally represent similar features, functionally similar elements, and / or structurally similar elements throughout the various figures. The drawings are not necessarily actual size and are instead emphasized in illustrating the principles of this teaching. The drawings are by no means intended to limit the scope of this teaching.

いくつかの実施形態による、エミッション波長スペクトルを示す図。The figure which shows the emission wavelength spectrum by some embodiments. いくつかの実施形態による、吸収波長スペクトルを示す図。The figure which shows the absorption wavelength spectrum by some embodiments. いくつかの実施形態による、エミッション波長スペクトルを示す図。The figure which shows the emission wavelength spectrum by some embodiments. いくつかの実施形態による、生物学的な試料及び化学的な試料の迅速なモバイル分析のために使用され得る装置のブロック・ダイアグラム図。Block diagram diagram of a device that can be used for rapid mobile analysis of biological and chemical samples, according to some embodiments. いくつかの実施形態による、センサー・チップのピクセルとアッセイ・チップのピクセルとの間の関係の概略ダイアグラムを示す図。The figure which shows the schematic diagram of the relationship between the pixel of a sensor chip and the pixel of an assay chip by some embodiments. いくつかの実施形態による、アッセイ・チップの単一のピクセル及びセンサー・チップの単一のピクセルに関連付けられるコンポーネントを示す図。The figure which shows the component associated with a single pixel of an assay chip and a single pixel of a sensor chip by some embodiments. いくつかの実施形態による、機器のコンポーネントの一部分を示す図。The figure which shows a part of the component of an apparatus by some embodiments. いくつかの実施形態による、アッセイ・チップ及びチップ・ホルダー・フレームの上面図。Top view of assay tip and tip holder frame according to some embodiments. いくつかの実施形態による、アッセイ・チップ及びチップ・ホルダー・フレームの底面図。Bottom view of assay chips and chip holder frames, according to some embodiments. いくつかの実施形態による、アッセイ・チップ及びチップ・ホルダー・フレームを示す図。The figure which shows the assay chip and the chip holder frame by some embodiments. いくつかの実施形態による、サンプル・ウェルへ入射する励起エネルギーを示す図。The figure which shows the excitation energy incident on a sample well by some embodiments. いくつかの実施形態による、ゼロ・モード導波路として形成されているサンプル・ウェルに沿う、励起エネルギーの減衰を図示する図。The figure which illustrates the attenuation of the excitation energy along the sample well formed as a zero-mode waveguide according to some embodiments. いくつかの実施形態において、サンプル・ウェルに関連付けられる励起領域において、励起エネルギーを増加させる、ディボットを含むサンプル・ウェルを示す図。In some embodiments, a diagram showing a sample well containing a dibot that increases the excitation energy in the excitation region associated with the sample well. 1つの実施形態による、ディボットありとディボットなしのサンプル・ウェルに関する励起強度を比較する図。FIG. 5 comparing excitation intensities for sample wells with and without divot according to one embodiment. いくつかの実施形態による、突出部において形成されたサンプル・ウェル及びディボットを示す図。The figure which shows the sample well and the divot formed in the protrusion by some embodiments. いくつかの実施形態による、テーパが付けられた側壁部を有するサンプル・ウェルを示す図。FIG. 5 shows sample wells with tapered sidewalls, according to some embodiments. いくつかの実施形態による、湾曲している側壁部と、より小さい横断方向の寸法を備えるディボットとを有するサンプル・ウェルを示す図。FIG. 5 shows a sample well with curved sidewalls and dibots with smaller transverse dimensions, according to some embodiments. 表面プラズモニック構造体から形成されたサンプル・ウェルを示す図。The figure which shows the sample well formed from the surface plasmonic structure. 表面プラズモニック構造体から形成されたサンプル・ウェルを示す図。The figure which shows the sample well formed from the surface plasmonic structure. いくつかの実施形態による、サンプル・ウェルの側壁部に沿って形成されている励起エネルギー増強構造体を含むサンプル・ウェルを示す図。FIG. 6 shows a sample well containing an excitation energy-enhanced structure formed along the sidewall of the sample well, according to some embodiments. いくつかの実施形態による、多層スタックの中に形成されたサンプル・ウェルを示す図。The figure which shows the sample well formed in the multi-layer stack by some embodiments. いくつかの実施形態による、サンプル・ウェルの表面の上に形成された表面コーティングを図示する図。The figure which illustrates the surface coating formed on the surface of a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成するリフト・オフ・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the lift-off process which forms a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成するリフト・オフ・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the lift-off process which forms a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成するリフト・オフ・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the lift-off process which forms a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成するリフト・オフ・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the lift-off process which forms a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成するリフト・オフ・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the lift-off process which forms a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成する代替的なリフト・オフ・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the alternative lift-off process which forms a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成するダイレクト・エッチング・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the direct etching process which forms a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成するダイレクト・エッチング・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the direct etching process which forms a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成するダイレクト・エッチング・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the direct etching process which forms a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルを形成するダイレクト・エッチング・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the direct etching process which forms a sample well by some embodiments. いくつかの実施形態による、リフト・オフ・プロセス又はダイレクト・エッチング・プロセスを使用して、複数の層の中に形成され得るサンプル・ウェルを示す図。The figure which shows the sample well which can be formed in a plurality of layers using a lift-off process or a direct etching process by some embodiments. いくつかの実施形態による、ディボットを形成するために使用され得るエッチング・プロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the etching process which can be used to form a divot by some embodiments. いくつかの実施形態による、ディボットを形成する代替的なプロセスに関連付けられる構造体を示す図。The figure which shows the structure which is associated with the alternative process which forms a divot by some embodiments. いくつかの実施形態による、ディボットを形成する代替的なプロセスに関連付けられる構造体を示す図。The figure which shows the structure which is associated with the alternative process which forms a divot by some embodiments. いくつかの実施形態による、ディボットを形成する代替的なプロセスに関連付けられる構造体を示す図。The figure which shows the structure which is associated with the alternative process which forms a divot by some embodiments. いくつかの実施形態による、付着物質及び不動態化層を堆積させるプロセスに関連付けられる構造体を示す図。FIG. 6 shows structures associated with the process of depositing adherents and passivation layers, according to some embodiments. いくつかの実施形態による、付着物質及び不動態化層を堆積させるプロセスに関連付けられる構造体を示す図。FIG. 6 shows structures associated with the process of depositing adherents and passivation layers, according to some embodiments. いくつかの実施形態による、付着物質及び不動態化層を堆積させるプロセスに関連付けられる構造体を示す図。FIG. 6 shows structures associated with the process of depositing adherents and passivation layers, according to some embodiments. いくつかの実施形態による、付着物質及び不動態化層を堆積させるプロセスに関連付けられる構造体を示す図。FIG. 6 shows structures associated with the process of depositing adherents and passivation layers, according to some embodiments. いくつかの実施形態による、サンプル・ウェルの中の中央に付着物質を堆積させるプロセスに関連付けられる構造体を示す図。The figure which shows the structure associated with the process of depositing the adhering material in the center in a sample well by some embodiments. 単に1つの実施形態による、表面プラズモン構造体を示す図。The figure which shows the surface plasmon structure only by one embodiment. 単に1つの実施形態による、表面プラズモン構造体を示す図。The figure which shows the surface plasmon structure only by one embodiment. いくつかの実施形態による、サンプル・ウェルに隣接して形成されている表面プラズモン構造体を示す図。The figure which shows the surface plasmon structure formed adjacent to a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルの中に形成されている表面プラズモン構造体を示す図。The figure which shows the surface plasmon structure formed in the sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルの中に形成されている表面プラズモン構造体を示す図。The figure which shows the surface plasmon structure formed in the sample well by some embodiments. いくつかの実施形態による、周期的な表面プラズモン構造体の例を示す図。The figure which shows the example of the periodic surface plasmon structure by some embodiments. いくつかの実施形態による、周期的な表面プラズモン構造体の例を示す図。The figure which shows the example of the periodic surface plasmon structure by some embodiments. いくつかの実施形態による、周期的な表面プラズモン構造体の例を示す図。The figure which shows the example of the periodic surface plasmon structure by some embodiments. いくつかの実施形態による、非周期的な表面プラズモン構造体に隣接して形成されているサンプル・ウェルにおける励起エネルギーの数値シミュレーションを示す図。The figure which shows the numerical simulation of the excitation energy in the sample well formed adjacent to the aperiodic surface plasmon structure by some embodiments. いくつかの実施形態による、周期的な表面プラズモン構造体を示す図。The figure which shows the periodic surface plasmon structure by some embodiments. いくつかの実施形態による、周期的な表面プラズモン構造体を示す図。The figure which shows the periodic surface plasmon structure by some embodiments. いくつかの実施形態による、周期的な表面プラズモン構造体を示す図。The figure which shows the periodic surface plasmon structure by some embodiments. いくつかの実施形態による、表面プラズモン構造体からなるナノ・アンテナを示す図。The figure which shows the nano antenna which consists of the surface plasmon structure by some embodiments. いくつかの実施形態による、表面プラズモン構造体からなるナノ・アンテナを示す図。The figure which shows the nano antenna which consists of the surface plasmon structure by some embodiments. いくつかの実施形態による、表面プラズモン構造体を形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the structure associated with the process process for forming a surface plasmon structure by some embodiments. いくつかの実施形態による、表面プラズモン構造体を形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the structure associated with the process process for forming a surface plasmon structure by some embodiments. いくつかの実施形態による、表面プラズモン構造体を形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the structure associated with the process process for forming a surface plasmon structure by some embodiments. いくつかの実施形態による、表面プラズモン構造体を形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the structure associated with the process process for forming a surface plasmon structure by some embodiments. いくつかの実施形態による、表面プラズモン構造体を形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the structure associated with the process process for forming a surface plasmon structure by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、表面プラズモン構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the surface plasmon structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルに隣接して形成されている薄い損失性膜を示す図。The figure which shows the thin loss film formed adjacent to a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェル及び薄い損失性膜の付近の励起エネルギーの数値シミュレーションからの結果を示す図。The figure which shows the result from the numerical simulation of the excitation energy in the vicinity of a sample well and a thin loss film by some embodiments. いくつかの実施形態による、サンプル・ウェル及び薄い損失性膜の付近の励起エネルギーの数値シミュレーションからの結果を示す図。The figure which shows the result from the numerical simulation of the excitation energy in the vicinity of a sample well and a thin loss film by some embodiments. いくつかの実施形態による、サンプル・ウェルから間隔を置いて配置されている薄い損失性膜を示す図。FIG. 5 showing thin lossy membranes spaced apart from sample wells, according to some embodiments. いくつかの実施形態による、サンプル・ウェルに隣接して形成された薄い損失性膜のスタックを示す図。FIG. 5 shows a stack of thin lossy membranes formed adjacent to a sample well, according to some embodiments. いくつかの実施形態による、サンプル・ウェルに隣接して共鳴キャビティーを形成するために使用され得る反射スタックを図示する図。The figure which illustrates the reflection stack which can be used to form a resonance cavity adjacent to a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルにおいて励起エネルギーを集中させるために使用され得る誘電体構造体を示す図。The figure which shows the dielectric structure which can be used for concentrating the excitation energy in a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルに隣接してパターニングされ得るフォトニック・バンドギャップ構造体を示す図。The figure which shows the photonic band gap structure which can be patterned adjacent to a sample well by some embodiments. いくつかの実施形態による、サンプル・ウェルに隣接してパターニングされ得るフォトニック・バンドギャップ構造体を示す図。The figure which shows the photonic band gap structure which can be patterned adjacent to a sample well by some embodiments. いくつかの実施形態による、誘電体構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the dielectric structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、誘電体構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the dielectric structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、誘電体構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the dielectric structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、誘電体構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the dielectric structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、誘電体構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the dielectric structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、誘電体構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the dielectric structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、誘電体構造体及び自己整合されるサンプル・ウェルを形成するためのプロセス工程に関連付けられる構造体を示す図。The figure which shows the dielectric structure and the structure which is associated with the process process for forming a self-aligned sample well by some embodiments. いくつかの実施形態による、非放射プロセスを介して励起エネルギーをサンプルにカップリングするための構造体を示す図。The figure which shows the structure for coupling the excitation energy to a sample through a non-radiative process by some embodiments. いくつかの実施形態による、非放射プロセスを介して励起エネルギーをサンプルにカップリングするための構造体を示す図。The figure which shows the structure for coupling the excitation energy to a sample through a non-radiative process by some embodiments. いくつかの実施形態による、複数の非放射プロセスによって励起エネルギーをサンプルにカップリングするための構造体を示す図。The figure which shows the structure for coupling the excitation energy to a sample by a plurality of non-radiative processes according to some embodiments. いくつかの実施形態による、放射プロセス又は非放射プロセスを介して励起エネルギーをサンプルにカップリングするために、1つ又は複数のエネルギー変換粒子を組み込む構造体を示す図。The figure which shows the structure which incorporates one or more energy transformation particles in order to couple an excitation energy to a sample through a radiative process or a non-radiative process according to some embodiments. いくつかの実施形態による、サンプルへの励起エネルギーのダウン・コンバートに関連付けられるスペクトルを示す図。The figure which shows the spectrum associated with the down conversion of the excitation energy to a sample by some embodiments. いくつかの実施形態による、サンプルへの励起エネルギーのアップ・コンバートに関連付けられるスペクトルを示す図。The figure which shows the spectrum associated with the up-conversion of the excitation energy to a sample by some embodiments. いくつかの実施形態による、同心円状のプラズモニック円形グレーチングを示す図。The figure which shows the concentric plasmonic circular grating by some embodiments. いくつかの実施形態による、スパイラル・プラズモニック・グレーチングを示す図。The figure which shows the spiral plasmonic grating by some embodiments. いくつかの実施形態による、同心円状のプラズモニック円形グレーチングからのエミッション空間的な分布パターンを示す図。The figure which shows the emission spatial distribution pattern from the concentric plasmonic circular grating by some embodiments. いくつかの実施形態による、プラズモニック・ナノ・アンテナを示す図。The figure which shows the plasmonic nano antenna by some embodiments. いくつかの実施形態による、プラズモニック・ナノ・アンテナを示す図。The figure which shows the plasmonic nano antenna by some embodiments. いくつかの実施形態による、プラズモニック・ナノ・アンテナを示す図。The figure which shows the plasmonic nano antenna by some embodiments. いくつかの実施形態による、プラズモニック・ナノ・アンテナを示す図。The figure which shows the plasmonic nano antenna by some embodiments. いくつかの実施形態による、アッセイ・チップの屈折オプティクスを示す図。The figure which shows the refraction optics of an assay chip by some embodiments. いくつかの実施形態による、アッセイ・チップのフレネル・レンズを示す図。The figure which shows the Fresnel lens of the assay chip by some embodiments. いくつかの実施形態による、機器の顕微鏡コンポーネントを示す図。The figure which shows the microscope component of an instrument by some embodiments. いくつかの実施形態による、センサー・チップの遠視野スペクトル・ソーティング・エレメントを示す図。The figure which shows the far-field spectrum sorting element of the sensor chip by some embodiments. いくつかの実施形態による、センサー・チップの遠視野フィルタリング・エレメントを示す図。The figure which shows the far-field filtering element of a sensor chip by some embodiments. いくつかの実施形態による、センサー・チップの薄い損失性膜を示す図。The figure which shows the thin loss film of a sensor chip by some embodiments. いくつかの実施形態による、センサー・チップの薄い損失性膜を示す図。The figure which shows the thin loss film of a sensor chip by some embodiments. いくつかの実施形態による、機器の光学的なブロックを示す図。The figure which shows the optical block of the device by some embodiments. いくつかの実施形態による、センサー・チップのピクセルの中のセンサーを立面図で示す図。The figure which shows the sensor in the pixel of the sensor chip in an elevation view by some embodiments. いくつかの実施形態による、2つの別々の及び同心円状のアクティブ領域を有するブルズ・アイ・センサーを示す図。FIG. 5 shows a bullseye sensor with two separate and concentric active regions, according to some embodiments. いくつかの実施形態による、4つの別々のアクティブ領域を有するストライプ・センサーを示す図。The figure which shows the stripe sensor which has 4 separate active regions by some embodiments. いくつかの実施形態による、4つの別々のアクティブ領域を有するクワッド・センサーを示す図。FIG. 5 shows a quad sensor with four separate active regions, according to some embodiments. いくつかの実施形態による、4つの別々のアクティブ領域を有する円弧形セグメント・センサーを示す図。FIG. 5 shows an arc segment sensor with four separate active regions, according to some embodiments. いくつかの実施形態による、スタックされたセグメント・センサーを示す図。The figure which shows the stacked segment sensor by some embodiments. いくつかの実施形態による、第1の波長で放出されたエネルギーに関する、ソーティング・エレメントからのエミッション分布を示す図。The figure which shows the emission distribution from a sorting element with respect to the energy emitted at the 1st wavelength by some embodiments. いくつかの実施形態による、図7-2Aに示されているエミッション分布に対応する、ブルズ・アイ・センサーによって受け取られる放射線パターンを示す図。The figure which shows the radiation pattern received by a bull's eye sensor corresponding to the emission distribution shown in FIG. 7-2A by some embodiments. いくつかの実施形態による、第2の波長で放出されたエネルギーに関する、ソーティング・エレメントからのエミッション分布を示す図。The figure which shows the emission distribution from a sorting element with respect to the energy emitted at the 2nd wavelength by some embodiments. いくつかの実施形態による、図7-2Cに示されているエミッション分布に対応する、ブルズ・アイ・センサーによって受け取られる放射線パターンを示す図。The figure which shows the radiation pattern received by a bull's eye sensor corresponding to the emission distribution shown in FIG. 7-2C by some embodiments. いくつかの実施形態による、サンプルからの第1のエミッション波長に関して、2つのアクティブ領域を有するブルズ・アイ・センサーに関する信号検出の数値シミュレーションからの結果を表す図。The figure which shows the result from the numerical simulation of the signal detection for the bullseye sensor which has two active regions with respect to the 1st emission wavelength from a sample by some embodiments. いくつかの実施形態による、サンプルからの第2のエミッション波長に関して、図7-2Eに関連付けられるブルズ・アイ・センサーに関する信号検出の数値シミュレーションからの結果を表す図。FIG. 6 shows the results from a numerical simulation of signal detection for the bullseye sensor associated with FIG. 7-2E with respect to a second emission wavelength from a sample, according to some embodiments. いくつかの実施形態による、サンプルからの第3のエミッション波長に関して、図7-2Eに関連付けられるブルズ・アイ・センサーに関する信号検出の数値シミュレーションからの結果を表す図。FIG. 6 shows the results from a numerical simulation of signal detection for the bullseye sensor associated with FIG. 7-2E with respect to a third emission wavelength from a sample, according to some embodiments. いくつかの実施形態による、サンプルからの第4のエミッション波長に関して、図7-2Eに関連付けられるブルズ・アイ・センサーに関する信号検出の数値シミュレーションからの結果を表す図。FIG. 6 shows the results from a numerical simulation of signal detection for a bullseye sensor associated with FIG. 7-2E with respect to a fourth emission wavelength from a sample, according to some embodiments. いくつかの実施形態による、サンプルからの第1のエミッション波長に関して、4つのアクティブ領域を有するブルズ・アイ・センサーに関する信号検出の数値シミュレーションからの結果を表す図。The figure which shows the result from the numerical simulation of the signal detection for the bullseye sensor which has 4 active regions with respect to the 1st emission wavelength from a sample by some embodiments. いくつかの実施形態による、サンプルからの第2のエミッション波長に関して、図7-2Iに関連付けられるブルズ・アイ・センサーに関する信号検出の数値シミュレーションからの結果を表す図。FIG. 6 shows the results from a numerical simulation of signal detection for the bullseye sensor associated with FIG. 7-2I with respect to a second emission wavelength from a sample, according to some embodiments. いくつかの実施形態による、2つのアクティブ領域からなるセンサーからの信号を読み取るために使用され得る装置の上の回路を示す図。FIG. 6 illustrates a circuit above a device that can be used to read a signal from a sensor consisting of two active regions, according to some embodiments. いくつかの実施形態による、信号蓄積及び読み出しに関して、センサー・チップに含まれ得る3トランジスター回路を示す図。FIG. 6 illustrates a three-transistor circuit that may be included in a sensor chip with respect to signal storage and readout, according to some embodiments. いくつかの実施形態による、4つのアクティブ領域からなるセンサーからの信号を読み取るために使用され得る装置の上の回路を示す図。FIG. 6 illustrates a circuit above a device that can be used to read a signal from a sensor consisting of four active regions, according to some embodiments. いくつかの実施形態による、サンプル分析のために使用され得る2つの異なるエミッターに関する時間的なエミッション特性を示す図。The figure which shows the time emission property about two different emitters which can be used for a sample analysis by some embodiments. いくつかの実施形態による、励起供給源及びサンプルからの発光の時間的な進展を示す図。The figure which shows the temporal evolution of the light emission from an excitation source and a sample by some embodiments. いくつかの実施形態による、時間遅延サンプリングを示す図。The figure which shows the time delay sampling by some embodiments. いくつかの実施形態による、2つの異なるエミッターに関する時間的なエミッション特性を示す図。The figure which shows the time emission characteristic about two different emitters by some embodiments. いくつかの実施形態による、センサーの電荷蓄積ノードにおける電圧ダイナミクスを示す図。The figure which shows the voltage dynamics in the charge storage node of a sensor by some embodiments. いくつかの実施形態による、リセットなしのセンサー・セグメントのダブルのリードを示す図。The figure which shows the double lead of the sensor segment without a reset by some embodiments. いくつかの実施形態による、時間的に別個のエミッション特性を有する2つのエミッターに関連付けられる第1及び第2の読み取り信号レベルを図示する図。FIG. 5 illustrates the first and second read signal levels associated with two emitters having temporally distinct emission characteristics, according to some embodiments. いくつかの実施形態による、時間的に別個のエミッション特性を有する2つのエミッターに関連付けられる第1及び第2の読み取り信号レベルを図示する図。FIG. 5 illustrates the first and second read signal levels associated with two emitters having temporally distinct emission characteristics, according to some embodiments. いくつかの実施形態による励起源の励起スペクトル・バンドを示す図。The figure which shows the excitation spectrum band of the excitation source by some embodiments. いくつかの実施形態による励起源の励起スペクトル・バンドを示す図。The figure which shows the excitation spectrum band of the excitation source by some embodiments. いくつかの実施形態による、生物学的な試料及び化学的な試料の迅速なモバイル分析のために使用され得るコンパクトな装置の動作の方法を示す図。FIG. 6 illustrates a method of operation of a compact device that can be used for rapid mobile analysis of biological and chemical samples, according to some embodiments. いくつかの実施形態による、キャリブレーション手順を示す図。The figure which shows the calibration procedure by some embodiments. いくつかの実施形態による、データ分析手順を示す図。The figure which shows the data analysis procedure by some embodiments. いくつかの実施形態による計算環境を示す図。The figure which shows the calculation environment by some embodiments.

本発明の特徴及び利点は、図面と併用されたときに、以下に述べられている詳細な説明からより明らかになることとなる。
I.発明者による課題と解決手段の認識
本発明者は、バイオアッセイを実施するための従来の装置は、大きくて高価であり、先進的な実験技法を実施することを必要とするということを認識及び理解した。多くのタイプのバイオアッセイは、試料の中の単一分子の検出に依存する。従来から、単一分子検出は、分子の励起のために必要とされる高い強度の光を発生させるために使用される大きくて場所を取るレーザ・システムを必要とする可能性がある。加えて、大型の光学的なコンポーネントが、レーザ光を試料に方向付けするために使用され得、追加的な光学的なコンポーネントが、試料からの発光性の光をセンサーに方向付けするために使用され得る。これらの従来の光学的なコンポーネントは、正確なアライメント及び安定化を必要とする可能性がある。従来の実験機器、及び、この従来の機器を使用するために必要とされるトレーニングは、複雑で高価なバイオアッセイを結果として生じさせる可能性がある。
The features and advantages of the present invention, when used in combination with the drawings, will become more apparent from the detailed description given below.
I. Recognizing the Challenges and Solutions by the Inventor The inventor recognizes that conventional equipment for performing bioassays is large and expensive and requires the implementation of advanced experimental techniques. got it. Many types of bioassays rely on the detection of a single molecule in a sample. Traditionally, single molecule detection may require a large, space-consuming laser system used to generate the high intensity light required for the excitation of molecules. In addition, a large optical component can be used to direct the laser beam to the sample, and an additional optical component can be used to direct the luminescent light from the sample to the sensor. Can be done. These conventional optical components may require precise alignment and stabilization. Conventional experimental equipment and the training required to use this conventional equipment can result in complex and expensive bioassays.

本発明者は、構成する部分の性質を判定するために生物学的な及び/又は化学的な試料を簡単かつ安価に分析することができるデバイスに対する必要性が存在しているということを認識及び理解した。そのようなデバイスの用途は、核酸分子、又は、複数のアミノ酸を有するポリペプチド(たとえば、タンパク質)などのような、生体分子をシークエンシングするためのものであることが可能である。単一分子又は粒子の検出及び定量化を実施するためのコンパクトな高速装置は、生物学的なサンプル及び/又は化学的なサンプルの複雑で定量的な測定を実施するコストを低減させ、生化学における技術的発見を急速に前進させることができた。そのうえ、容易に輸送可能なコスト効率の良いデバイスは、先進国世界においてバイオアッセイが行われる方式を変えることができただけでなく、発展途上地域の人々に、初めて、必須の診断検査への容易なアクセスを提供することができ、それは、健康及び福祉を劇的に改善することができた。たとえば、いくつかの実施形態では、バイオアッセイを実施するための装置は、血液、尿、及び/又は唾液などのような、生物学的なサンプルの診断検査を実施するために使用される。装置は、個人によって家庭の中で、医者によって、又は、たとえば、田舎の医院など、発展途上国又は任意の他の場所における遠隔の診療所において、使用され得る。そのような診断検査は、核酸分子又はタンパク質などのような、被験者の生物学的なサンプルの中の生体分子の検出を含むことが可能である。いくつかの例では、診断検査は、被験者の生物学的なサンプルの中の無細胞デオキシリボ核酸分子又は発現産物のシークエンシングなどのような、被験者の生物学的なサンプルの中の核酸分子をシークエンシングすることを含む。 The inventor recognizes and recognizes that there is a need for devices that can easily and inexpensively analyze biological and / or chemical samples to determine the properties of their constituents. got it. Applications of such devices can be for sequencing biomolecules, such as nucleic acid molecules, or polypeptides with multiple amino acids (eg, proteins). A compact, high-speed device for performing single molecule or particle detection and quantification reduces the cost of performing complex and quantitative measurements of biological and / or chemical samples, and biochemistry. We were able to rapidly advance our technological discoveries in Japan. What's more, easily transportable, cost-effective devices have not only been able to change the way bioassays are performed in the developed world, but for the first time for people in developing regions, the ease of essential diagnostic testing. Access was able to be provided, which could dramatically improve health and welfare. For example, in some embodiments, devices for performing bioassays are used to perform diagnostic tests on biological samples such as blood, urine, and / or saliva. The device can be used by an individual in the home, by a doctor, or in a remote clinic in a developing country or any other place, such as a rural clinic. Such diagnostic tests can include the detection of biomolecules in a subject's biological sample, such as nucleic acid molecules or proteins. In some examples, diagnostic tests sequence nucleic acid molecules in a subject's biological sample, such as sequencing cell-free deoxyribonucleic acid molecules or expression products in the subject's biological sample. Including singing.

「核酸」という用語は、本明細書で使用されているように、全体的に、1つ又は複数の核酸サブユニットからなる分子を表している。核酸は、アデノシン(A)、シトシン(C)、グアニン(G)、チミン(T)、及びウラシル(U)、又は、それらの変異体から選択される1つ又は複数のサブユニットを含むことが可能である。いくつかの例では、核酸は、デオキシリボ核酸(DNA)もしくはリボ核酸(RNA)、又は、それらの誘導体である。核酸は、一本鎖又は二本鎖であることが可能である。核酸は、円形であることが可能である。 The term "nucleic acid" as a whole refers to a molecule consisting of one or more nucleic acid subunits, as used herein. The nucleic acid may include one or more subunits selected from adenosine (A), cytosine (C), guanine (G), thymine (T), and uracil (U), or variants thereof. It is possible. In some examples, the nucleic acid is deoxyribonucleic acid (DNA) or ribonucleic acid (RNA), or a derivative thereof. The nucleic acid can be single-stranded or double-stranded. The nucleic acid can be round.

「ヌクレオチド」という用語は、本明細書で使用されているように、全体的に、核酸サブユニットを表しており、それは、A、C、G、T、又はU、又は、それらの変異体もしくは類似体を含むことが可能である。ヌクレオチドは、成長する核酸鎖の中に組み込まれ得る任意のサブユニットを含むことが可能である。そのようなサブユニットは、A、C、G、T、もしくはUであり、又は、1つ又は複数の相補的なA、C、G、T、もしくはUに特有な、又は、プリン(すなわち、A、もしくはG、又は、それらの変異体もしくは類似体)又はピリミジン(すなわち、C、T、もしくはU、又は、それらの変異体もしくは類似体)に相補的な、任意の他のサブユニットであることが可能である。サブユニットは、個々の核酸塩基又は塩基のグループ(たとえば、AA、TA、AT、GC、CG、CT、TC、GT、TG、AC、CA、又は、それらのウラシル対応物)が分解されることを可能にする。 The term "nucleotide" as a whole, as used herein, refers to a nucleic acid subunit, which may be an A, C, G, T, or U, or a variant thereof or a variant thereof. It is possible to include analogs. Nucleotides can include any subunit that can be integrated into the growing nucleic acid chain. Such subunits are A, C, G, T, or U, or are specific to or purin (ie, one or more complementary A, C, G, T, or U). Any other subunit complementary to A or G, or variants or analogs thereof) or pyrimidines (ie, C, T, or U, or variants or analogs thereof). It is possible. The subunit is degraded by an individual nucleobase or group of bases (eg, AA, TA, AT, GC, CG, CT, TC, GT, TG, AC, CA, or their uracil counterparts). Enables.

ヌクレオチドは、一般的に、ヌクレオシド、及び、少なくとも1、2、3、4、5、6、7、8、9、10、又は、それ以上のホスフェート(PO)グループを含む。ヌクレオチドは、核酸塩基、五炭糖(リボース又はデオキシリボースのいずれか)、及び、1つ又は複数のホスフェート基を含むことが可能である。リボヌクレオチドは、糖がリボースであるヌクレオチドである。デオキシヌクレオチドは、糖がデオキシリボースであるヌクレオチドである。ヌクレオチドは、ヌクレオシド一リン酸又はヌクレオシド・ポリリン酸であることが可能である。ヌクレオチドは、たとえば、デオキシリボヌクレオシド三リン酸などのような、デオキシリボヌクレオシド・ポリリン酸であることが可能であり、それは、デオキシアデノシン三リン酸(dATP)、デオキシシチジン三リン酸(dCTP)、デオキシグアノシン三リン酸(dGTP)、デオキシウリジン三リン酸(dUTP)、及びデオキシチミジン三リン酸(dTTP)、dNTPから選択され得、それは、発光性タグ又はマーカ(たとえば、フルオロフォア)などのような、検出可能なタグを含む。 Nucleotides generally include nucleosides and at least 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, or more phosphate (PO 3 ) groups. Nucleotides can include nucleobases, pentatosaccharides (either ribose or deoxyribose), and one or more phosphate groups. Ribonucleotides are nucleotides whose sugar is ribose. Deoxynucleotides are nucleotides whose sugar is deoxyribose. Nucleotides can be nucleoside monophosphate or nucleoside polyphosphoric acid. The nucleotide can be deoxyribonucleoside polyphosphate, such as, for example, deoxyribonucleoside triphosphate, which is deoxyadenosine triphosphate (dATP), deoxycytidine triphosphate (dCTP), deoxyguanosine. It can be selected from triphosphate (dGTP), deoxyuridine triphosphate (dUTP), and deoxycytidine triphosphate (dTTP), dNTP, such as a luminescent tag or marker (eg, fluorophore), etc. Includes detectable tags.

ヌクレオシド・ポリリン酸は、「n」ホスフェート基を有することが可能であり、ここで、「n」は、2、3、4、5、6、7、8、9、又は10以上の数である。ヌクレオシド・ポリリン酸の例は、ヌクレオシド二リン酸及びヌクレオシド三リン酸を含む。ヌクレオチドは、末端リン酸塩で標識されたヌクレオシド・ポリリン酸などのような、末端リン酸塩で標識されたヌクレオシドであることが可能である。そのような標識は、発光性(たとえば、蛍光又は化学発光性)標識、蛍光性標識、着色標識、発色性標識、質量タグ、静電的な標識、又は、電気化学的な標識であることが可能である。標識(又は、マーカ)は、リンカーを通して末端リン酸塩にカップリングされ得る。リンカーは、たとえば、少なくとも1つの又は複数のヒドロキシル基、スルフヒドリル基、アミノ基、又はハロアルキル基を含むことが可能であり、それは、自然の又は修飾されたヌクレオチドの末端リン酸塩において、たとえば、リン酸エステル、チオエステル、ホスホルアミデート、又はアルキルホスホネート連結を形成するのに適切である可能性がある。リンカーは、開裂可能であることが可能であり、たとえば、重合酵素の支援などによって、末端リン酸塩から標識を分離するようになっている。ヌクレオチド及びリンカーの例が、米国特許第7,041,812号に提供されており、それは、全体が本願明細書に援用されている。 Nucleoside polyphosphoric acids can have an "n" phosphate group, where "n" is a number of 2, 3, 4, 5, 6, 7, 8, 9, or 10 or greater. .. Examples of nucleoside polyphosphoric acids include nucleoside diphosphates and nucleoside triphosphates. Nucleotides can be terminal phosphate-labeled nucleosides, such as terminal phosphate-labeled nucleosides, polyphosphoric acids, and the like. Such a label may be a luminescent (eg, fluorescent or chemiluminescent) label, a fluorescent label, a colored label, a chromogenic label, a mass tag, an electrostatic label, or an electrochemical label. It is possible. The label (or marker) can be coupled to the terminal phosphate through a linker. The linker can include, for example, at least one or more hydroxyl groups, sulfhydryl groups, amino groups, or haloalkyl groups, which, for example, in the terminal phosphate of a natural or modified nucleotide, eg, phosphorus. It may be suitable for forming acid esters, thioesters, phosphoramidates, or alkylphosphonate linkages. The linker can be cleavable and is adapted to separate the label from the terminal phosphate, for example with the help of a polymerizable enzyme. Examples of nucleotides and linkers are provided in US Pat. No. 7,041,812, which is incorporated herein by reference in its entirety.

「ポリメラーゼ」という用語は、本明細書で使用されているように、全体的に、重合反応に触媒作用を及ぼすことができる任意の酵素(又は、重合酵素)を表している。ポリメラーゼの例は、限定なしに、核酸ポリメラーゼ、転写酵素、又はリガーゼを含む。ポリメラーゼは、重合酵素であることが可能である。 The term "polymerase" as a whole, as used herein, refers to any enzyme (or polymerizing enzyme) that can catalyze the polymerization reaction. Examples of polymerases include, without limitation, nucleic acid polymerases, transcription enzymes, or ligases. The polymerase can be a polymerizing enzyme.

「ゲノム」という用語は、一般的に、生物の遺伝的情報の全体を表している。ゲノムは、DNA又はRNAのいずれかにコードされ得る。ゲノムは、タンパク質をコードするコード領域、及び、非コード領域からなることが可能である。ゲノムは、生物の中に、すべての染色体の配列を一緒に含むことが可能である。たとえば、ヒト・ゲノムは、合計で46染色体を有している。これらのすべての配列が、一緒にヒト・ゲノムを構成する。 The term "genome" generally refers to the entire genetic information of an organism. The genome can be encoded by either DNA or RNA. The genome can consist of coding regions that encode proteins and non-coding regions. The genome can contain the sequences of all chromosomes together in an organism. For example, the human genome has a total of 46 chromosomes. All these sequences together make up the human genome.

本開示は、核酸分子などのような、生体分子又はそれらのサブユニットを検出するためのデバイス、システム、及び方法を提供する。そのような検出は、シークエンシングを含むことが可能である。生体分子は、被験者から得られる生物学的なサンプルから抽出され得る。生物学的なサンプルは、息、唾液、尿又は血液(たとえば、全血又は血漿)などのような、被験者の体液又は組織から抽出され得る。被験者は、病気(たとえば、癌)などのような、健康条件を有するということが疑われる可能性がある。いくつかの例では、1つ又は複数の核酸分子が、被験者の体液又は組織から抽出され得る。1つ又は複数の核酸が、被験者の組織の一部などのような、被験者から得られる1つ又は複数の細胞、又は、全血などのような、被験者の無細胞体液から得られる1つ又は複数の細胞から抽出され得る。 The present disclosure provides devices, systems, and methods for detecting biomolecules or subunits thereof, such as nucleic acid molecules. Such detection can include sequencing. The biomolecule can be extracted from a biological sample obtained from the subject. Biological samples can be extracted from the subject's body fluids or tissues, such as breath, saliva, urine or blood (eg, whole blood or plasma). Subjects may be suspected of having health conditions, such as illness (eg, cancer). In some examples, one or more nucleic acid molecules may be extracted from the subject's body fluids or tissues. One or more nucleic acids may be obtained from one or more cells obtained from a subject, such as part of a subject's tissue, or from a subject's cell-free body fluid, such as whole blood. It can be extracted from multiple cells.

生物学的なサンプルが、検出(たとえば、シークエンシング)の準備として処理され得る。そのようなプロセッシングは、生物学的なサンプルからの生体分子(たとえば、核酸分子)の隔離及び/又は精製、ならびに、生体分子のより多くのコピーの発生を含むことが可能である。いくつかの例では、1つ又は複数の核酸分子が、被験者の体液又は組織から隔離及び精製され、ポリメラーゼ連鎖反応(PCR)などのような核酸増幅を通して増幅される。次いで、1つ又は複数の核酸分子又はそのサブユニットが、シークエンシングなどを通して特定され得る。 Biological samples can be processed in preparation for detection (eg, sequencing). Such processing can include sequestration and / or purification of a biomolecule (eg, a nucleic acid molecule) from a biological sample, as well as the generation of more copies of the biomolecule. In some examples, one or more nucleic acid molecules are sequestered and purified from the subject's body fluids or tissues and amplified through nucleic acid amplification such as the polymerase chain reaction (PCR). One or more nucleic acid molecules or subunits thereof can then be identified, such as through sequencing.

シークエンシングは、鋳型に相補的又は類似した別の生体分子を合成することによって、たとえば、鋳型核酸分子に相補的な核酸分子を合成すること、及び、時間によってヌクレオチドの組み込みを特定すること(すなわち、合成によるシークエンシング)などによって、鋳型生体分子(たとえば、核酸分子)の個々のサブユニットを決定することを含むことが可能である。代替例として、シークエンシングは、生体分子の個々のサブユニットの直接的な特定を含むことが可能である。 Sequencing involves synthesizing another biomolecule that is complementary or similar to the template, eg, synthesizing a nucleic acid molecule that is complementary to the template nucleic acid molecule, and identifying nucleotide integration by time (ie,). , Synthetic sequencing) and the like can include determining individual subunits of a template biomolecule (eg, a nucleic acid molecule). As an alternative, sequencing can include direct identification of individual subunits of a biomolecule.

シークエンシングの間に、生体分子の個々のサブユニットを示す信号が、メモリーの中に収集され、リアルタイムで又はより後の時点で処理され、生体分子の配列を決定することが可能である。そのようなプロセッシングは、信号と参照信号の比較を含むことが可能であり、それは、個々のサブユニットの特定を可能にし、それは、いくつかのケースではリードを生み出す。リードは、十分な長さの配列(たとえば、少なくとも約30塩基対(bp))であることが可能であり、それは、より大きい配列又は領域を特定するために使用され得、たとえば、それは、染色体又はゲノム領域又は遺伝子の上の場所に整合させられ得る。 During sequencing, signals indicating individual subunits of the biomolecule can be collected in memory and processed in real time or at a later point in time to determine the sequence of the biomolecule. Such processing can include comparing the signal to the reference signal, which allows the identification of individual subunits, which in some cases produces leads. The read can be a sequence of sufficient length (eg, at least about 30 base pairs (bp)), which can be used to identify larger sequences or regions, eg, it is a chromosome. Or it can be aligned to a genomic region or location above the gene.

配列リードは、被験者のゲノムのより長い領域を再構築するために使用され得る(アライメント)。リードは、染色体領域、染色体全部、又はゲノム全部を再構築するために使用され得る。配列リード、又は、そのようなリードから発生するより大きい配列が、たとえば、変異体又は多型を特定するなど、被験者のゲノムを分析するために使用され得る。変異体の例は、それに限定されないが、タンデムSNPを含む一塩基多型(SNP)、小規模多塩基欠失又は挿入(インデル又は欠失挿入多型又はDIPとも称される)、多塩基多型(MNP)、縦列型反復配列(STR)、微小欠失を含む欠失、微小挿入を含む挿入、重複を含む構造的変異、反転、転座、増殖、複雑なマルチ・サイト変異対、コピー数変異(CNV)を含む。ゲノム配列は、多様性の組み合わせからなることが可能である。たとえば、ゲノム配列は、1つ又は複数のSNP及び1つ又は複数のCNVの組み合わせを包含することが可能である。 Sequence reads can be used to reconstruct longer regions of the subject's genome (alignment). Reads can be used to reconstruct a chromosomal region, an entire chromosome, or an entire genome. Sequence reads, or larger sequences originating from such reads, can be used to analyze the subject's genome, eg, to identify variants or polymorphisms. Examples of variants are, but are not limited to, single nucleotide polymorphisms (SNPs), including tandem SNPs, small nucleotide polymorphisms or insertions (also referred to as indels or deletion insertion polymorphisms or DIPs), and multiple nucleotide polymorphisms. Types (MNPs), columnar repeat sequences (STRs), deletions containing microdeletion, insertions containing microinsertions, structural mutations including duplication, inversions, translocations, proliferation, complex multisite mutation pairs, copies Includes number variation (CNV). Genome sequences can consist of combinations of varieties. For example, the genomic sequence can include one or more SNPs and one or more combinations of CNVs.

生体分子の個々のサブユニットは、マーカを使用して特定され得る。いくつかの例では、発光性マーカは、生体分子の個々のサブユニットを特定するために使用されている。いくつかの実施形態は、発光性マーカ(本明細書で「マーカ」とも称される)を使用し、それは、外因性の又は内因性のマーカであることが可能である。外因性のマーカは、発光性ラベリングのためのレポータ及び/又はタグとして使用される外部発光性マーカであることが可能である。外因性のマーカの例は、それに限定されないが、蛍光分子、フルオロフォア、蛍光色素、蛍光染色液、有機色素、蛍光タンパク質、蛍光共鳴エネルギー移動(FRET)に関与する種、酵素、及び/又は量子ドットを含むことが可能である。他の外因性のマーカも、当技術分野で知られている。そのような外因性のマーカは、具体的には特定のターゲット又はコンポーネントに結合するプローブ又は官能基(たとえば、分子、イオン、及び/又はリガンド)にコンジュゲートされ得る。外因性のタグ又はレポータをプローブに取り付けることは、外因性のタグ又はレポータの存在の検出を通して、ターゲットの特定を可能にする。プローブの例は、タンパク質、核酸(たとえば、DNA、RNA)分子、脂質、及び抗体プローブを含むことが可能である。外因性のマーカ及び官能基の組み合わせは、検出のために使用される任意の適切なプローブ、タグ、及び/又はラベルを形成することが可能であり、それは、分子プローブ、標識プローブ、ハイブリダイゼーション・プローブ、抗体プローブ、タンパク質プローブ(たとえば、ビオチン結合プローブ)、酵素ラベル、蛍光プローブ、蛍光タグ、及び/又は酵素レポータを含む。 Individual subunits of a biomolecule can be identified using markers. In some examples, luminescent markers are used to identify individual subunits of a biomolecule. Some embodiments use a luminescent marker (also referred to herein as a "marker"), which can be an exogenous or endogenous marker. The extrinsic marker can be an external luminescent marker used as a reporter and / or tag for luminescent labeling. Examples of extrinsic markers are, but are not limited to, fluorescent molecules, fluorophores, fluorescent dyes, fluorescent dyes, organic dyes, fluorescent proteins, species, enzymes, and / or quantum involved in fluorescent resonance energy transfer (FRET). It is possible to include dots. Other extrinsic markers are also known in the art. Such extrinsic markers can be specifically conjugated to probes or functional groups (eg, molecules, ions, and / or ligands) that bind to a particular target or component. Attaching an extrinsic tag or reporter to the probe allows target identification through detection of the presence of the extrinsic tag or reporter. Examples of probes can include protein, nucleic acid (eg, DNA, RNA) molecules, lipids, and antibody probes. The combination of extrinsic markers and functional groups can form any suitable probe, tag, and / or label used for detection, which can be a molecular probe, labeled probe, hybridization. Includes probes, antibody probes, protein probes (eg, biotin binding probes), enzyme labels, fluorescent probes, fluorescent tags, and / or enzyme reporters.

本開示は発光性マーカを参照しているが、他のタイプのマーカが、本明細書で提供されるデバイス、システム、及び方法とともに使用され得る。そのようなマーカは、質量タグ、静電的なタグ、又は電気化学的なラベルであることが可能である。 Although this disclosure refers to luminescent markers, other types of markers may be used with the devices, systems, and methods provided herein. Such markers can be mass tags, electrostatic tags, or electrochemical labels.

外因性のマーカはサンプルに追加され得るが、内因性のマーカは、すでに、サンプルの一部であることが可能である。内因性のマーカは、励起エネルギーの存在下で発光又は「自己蛍光」することができる、存在する任意の発光性マーカを含むことが可能である。内因性のフルオロフォアの自己蛍光は、外因性のフルオロフォアの導入を必要とすることなく、ラベル・フリーの及び非侵襲的なラベリングを提供することが可能である。そのような内因性のフルオロフォアの例は、例として、及び、限定としてではなく、ヘモグロビン、酸素ヘモグロビン、脂質、コラーゲン及びエラスチン・クロスリンク、還元型ニコチンアミド・アデニン・ジヌクレオチド(NADH)、酸化フラビン(FAD及びFMN)、リポフスチン、ケラチン、ならびに/又はポルフィリンを含むことが可能である。 Extrinsic markers can be added to the sample, but endogenous markers can already be part of the sample. The endogenous marker can include any luminescent marker present that can luminescence or "autofluorescent" in the presence of excitation energy. Autofluorescence of endogenous fluorophores can provide label-free and non-invasive labeling without the need for introduction of exogenous fluorophores. Examples of such endogenous fluorophores include, but are not limited to, hemoglobin, oxygen hemoglobin, lipids, collagen and elastin crosslinks, reduced nicotinamide adenine dinucleotide (NADH), oxidation. It is possible to include flavins (FAD and FMN), lipofustin, keratin, and / or porphyrins.

いくつかの実施形態は、試料の中の単一分子を検出することによる診断検査に関する可能性があるが、本発明者は、本開示の単一分子検出能力が、たとえば遺伝子の1つ又は複数の核酸セグメントのポリペプチド(たとえば、タンパク質)シークエンシング又は核酸(たとえば、DNA、RNA)シークエンシングを実施するために使用され得るということを認識した。核酸シークエンシング技術は、核酸配列を決定するために使用される方法において変化し、また、シークエンシング・プロセスの中のレート、リード長、及びエラーの発生において変化することが可能である。たとえば、いくつかの核酸シークエンシング方法は、合成によるシークエンシングに基づいており、合成によるシークエンシングでは、ヌクレオチドが、ターゲット核酸分子に相補的な核酸の新しく合成された鎖の中へ組み込まれるときに、ヌクレオチドのアイデンティティーが決定される。 Although some embodiments may relate to diagnostic testing by detecting a single molecule in a sample, the present inventors have the ability to detect a single molecule in the present disclosure, eg, one or more of a gene. Recognized that it can be used to perform polypeptide (eg, protein) sequencing or nucleic acid (eg, DNA, RNA) sequencing of nucleic acid segments. Nucleic acid sequencing techniques vary in the methods used to determine nucleic acid sequences and can also vary in rates, read lengths, and error occurrences during the sequencing process. For example, some nucleic acid sequencing methods are based on synthetic sequencing, in which when a nucleotide is integrated into a newly synthesized strand of nucleic acid complementary to the target nucleic acid molecule. , The identity of the nucleotide is determined.

シークエンシングの間に、重合酵素は、ターゲット核酸分子のプライミング場所にカップリングする(たとえば、取り付ける)ことが可能である。プライミング場所は、ターゲット核酸分子に相補的なプライマーであることが可能である。代替例として、プライミング場所は、ターゲット核酸分子の二本鎖セグメントの中に提供されるギャップ又はニックである。ギャップ又はニックは、長さが0から少なくとも1、2、3、4、5、6、7、8、9、10、20、30、又は40個のヌクレオチドであることが可能である。ニックは、二本鎖配列の1つの鎖の中に切断を提供することが可能であり、それは、たとえば、鎖置換ポリメラーゼ酵素などのような重合酵素のためのプライミング場所を提供することが可能である。 During sequencing, the polymerizing enzyme can be coupled (eg, attached) to the priming site of the target nucleic acid molecule. The priming site can be a primer complementary to the target nucleic acid molecule. As an alternative, the priming location is a gap or nick provided within the double-stranded segment of the target nucleic acid molecule. The gap or nick can be from 0 to at least 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 20, 30, or 40 nucleotides in length. Nick can provide cleavage within one strand of a double-stranded sequence, which can provide a priming site for a polymerizable enzyme, such as, for example, a chain-substituted polymerase enzyme. be.

いくつかのケースでは、シークエンシング・プライマーは、ターゲット核酸分子にアニーリングされ得、ターゲット核酸分子は、サンプル・ウェルなどのような固体支持体に固定化されていても又は固定化されていなくてもよい。いくつかの実施形態では、シークエンシング・プライマーは、固体支持体に固定化され得、また、ターゲット核酸分子のハイブリダイゼーションも、ターゲット核酸分子を固体支持体に固定化させている。ヌクレオチドをプライマーに追加又は組み込むことができる酵素(たとえば、ポリメラーゼ)の作用を介して、ヌクレオチドが、5’-3’鋳型バウンド方式でプライマーに追加され得る。プライマーへのヌクレオチドのそのような組み込み(たとえば、ポリメラーゼの作用を介する)は、一般的に、プライマー伸長反応と称され得る。それぞれのヌクレオチドは、検出可能なタグに関連付けられ得、検出可能なタグは、検出及び使用され、プライマーの中へ組み込まれるそれぞれのヌクレオチド、及び、したがって、新しく合成された核酸分子の配列を決定することが可能である。新しく合成された核酸分子の配列相補性を介して、ターゲット核酸分子の配列も決定され得る。いくつかのケースでは、ターゲット核酸分子へのシークエンシング・プライマーのアニーリング、及び、シークエンシング・プライマーへのヌクレオチドの組み込みは、同様の反応条件(たとえば、同じ又は同様の反応温度)において、又は、異なる反応条件(たとえば、異なる反応温度)において、起こることが可能である。そのうえ、合成方法によるいくつかのシークエンシングは、ターゲット核酸分子の集団(たとえば、ターゲット核酸のコピー)の存在、及び/又は、ターゲット核酸の増幅の工程を含み、ターゲット核酸の集団を実現することが可能である。 In some cases, the sequencing primer may be annealed to the target nucleic acid molecule, whether or not the target nucleic acid molecule is immobilized on a solid support such as a sample well. good. In some embodiments, the sequencing primer may be immobilized on a solid support, and hybridization of the target nucleic acid molecule also immobilizes the target nucleic acid molecule on the solid support. Nucleotides can be added to the primer in a 5'-3'template bound fashion through the action of an enzyme (eg, polymerase) that can add or incorporate the nucleotide into the primer. Such integration of nucleotides into the primer (eg, via the action of a polymerase) can be commonly referred to as a primer extension reaction. Each nucleotide can be associated with a detectable tag, which determines the sequence of each nucleotide that is detected and used and incorporated into the primer, and thus the newly synthesized nucleic acid molecule. It is possible. The sequence of the target nucleic acid molecule can also be determined through the sequence complementarity of the newly synthesized nucleic acid molecule. In some cases, the annealing of the sequencing primer to the target nucleic acid molecule and the incorporation of the nucleotide into the sequencing primer are under similar reaction conditions (eg, the same or similar reaction temperature) or different. It can occur under reaction conditions (eg, different reaction temperatures). Moreover, some sequencing by synthetic methods may include the presence of a population of target nucleic acid molecules (eg, a copy of the target nucleic acid) and / or the step of amplifying the target nucleic acid to achieve the target nucleic acid population. It is possible.

実施形態によると、少なくとも約50%、60%、70%、75%、80%、85%、90%、95%、96%、97%、98%、99%、99.9%、99.99%、99.999%、又は99.9999%の精度、及び/又は、約10塩基対(bp)、50bp、100bp、200bp、300bp、400bp、500bp、1000bp、10,000bp、20,000bp、30,000bp、40,000bp、50,000bp、又は100,000bp以上のリード長などのような、高い精度及び長いリード長によって、単一の核酸分子をシークエンシングすることができる。いくつかの実施形態では、単一分子シークエンシングにおいて使用されるターゲット核酸分子は、一本鎖のターゲット核酸(たとえば、デオキシリボ核酸(DNA)、DNA誘導体、リボ核酸(RNA)、RNA誘導体)鋳型であり、それは、サンプル・ウェルに添加又は固定化されており、サンプル・ウェルは、サンプル・ウェルの底部などのような固体支持体に固定化され又は取り付けられている、シークエンシング反応(たとえば、DNAポリメラーゼ、シークエンシング・プライマーなどのようなポリメラーゼ)の少なくとも1つの追加的なコンポーネントを含有する。ターゲット核酸分子又はポリメラーゼは、たとえば、サンプル・ウェルの底部に、直接的に又はリンカーを通して、サンプル壁部に取り付けられ得る。また、サンプル・ウェルは、プライマー伸長反応を介する核酸合成に必要とされる任意の他の試薬を含有することが可能であり、それは、たとえば適切なバッファー、補因子、酵素(たとえば、ポリメラーゼ)、ならびに、たとえば、デオキシアデノシン三リン酸(dATP)、デオキシシチジン三リン酸(dCTP)、デオキシグアノシン三リン酸(dGTP)、デオキシウリジン三リン酸(dUTP)、及びデオキシチミジン三リン酸(dTTP)、dNTPを含む、デオキシリボヌクレオシド三リン酸などのような、デオキシリボヌクレオシド・ポリリン酸などであり、それは、フルオロフォアなどのような発光性タグを含む。dNTPのそれぞれのクラス(たとえばアデニンを含有するdNTP(たとえば、dATP)、シトシンを含有するdNTP(たとえば、dCTP)、グアニンを含有するdNTP(たとえば、dGTP)、ウラシルを含有するdNTP(たとえば、dUTP)、及び、チミンを含有するdNTP(たとえば、dTTP))は、別個の発光性タグにコンジュゲートされており、タグから放出される光の検出が、新しく合成された核酸の中へ組み込まれたdNTPのアイデンティティーを示すようになっている。発光性タグから放出される光は、本明細書の他の場所で説明されている検出のためのそのようなデバイス及び方法を含む、任意の適切なデバイス及び/又は方法を介して検出され得、その適当な発光性タグに起因することが可能である(及び、したがって、dNTPに関連付けられる)。発光性タグは、任意の位置においてdNTPにコンジュゲートされ得、発光性タグの存在が、新しく合成された核酸鎖の中へのdNTPの組み込み、又は、ポリメラーゼの活動を阻止しないようになっている。いくつかの実施形態では、発光性タグは、dNTPの末端ホスフェート(ガンマ・ホスフェート)にコンジュゲートされている。 According to embodiments, at least about 50%, 60%, 70%, 75%, 80%, 85%, 90%, 95%, 96%, 97%, 98%, 99%, 99.9%, 99. 99%, 99.999%, or 99.9999% accuracy and / or about 10 base pairs (bp), 50 bp, 100 bp, 200 bp, 300 bp, 400 bp, 500 bp, 1000 bp, 10,000 bp, 20,000 bp, Single nucleic acid molecules can be sequenced with high accuracy and long read lengths, such as 30,000 bp, 40,000 bp, 50,000 bp, or more than 100,000 bp read lengths. In some embodiments, the target nucleic acid molecule used in single molecule sequencing is a single-stranded target nucleic acid (eg, deoxyribonucleic acid (DNA), DNA derivative, ribonucleic acid (RNA), RNA derivative) template. Yes, it is added or immobilized in the sample well, and the sample well is immobilized or attached to a solid support such as the bottom of the sample well, a sequencing reaction (eg, DNA). Contains at least one additional component of a polymerase, such as a polymerase, a sequencing primer, etc.). The target nucleic acid molecule or polymerase can be attached to the sample wall, for example, directly at the bottom of the sample well or through a linker. The sample well can also contain any other reagent required for nucleic acid synthesis via the primer extension reaction, such as suitable buffers, cofactors, enzymes (eg, polymerases), etc. And, for example, deoxyadenosine triphosphate (dATP), deoxycytidine triphosphate (dCTP), deoxyguanosine triphosphate (dGTP), deoxyuridine triphosphate (dUTP), and deoxycytidine triphosphate (dTTP), Deoxyribonucleoside polyphosphates and the like, such as deoxyribonucleoside triphosphates, including dNTPs, which include luminescent tags such as fluorophores and the like. Each class of dNTPs (eg, dNTPs containing adenine (eg dATP), dNTPs containing cytosine (eg dCTP), dNTPs containing guanine (eg dGTP), dNTPs containing uracil (eg dUTP)). , And dNTPs containing thymine (eg, dTTP) are conjugated to a separate luminescent tag, and detection of light emitted from the tag is integrated into the newly synthesized nucleic acid. It is designed to show the identity of. The light emitted from the luminescent tag may be detected via any suitable device and / or method, including such devices and methods for detection described elsewhere herein. , It is possible (and therefore associated with dNTP) due to its suitable luminescent tag. The luminescent tag can be conjugated to dNTP at any position so that the presence of the luminescent tag does not block the integration of dNTP into the newly synthesized nucleic acid chain or the activity of the polymerase. .. In some embodiments, the luminescent tag is conjugated to a terminal phosphate (gamma phosphate) of dNTPs.

一本鎖のターゲット核酸鋳型は、シークエンシング・プライマー、dNTP、ポリメラーゼ、及び、核酸合成に必要な他の試薬に接触させ得る。いくつかの実施形態では、すべての適当なdNTPは、一本鎖のターゲット核酸鋳型と同時に接触させ得(たとえば、すべてのdNTPが同時に存在する)、dNTPの組み込みが、連続的に起こり得るようになっている。他の実施形態では、dNTPは、一本鎖のターゲット核酸鋳型に順次接触させ得、その場合には、一本鎖のターゲット核酸鋳型が、それぞれの適当なdNTPに別々に接触し、一本鎖のターゲット核酸鋳型が異なるdNTPと接触する合間に洗浄工程を伴う。一本鎖のターゲット核酸鋳型がそれぞれのdNTPと別々に接触するそのようなサイクルは、洗浄が後に続き、識別されることとなる一本鎖のターゲット核酸鋳型のそれぞれの連続的なベース位置に関して繰り返され得る。 The single-stranded target nucleic acid template can be contacted with sequencing primers, dNTPs, polymerases, and other reagents required for nucleic acid synthesis. In some embodiments, all suitable dNTPs can be contacted simultaneously with a single-stranded target nucleic acid template (eg, all dNTPs are present simultaneously) so that integration of dNTPs can occur continuously. It has become. In other embodiments, the dNTPs may be sequentially contacted with a single-stranded target nucleic acid template, in which case the single-stranded target nucleic acid template is separately contacted with each suitable dNTP and single-stranded. A washing step is involved between the contact of the target nucleic acid template with different dNTPs. Such a cycle in which the single-stranded target nucleic acid template contacts each dNTP separately is repeated for each successive base position of the single-stranded target nucleic acid template to be followed and identified by washing. It can be.

シークエンシング・プライマーは、一本鎖のターゲット核酸鋳型に対してアニーリングし、ポリメラーゼは、一本鎖のターゲット核酸鋳型を介して、dNTP(又は、他のデオキシリボヌクレオシド・ポリリン酸)をプライマーに連続して組み込む。それぞれの組み込まれたdNTPに関連付けられる固有の発光性タグは、プライマーへのdNTPの組み込みの間又は後に、適当な励起光によって励起され得、そのエミッションは、本明細書の他の場所で説明されている検出のためのデバイス及び方法を含む、任意の適切なデバイス及び/又は方法を使用して、その後に検出され得る。光の特定のエミッションの検出は、組み込まれた特定のdNTPに起因することが可能である。次いで、検出された発光性タグの収集から得られる配列が使用され、配列相補性を介して、一本鎖のターゲット核酸鋳型の配列を決定することが可能である。 Sequencing primers are annealed to the single-stranded target nucleic acid template, and the polymerase is continuous with dNTP (or other deoxyribonucleoside polyphosphoric acid) via the single-stranded target nucleic acid template. Incorporate. The unique luminescent tag associated with each integrated dNTP can be excited by the appropriate excitation light during or after incorporation of the dNTP into the primer, the emissions of which are described elsewhere herein. It can be subsequently detected using any suitable device and / or method, including devices and methods for detection. The detection of a particular emission of light can be attributed to a particular dNTP incorporated. Sequences obtained from the collection of detected luminescent tags can then be used to sequence single-stranded target nucleic acid templates via sequence complementarity.

本開示はdNTPを参照しているが、本明細書で提供されるデバイス、システム、及び方法は、リボヌクレオチド及びデオキシヌクレオチド(たとえば、少なくとも4、5、6、7、8、9、又は10のホスフェート基を備えるデオキシリボヌクレオシド・ポリリン酸)などのような、さまざまなタイプのヌクレオチドとともに使用され得る。そのようなリボヌクレオチド及びデオキシヌクレオチドは、さまざまなタイプのタグ(又は、マーカ)及びリンカーを含むことが可能である。 Although this disclosure refers to dNTPs, the devices, systems, and methods provided herein include ribonucleotides and deoxynucleotides (eg, at least 4, 5, 6, 7, 8, 9, or 10). It can be used with various types of nucleotides, such as deoxyribonucleoside polyphosphate) with a phosphate group. Such ribonucleotides and deoxynucleotides can include various types of tags (or markers) and linkers.

ヌクレオシドの組み込みのときに放出される信号は、メモリーの中に保存され、より後の時点で処理され、ターゲット核酸鋳型の配列を決定することが可能である。これは、信号を参照信号と比較し、組み込まれるヌクレオシドのアイデンティティーを時間の関数として決定することを含むことが可能である。代替的に又は加えて、ヌクレオシドの組み込みのときに放出される信号は、リアルタイムで(すなわち、ヌクレオシド組み込みのときに)収集及び処理され、ターゲット核酸鋳型の配列をリアルタイムで決定することが可能である。 The signal emitted during nucleoside integration can be stored in memory and processed at a later point in time to sequence the target nucleic acid template. This can include comparing the signal to the reference signal and determining the identity of the incorporated nucleoside as a function of time. Alternatively or in addition, the signals emitted during nucleoside integration can be collected and processed in real time (ie, during nucleoside integration) to sequence the target nucleic acid template in real time. ..

複数の一本鎖のターゲット核酸鋳型の核酸シークエンシングは、本明細書の他の場所で説明されているデバイスのケースと同様に、複数のサンプル・ウェルが利用可能である場合に完了され得る。それぞれのサンプル・ウェルは、一本鎖のターゲット核酸鋳型を提供され得、シークエンシング反応が、それぞれのサンプル・ウェルの中で完了され得る。サンプル・ウェルのそれぞれは、プライマー伸長反応の間の核酸合成に必要な適当な試薬(たとえば、dNTP、シークエンシング・プライマー、ポリメラーゼ、補因子、適当なバッファーなど)に接触させ得、シークエンシング反応が、それぞれのサンプル・ウェルの中で進行することが可能である。いくつかの実施形態では、複数のサンプル・ウェルは、すべての適当なdNTPに同時に接触させる。他の実施形態では、複数のサンプル・ウェルは、それぞれの適当なdNTPに別々に接触し、それぞれが異なるdNTPとの接触の合間に洗浄される。組み込まれたdNTPは、それぞれのサンプル・ウェルの中で検出され得、配列が、上記に説明されているように、それぞれのサンプル・ウェルの中の一本鎖のターゲット核酸に関して決定され得る。 Nucleic acid sequencing of multiple single-stranded target nucleic acid templates can be completed if multiple sample wells are available, as in the case of the devices described elsewhere herein. Each sample well may be provided with a single-stranded target nucleic acid template and the sequencing reaction may be completed within each sample well. Each of the sample wells can be contacted with the appropriate reagents required for nucleic acid synthesis during the primer extension reaction (eg, dNTPs, sequencing primers, polymerases, cofactors, suitable buffers, etc.) and the sequencing reaction can be performed. , It is possible to proceed within each sample well. In some embodiments, the plurality of sample wells are contacted with all suitable dNTPs simultaneously. In another embodiment, the plurality of sample wells are separately contacted with each suitable dNTP and washed between contacts with different dNTPs. The integrated dNTPs can be detected in each sample well and the sequence can be determined for the single-stranded target nucleic acid in each sample well as described above.

単一分子核酸シークエンシングに関する実施形態は、ターゲット核酸分子に相補的な核酸を合成することができる任意のポリメラーゼを使用することが可能である。ポリメラーゼの例はこれらに限定されないが、DNAポリメラーゼ、RNAポリメラーゼ、耐熱性ポリメラーゼ、ワイルド・タイプ・ポリメラーゼ、変性ポリメラーゼ、大腸菌DNAポリメラーゼI、T7DNAポリメラーゼ、バクテリオファージT4 DNAポリメラーゼφ29(プサイ29)DNAポリメラーゼ、Taqポリメラーゼ、Tthポリメラーゼ、Tliポリメラーゼ、Pfuポリメラーゼ、Pwoポリメラーゼ、VENTポリメラーゼ、DEEPVENTポリメラーゼ、EX-Taqポリメラーゼ、LA-Taqポリメラーゼ、Ssoポリメラーゼ、Pocポリメラーゼ、Pabポリメラーゼ、Mthポリメラーゼ、ES4ポリメラーゼ、Truポリメラーゼ、Tacポリメラーゼ、Tneポリメラーゼ、Tmaポリメラーゼ、Tcaポリメラーゼ、Tihポリメラーゼ、Tfiポリメラーゼ、プラチナTaqポリメラーゼ、Tbrポリメラーゼ、Tflポリメラーゼ、Tthポリメラーゼ、Pfutuboポリメラーゼ、Pyrobestポリメラーゼ、Pwoポリメラーゼ、KODポリメラーゼ、Bstポリメラーゼ、Sacポリメラーゼ、3’から5’のエキソヌクレアーゼ活性を備えるKlenowフラグメント・ポリメラーゼ、及び変異体、改変生成物、及びそれらの誘導体を含む。いくつかの実施形態では、ポリメラーゼは、単一のサブユニット・ポリメラーゼである。いくつかの実施形態では、ポリメラーゼは、高い進行性を備えるポリメラーゼである。ポリメラーゼ進行性は、一般的に、核酸鋳型を解放することなく核酸鋳型の中へdNTPを連続して組み込む、ポリメラーゼの能力を参照している。ターゲット核酸の核酸塩基と相補的なdNTPとの間の塩基対合のときに、ポリメラーゼは、新しく合成された鎖の3’ヒドロキシル端部とdNTPのアルファ・ホスフェートとの間にリン酸ジエステル結合を形成することによって、新しく合成された核酸鎖の中へdNTPを組み込む。dNTPにコンジュゲートされた発光性タグがフルオロフォアである例では、その存在が、励起によって信号で伝えられ、エミッションのパルスが、組み込みの工程の間又は後に検出される。dNTPの末端(ガンマ)ホスフェートにコンジュゲートされた検出標識に関して、新しく合成された鎖の中へのdNTPの組み込みは、ベータ・ホスフェート及びガンマ・ホスフェートの解放を結果として生じさせ、検出標識は、サンプル・ウェルの中に自由に拡散することができ、フルオロフォアから検出されるエミッションの減少を結果として生じさせる。 Embodiments relating to single molecule nucleic acid sequencing can use any polymerase capable of synthesizing nucleic acids complementary to the target nucleic acid molecule. Examples of polymerases are not limited to these, but are limited to DNA polymerases, RNA polymerases, heat resistant polymerases, wild type polymerases, denatured polymerases, Escherichia coli DNA polymerases I, T7 DNA polymerases, Bacterophage T4 DNA polymerase φ29 (Pusai 29) DNA polymerases, Taq Polymerase, Tth Polymerase, Tli Polymerase, Pfu Polymerase, Pwo Polymerase, VENT Polymerase, DEEPVENT Polymerase, EX-Taq Polymerase, LA-Taq Polymerase, Sso Polymerase, Poc Polymerase, Pab Polymerase, Mth Polymerase, ES4 Polymerase, Tru Polymerase, Tac Polymerase, Tne Polymerase, Tma Polymerase, Tca Polymerase, Tih Polymerase, Tfi Polymerase, Platinum Taq Polymerase, Tbr Polymerase, Tfl Polymerase, Tth Polymerase, Pfutubo Polymerase, Pyrobest Polymerase, Pwo Polymerase, KOD Polymerase, Bst Polymerase, Sac Polymerase, 3' Includes Klenow fragment polymerases with exonuclease activity from to 5', and variants, modified products, and derivatives thereof. In some embodiments, the polymerase is a single subunit polymerase. In some embodiments, the polymerase is a highly progressive polymerase. Polymerase progression generally refers to the ability of polymerases to continuously integrate dNTPs into a nucleic acid template without releasing the nucleic acid template. During base pairing between the nucleobase of the target nucleic acid and the complementary dNTP, the polymerase creates a phosphodiester bond between the 3'hydroxyl end of the newly synthesized strand and the alpha phosphate of dNTP. By forming, dNTPs are incorporated into the newly synthesized nucleic acid chain. In the example where the luminescent tag conjugated to dNTP is a fluorophore, its presence is signaled by excitation and a pulse of emission is detected during or after the integration process. With respect to the detection label conjugated to the terminal (gamma) phosphate of dNTP, the incorporation of dNTP into the newly synthesized chain results in the release of beta phosphate and gamma phosphate, the detection label is the sample. -Can diffuse freely into the well, resulting in a reduction in emissions detected in the fluorophore.

単一分子RNAシークエンシングに関する実施形態は、RNA鋳型から相補的なDNA(cDNA)を合成することができる任意の逆転写酵素を使用することが可能である。そのような実施形態では、cDNAが、RNA鋳型にアニーリングされる逆転写プライマーへのdNTPの組み込みを介して、RNA鋳型から合成され得るという点において、逆転写酵素は、ポリメラーゼと同様の様式で機能することが可能である。次いで、cDNAは、シークエンシング反応に関与することが可能であり、その配列は、上記に説明されているように決定される。次いで、決定されたcDNAの配列は、配列相補性を介して使用され、元のRNA鋳型の配列を決定することが可能である。逆転写酵素の例は、モロニー・マウス白血病ウイルス逆転写酵素(M-MLV)、ニワトリ骨髄芽球症ウイルス(AMV)逆転写酵素、ヒト免疫不全ウイルス逆転写酵素(HIV-1)、及びテロメラーゼ逆転写酵素を含む。 Embodiments relating to single molecule RNA sequencing can use any reverse transcriptase capable of synthesizing complementary DNA (cDNA) from an RNA template. In such embodiments, the reverse transcriptase functions in a manner similar to that of a polymerase in that the cDNA can be synthesized from the RNA template via integration of dNTP into the reverse transcription primer annealed to the RNA template. It is possible to do. The cDNA can then be involved in the sequencing reaction and its sequence is determined as described above. The determined cDNA sequence is then used via sequence complementarity and it is possible to sequence the original RNA template. Examples of reverse transcriptase are Moloney Mouse Leukemia Virus Reverse Transcriptase (M-MLV), Chicken Myeluloblastosis Virus (AMV) Reverse Transcriptase, Human Immunodeficiency Virus Reverse Transcriptase (HIV-1), and Telomerase Reversal. Includes transcriptase.

単一分子検出及び/又は核酸シークエンシングを実施するための簡単でより複雑でない装置に対する必要性を認識したので、発明者らは、タグのセットを使用して、単一分子を検出し、異なる分子に標識するための技法を想到した。そのような単一分子は、タグを有するヌクレオチド又はアミノ酸であることが可能である。タグは、単一分子に結合される間に、単一分子からの解放の際に、又は、単一分子に結合されかつ単一分子からの解放の際に、検出され得る。いくつかの例では、タグは、発光性タグである。選択されたセットの中のそれぞれの発光性タグは、それぞれの分子に関連付けられている。たとえば、4つのタグのセットが、DNAの中に存在する核酸塩基を「標識」するために使用され得、セットのそれぞれのタグは、異なる核酸塩基に関連付けられており、たとえば、第1のタグは、アデニン(A)に関連付けられており、第2のタグは、シトシン(C)に関連付けられており、第3のタグは、グアニン(G)に関連付けられており、第4のタグは、チミン(T)に関連付けられている。そのうえ、タグのセットの中の発光性タグのそれぞれは、異なる特性を有しており、それは、セットの第1のタグをセットの中の他のタグから区別するために使用され得る。このように、それぞれのタグは、これらの区別する性質のうちの1つ又は複数を使用して、固有に特定可能である。例として、及び、限定としてではなく、1つのタグを別のタグから区別するために使用され得るタグの性質は、励起に応答してタグによって放出される光のエミッション・エネルギー及び/もしくは波長、ならびに/又は、特定のタグを励起する励起光のエネルギー及び/もしくは波長を含んで、励起状態にタグを配置することが可能である。 Recognizing the need for a simpler and less complex device for performing single molecule detection and / or nucleic acid sequencing, we used a set of tags to detect single molecules and differ. I came up with a technique for labeling molecules. Such a single molecule can be a tagged nucleotide or amino acid. The tag can be detected during release from a single molecule while being attached to a single molecule, or upon release from a single molecule and attached to a single molecule. In some examples, the tag is a luminescent tag. Each luminescent tag in the selected set is associated with each molecule. For example, a set of four tags can be used to "label" a nucleobase present in DNA, and each tag in the set is associated with a different nucleobase, eg, the first tag. Is associated with adenine (A), a second tag is associated with cytosine (C), a third tag is associated with guanine (G), and a fourth tag is associated with guanine (G). It is associated with thymine (T). Moreover, each of the luminescent tags in the set of tags has different properties, which can be used to distinguish the first tag of the set from the other tags in the set. Thus, each tag can be uniquely identified using one or more of these distinguishing properties. The properties of tags that can be used to distinguish one tag from another, as an example and not as a limitation, are the emission energy and / or wavelength of light emitted by the tag in response to excitation. And / or it is possible to place the tag in an excited state, including the energy and / or wavelength of the excitation light that excites a particular tag.

実施形態は、タグ特性の任意の適切な組み合わせを使用し、タグのセットの中の第1のタグを同じセットの中の他のタグから区別することが可能である。たとえば、いくつかの実施形態は、タグからのエミッション光の波長だけを使用し、タグを特定することが可能である。そのような実施形態では、タグの選択されたセットの中のそれぞれのタグが、そのセットの中の他のタグとは異なるピーク・エミッション波長を有しており、発光性タグは、すべて、単一の励起供給源からの光によって励起される。図1-1は、実施形態による4つの発光性タグからのエミッション・スペクトルを図示しており、4つのタグが、異なるエミッション波長においてそれらのそれぞれの強度ピークを示しており、それは、本明細書で、タグの「ピーク・エミッション波長」と称される。第1の発光性タグからの第1のエミッション・スペクトル1-101は、λ1においてピーク・エミッション波長を有しており、第2の発光性タグからの第2のエミッション・スペクトル1-102は、λ2においてピーク・エミッション波長を有しており、第3の発光性タグからの第3のエミッション・スペクトル1-103は、λ3においてピーク・エミッション波長を有しており、第4の発光性タグからの第4のエミッション・スペクトル1-104は、λ4においてピーク・エミッション波長を有している。この実施形態では、4つの発光性タグのエミッション・ピークは、関係式λ1<λ2<λ3<λ4を満たす任意の適切な値を有することが可能である。4つのエミッション・スペクトルは、重なり合ってもよいし、又は、重なり合わなくてもよい。しかし、2つ以上のタグのエミッション・スペクトルが重なり合う場合には、1つのタグが、それぞれのピーク波長において、任意の他のタグよりも実質的に多い光を放出するように、発光性タグ・セットを選択することが望ましい。この実施形態では、4つのタグのそれぞれが励起供給源からの光を最大限に吸収する励起波長は、実質的に同じであるが、そうである必要はない。上記のタグ・セットを使用して、4つの異なる分子が、タグ・セットからのそれぞれのタグによって標識化され得、タグは、単一の励起供給源を使用して励起され得、タグは、光学的なシステム及びセンサーを使用してタグのエミッション波長を検出することによって、互いから区別され得る。図1-1は、4つの異なるタグを図示しているが、任意の適切な数のタグが使用され得るということが認識されるべきである。 Embodiments can use any suitable combination of tag properties to distinguish the first tag in a set of tags from other tags in the same set. For example, in some embodiments, it is possible to identify the tag using only the wavelength of the emission light from the tag. In such an embodiment, each tag in the selected set of tags has a different peak emission wavelength than the other tags in the set, and the luminescent tags are all simple. Excited by light from one excitation source. FIG. 1-1 illustrates emission spectra from four luminescent tags according to embodiments, where the four tags show their respective intensity peaks at different emission wavelengths, which are described herein. It is called the "peak emission wavelength" of the tag. The first emission spectrum 1-101 from the first luminescent tag has a peak emission wavelength at λ1 and the second emission spectrum 1-102 from the second luminescent tag has a second emission spectrum 1-102. The third emission spectrum 1-103 from the third luminescent tag has a peak emission wavelength at λ2 and has a peak emission wavelength at λ3 and is from the fourth luminescent tag. The fourth emission spectrum 1-104 of No. 1 has a peak emission wavelength at λ4. In this embodiment, the emission peaks of the four luminescent tags can have any suitable value that satisfies the relational expression λ1 <λ2 <λ3 <λ4. The four emission spectra may or may not overlap. However, if the emission spectra of two or more tags overlap, one tag emits substantially more light at each peak wavelength than any other tag. It is desirable to select a set. In this embodiment, the excitation wavelengths at which each of the four tags absorbs the light from the excitation source to the maximum are substantially the same, but not necessarily. Using the above tag set, four different molecules can be labeled with each tag from the tag set, the tag can be excited using a single excitation source, and the tag can be They can be distinguished from each other by detecting the emission wavelengths of the tags using optical systems and sensors. Although FIG. 1-1 illustrates four different tags, it should be recognized that any suitable number of tags can be used.

他の実施形態は、タグからのエミッション光の波長、及び、タグが励起光を吸収する波長の両方を使用し、タグを特定することが可能である。そのような実施形態では、選択されたタグのセットの中のそれぞれのタグは、そのセットの中の他のタグとは、エミッション波長及び励起波長の異なる組み合わせを有している。したがって、選択されたタグ・セットの中のいくつかのタグは、同じエミッション波長を有することが可能であるが、異なる波長の光によって励起され得る。逆に、選択されたタグ・セットの中のいくつかのタグは、同じ励起波長を有することが可能であるが、異なる波長において光を放出することが可能である。図1-2Aは、実施形態による4つの発光性タグからのエミッション・スペクトルを図示しており、タグのうちの2つが、第1のピーク・エミッション波長を有しており、他の2つのタグが、第2のピーク・エミッション波長を有している。第1の発光性タグからの第1のエミッション・スペクトル1-105は、λ1においてピーク・エミッション波長を有しており、第2の発光性タグからの第2のエミッション・スペクトル1-106も、λ1においてピーク・エミッション波長を有しており、第3の発光性タグからの第3のエミッション・スペクトル1-107は、λ2においてピーク・エミッション波長を有しており、第4の発光性タグからの第4のエミッション・スペクトル1-108も、λ2においてピーク・エミッション波長を有している。この実施形態では、4つの発光性タグのエミッション・ピークが、関係式λ1<λ2を満たす任意の適切な値を有することが可能である。図1-2Bは、4つの発光性タグからの吸収スペクトルを図示しており、タグのうちの2つが、第1のピーク吸収波長を有しており、他の2つのタグが、第2のピーク吸収波長を有している。第1の発光性タグに関する第1の吸収スペクトル1-109は、λ3においてピーク吸収波長を有しており、第2の発光性タグに関する第2の吸収スペクトル1-110は、λ4においてピーク吸収波長を有しており、第3の発光性タグに関する第3の吸収スペクトル1-111は、λ3においてピーク吸収波長を有しており、第4の発光性タグに関する第4の吸収スペクトル1-112は、λ4においてピーク吸収波長を有している。図1-2Aにおいてエミッション・ピーク波長を共有するタグは、図1-2Bでは吸収ピーク波長を共有していないということに留意されたい。そのようなタグ・セットを使用することは、4つの色素に関して2つのエミッション波長しか存在しないときでも、4つのタグの間を区別することを可能にする。これは、異なる波長で放出する2つの励起供給源、又は、複数の波長で放出することができる単一の励起供給源を使用することによって可能となる。励起光の波長が、それぞれの検出されるエミッション・イベントに関して知られている場合には、どのタグが存在していたかということが決定され得る。励起供給源は、第1の励起波長と第2の励起波長を繰り返すことが可能であり、それは、インターリービングと称される。代替的に、第1の励起波長の2つ以上のパルスが使用され、その後に、第2の励起波長の2つ以上のパルスが続くことが可能である。 In other embodiments, it is possible to identify the tag using both the wavelength of the emission light from the tag and the wavelength at which the tag absorbs the excitation light. In such an embodiment, each tag in the selected set of tags has a different combination of emission wavelengths and excitation wavelengths from the other tags in the set. Thus, some tags in the selected tag set can have the same emission wavelength, but can be excited by light of different wavelengths. Conversely, some tags in the selected tag set can have the same excitation wavelength, but can emit light at different wavelengths. FIG. 1-2A illustrates emission spectra from four luminescent tags according to embodiments, two of which have a first peak emission wavelength and the other two tags. Has a second peak emission wavelength. The first emission spectrum 1-105 from the first luminescent tag has a peak emission wavelength at λ1 and the second emission spectrum 1-106 from the second luminescent tag also has a peak emission wavelength. The third emission spectrum 1-107 from the third luminescent tag has a peak emission wavelength at λ1 and has a peak emission wavelength at λ2 and is from the fourth luminescent tag. The fourth emission spectrum 1-108 of the above also has a peak emission wavelength at λ2. In this embodiment, the emission peaks of the four luminescent tags can have any suitable value that satisfies the relational expression λ1 <λ2. FIG. 1-2B illustrates the absorption spectra from the four luminescent tags, two of which have a first peak absorption wavelength and the other two tags have a second. It has a peak absorption wavelength. The first absorption spectrum 1-109 for the first light emitting tag has a peak absorption wavelength at λ3, and the second absorption spectrum 1-110 for the second light emitting tag has a peak absorption wavelength at λ4. The third absorption spectrum 1-111 with respect to the third light emitting tag has a peak absorption wavelength at λ3, and the fourth absorption spectrum 1-112 with respect to the fourth light emitting tag has. , Λ4 has a peak absorption wavelength. It should be noted that the tags that share the emission peak wavelength in FIG. 1-2A do not share the absorption peak wavelength in FIG. 1-2B. Using such a tag set makes it possible to distinguish between the four tags, even when there are only two emission wavelengths for the four dyes. This is possible by using two excitation sources that emit at different wavelengths, or a single excitation source that can emit at multiple wavelengths. If the wavelength of the excitation light is known for each detected emission event, it can be determined which tag was present. The excitation source can repeat the first and second excitation wavelengths, which is referred to as interleaving. Alternatively, it is possible that two or more pulses of the first excitation wavelength are used, followed by two or more pulses of the second excitation wavelength.

図には図示されていないが、他の実施形態は、吸収周波数だけに基づいて、発光性タグのアイデンティティーを決定することが可能である。励起光が、タグ・セットの中のタグの吸収スペクトルにマッチする特定の波長にチューニングされ得る場合に、そのような実施形態が可能である。そのような実施形態では、それぞれのタグから放出される光を方向付け及び検出するために使用される光学的なシステム及びセンサーは、放出される光の波長を検出することができる必要はない。これは、いくつかの実施形態では、有利である可能性がある。その理由は、そのような実施形態では、エミッション波長を検出することは要求されないので、それが、光学的なシステム及びセンサーの複雑さを低減させるからである。 Although not shown in the figure, other embodiments are capable of determining the identity of the luminescent tag based solely on the absorption frequency. Such an embodiment is possible if the excitation light can be tuned to a particular wavelength that matches the absorption spectrum of the tag in the tag set. In such embodiments, the optical systems and sensors used to direct and detect the light emitted from each tag need not be able to detect the wavelength of the emitted light. This may be advantageous in some embodiments. The reason is that such embodiments do not require detection of emission wavelengths, which reduces the complexity of optical systems and sensors.

上記に議論されているように、本発明者は、タグのさまざまな特性を使用して異なる、光学的な(たとえば発光性の)タグを互いから区別することができることの必要性を認識及び理解した。タグのアイデンティティーを決定するために使用される特性のタイプは、この分析を行うために使用される物理的なデバイスに影響を与える。本出願は、これらの異なる実験を行うための装置、デバイス、機器、及び方法のいくつかの実施形態を開示している。 As discussed above, the inventor recognizes and understands the need to be able to distinguish different, optically (eg, luminescent) tags from each other using different properties of the tag. did. The type of property used to determine the identity of the tag influences the physical device used to perform this analysis. The present application discloses several embodiments of devices, devices, devices, and methods for performing these different experiments.

手短に言えば、本発明者は、比較的に多数のピクセル(たとえば、数百、数千、数百万、又は、それ以上)を備えるピクセル化されたセンサー・デバイスは、並行して複数の個々の分子又は粒子の検出を可能にするということを認識及び理解した。そのような単一分子は、タグを有するヌクレオチド又はアミノ酸であることが可能である。タグは、単一分子に結合される間に、単一分子からの解放の際に、又は、単一分子に結合されかつ単一分子からの解放の際に、検出され得る。いくつかの例では、タグは、発光性タグである。分子は、例として、及び、限定としてではなく、タンパク質及び/又は核酸(たとえば、DNA、RNA)であることが可能である。そのうえ、毎秒百を超えるフレームでデータを獲得することができる高速デバイスは、分析されているサンプルの中に時間をかけて起こる動的なプロセス又は変化の検出及び分析を可能にする。 In short, we have identified multiple pixelated sensor devices in parallel with a relatively large number of pixels (eg, hundreds, thousands, millions, or more). Recognized and understood that it enables the detection of individual molecules or particles. Such a single molecule can be a tagged nucleotide or amino acid. The tag can be detected during release from a single molecule while being attached to a single molecule, or upon release from a single molecule and attached to a single molecule. In some examples, the tag is a luminescent tag. Molecules can be, by way of example, and without limitation, proteins and / or nucleic acids (eg, DNA, RNA). What's more, high-speed devices capable of acquiring data at over 100 frames per second enable detection and analysis of dynamic processes or changes that occur over time in the sample being analyzed.

本発明者は、低コストの単回使用の使い捨てのアッセイ・チップが、励起光供給源、オプティクス、及び光センサーを含む機器に関連して使用され、生物学的なサンプルから放出される光信号(たとえば、発光性の光)を測定することが可能であるということを認識及び理解した。低コストのアッセイ・チップを使用することは、所与のバイオアッセイを実施するコストを低減させる。生物学的なサンプルは、アッセイ・チップの上に設置され、単一のバイオアッセイが完了すると廃棄され得る。いくつかの実施形態では、アッセイ・チップの異なる部分の上に複数のサンプルを同時に設置することによって、2つ以上のタイプのサンプルが、並行して同時に分析され得る。アッセイ・チップは、より高価なマルチ・ユースの機器にインターフェース接続し、より高価なマルチ・ユースの機器は、多くの異なる使い捨てのアッセイ・チップとともに繰り返して使用され得る。コンパクトなポータブル機器にインターフェース接続する低コストのアッセイ・チップは、サンプルを分析するために実験室専門知識を必要とする高コストの生物学的な実験室の制約なしに、世界のどこででも使用され得る。したがって、以前には生物学的なサンプルの定量的な分析を行うことができなかった世界の領域に、自動化された生物学的分析を持っていくことが可能である。たとえば、使い捨てのアッセイ・チップの上に血液サンプルを設置することによって、分析のための小さいポータブル機器の中へ使い捨てのアッセイ・チップを設置することによって、及び、ユーザによる即座の再検討のために機器に接続するコンピュータによって結果を処理することによって、幼児のための血液テストが行われ得る。また、データは、分析されるためにデータ・ネットワークを通じて遠隔の場所に送信され、及び/又は、その後の臨床分析のためにアーカイブされ得る。代替的に、機器は、機器のセンサーから得られるデータを分析するための1つ又は複数のプロセッサーを含むことが可能である。 We use a low-cost, single-use, single-use assay chip in connection with equipment including excitation light sources, optics, and optical sensors to emit optical signals from biological samples. Recognized and understood that it is possible to measure (eg, luminescent light). Using a low cost assay chip reduces the cost of performing a given bioassay. The biological sample is placed on the assay chip and can be discarded once a single bioassay is complete. In some embodiments, two or more types of samples can be analyzed simultaneously in parallel by placing multiple samples simultaneously on different parts of the assay chip. The assay chip interfaces with more expensive multi-use equipment, and the more expensive multi-use equipment can be used repeatedly with many different disposable assay chips. Low-cost assay chips that interface to compact portable devices can be used anywhere in the world without the constraints of high-cost biological laboratories that require laboratory expertise to analyze samples. obtain. Therefore, it is possible to bring automated biological analysis to areas of the world where previously quantitative analysis of biological samples was not possible. For example, by placing a blood sample on a disposable assay chip, by placing the disposable assay chip in a small portable device for analysis, and for immediate review by the user. Blood tests for young children can be performed by processing the results by a computer connected to the device. Data can also be transmitted to remote locations through the data network for analysis and / or archived for subsequent clinical analysis. Alternatively, the device can include one or more processors for analyzing the data obtained from the device's sensors.

さまざまな実施形態が、より詳細に下記に説明されている。
II. いくつかの実施形態による装置の概観
装置2-100の図式的概観が、図2-1に図示されている。システムは、アッセイ・チップ2-110及び機器2-120の両方からなり、機器2-120は、励起供給源2-121及び少なくとも1つのセンサー2-122からなる。アッセイ・チップ2-110は、任意の適切なアッセイ・チップ・インターフェースを使用して、機器2-120にインターフェース接続している。たとえば、機器2-120のアッセイ・チップ・インターフェースは、アッセイ・チップ2-110を受け入れるために、ならびに、励起供給源2-110及び少なくとも1つのセンサー2-122と正確な光学的アライメントの状態にアッセイ・チップ2-110を保持するために、ソケット(図示せず)を含むことが可能である。機器2-120の中の外部励起供給源2-121は、アッセイ・チップ2-110のサンプル・ウェル2-111の中のサンプルを励起する目的のために、励起エネルギーをアッセイ・チップ2-110に提供するように構成されている。いくつかの実施形態では、アッセイ・チップ2-110は、複数のピクセルを有しており、それぞれのピクセルのサンプル・ウェル2-111は、他のピクセルから独立した分析において使用されるサンプルを受け入れるように構成されている。アッセイ・チップ2-110のそれぞれのピクセルは、分析されている試料からのサンプルを受け入れ、保持し、及び分析するためのサンプル・ウェル2-211からなる。そのようなピクセルは、ピクセルから離れた励起供給源からピクセルが励起エネルギーを受け取るので、「パッシブ・ソース・ピクセル」と称され得る。いくつかの実施形態では、アッセイ・チップ2-110の上に存在するそれぞれのピクセルに対応する、機器2-120の中のピクセルが存在している。機器2-120のそれぞれのピクセルは、サンプルが励起供給源2-121からの励起エネルギーによって照射されていることに応答して、サンプルによって放出されるエミッション・エネルギーを検出するための少なくとも1つのセンサーからなる。いくつかの実施形態では、それぞれのセンサーは、複数のサブ・センサーを含むことが可能であり、それぞれのサブ・センサーは、サンプルからのエミッション・エネルギーの異なる波長を検出するように構成されている。2つ以上のサブ・センサーが、特定の波長のエミッション・エネルギーを検出することが可能であるが、それぞれのサブ・センサーは、エミッション・エネルギーの異なる波長帯域を検出することが可能である。
Various embodiments are described in more detail below.
II. Overview of the Device by Some Embodiments A schematic overview of the device 2-100 is illustrated in FIG. 2-1. The system consists of both assay chip 2-110 and instrument 2-120, which consists of an excitation source 2-121 and at least one sensor 2-122. Assay chip 2-110 is interfaced to instrument 2-120 using any suitable assay chip interface. For example, the assay chip interface of instrument 2-120 to accept assay chip 2-110 and to a state of accurate optical alignment with the excitation source 2-110 and at least one sensor 2-122. It is possible to include a socket (not shown) to hold the assay chip 2-110. The external excitation source 2-121 in instrument 2-120 provides excitation energy to assay chip 2-110 for the purpose of exciting the sample in sample well 2-111 of assay chip 2-110. It is configured to provide to. In some embodiments, assay chip 2-110 has multiple pixels, with sample well 2-111 for each pixel accepting samples used in analysis independent of other pixels. It is configured as follows. Each pixel of assay chip 2-110 consists of a sample well 2-211 for receiving, holding, and analyzing a sample from the sample being analyzed. Such pixels can be referred to as "passive source pixels" because the pixel receives the excitation energy from an excitation source that is distant from the pixel. In some embodiments, there are pixels in instrument 2-120 that correspond to each pixel present on assay chip 2-110. Each pixel of instrument 2-120 is at least one sensor for detecting the emission energy emitted by the sample in response to the sample being illuminated by the excitation energy from the excitation source 2-121. Consists of. In some embodiments, each sensor can include multiple sub-sensors, each sub-sensor being configured to detect different wavelengths of emission energy from the sample. .. Two or more sub-sensors are capable of detecting emission energies of a particular wavelength, but each sub-sensor is capable of detecting different wavelength bands of emission energy.

いくつかの実施形態では、励起供給源2-121からの励起エネルギーをサンプル・ウェル2-111にガイド及びカップリングするための光学エレメントが、図2-1の中の矢印2-101によって表されているように、アッセイ・チップ2-110及び機器2-120の両方の上に位置付けされている。そのような供給源・トゥー・ウェル・エレメントは、励起エネルギーをアッセイ・チップ2-110にカップリングするために機器2-120の上に位置付けされている、ミラー、レンズ、誘電体コーティング、及びビーム・コンバイナーを含むことが可能であり、また、機器2-120から受け取られる励起エネルギーをサンプル・ウェル2-111に方向付けするためにアッセイ・チップ1-110の上に、レンズ、プラズモニック・エレメント、及び誘電体コーティングを含むことが可能である。追加的に、いくつかの実施形態では、サンプル・ウェル2-111からのエミッション・エネルギーをセンサー2-122にガイドするための光学エレメントが、図2-1の中の矢印2-102によって表されているように、アッセイ・チップ2-110及び機器2-120の上に位置付けされている。そのようなウェル・トゥー・サンプル・エレメントは、アッセイ・チップ2-110からのエミッション・エネルギーを機器2-120に方向付けするためにアッセイ・チップ2-110の上に位置付けされている、レンズ、プラズモニック・エレメント、及び誘電体コーティングを含むことが可能であり、また、アッセイ・チップ2-110から受け取られるエミッション・エネルギーをセンサー2-111に方向付けするために機器1-120の上に、レンズ、ミラー、誘電体コーティング、フィルター、及び回折光学素子を含むことが可能である。いくつかの実施形態では、単一のコンポーネントは、励起エネルギーをサンプル・ウェルにカップリングする際、及び、サンプル・ウェルからのエミッション・エネルギーをセンサーに送達する際の両方において、役割を果たすことが可能である。 In some embodiments, an optical element for guiding and coupling the excitation energy from the excitation source 2-121 to the sample well 2-111 is represented by arrow 2-101 in FIG. 2-1. As such, it is positioned on both the assay chip 2-110 and the instrument 2-120. Such a source-to-well element is positioned on the instrument 2-120 to couple the excitation energy to the assay chip 2-110, mirrors, lenses, dielectric coatings, and beams. It is possible to include a combiner and a lens, plasmonic element on the assay chip 1-110 to direct the excitation energy received from instrument 2-120 to the sample well 2-111. , And dielectric coatings can be included. Additionally, in some embodiments, an optical element for guiding the emission energy from sample well 2-111 to sensor 2-122 is represented by arrow 2-102 in FIG. 2-1. As such, it is located on the assay chip 2-110 and instrument 2-120. Such a well-to-sample element is positioned on the assay chip 2-110 to direct the emission energy from the assay chip 2-110 to the assay chip 2-110, the lens. It is possible to include a plasmonic element, and a dielectric coating, and on equipment 1-120 to direct the emission energy received from assay chip 2-110 to sensor 2-111, It is possible to include lenses, mirrors, dielectric coatings, filters, and diffractive optics. In some embodiments, a single component can play a role both in coupling the excitation energy to the sample well and in delivering the emission energy from the sample well to the sensor. It is possible.

いくつかの実施形態では、アッセイ・チップ2-110は、複数のピクセルからなり、それぞれのピクセルは、それ自身の個々のサンプル・ウェル2-111、及び、機器2-120の上のそれ自身の関連のセンサー2-122に関連付けられている。複数のピクセルが、アレイで配置され得、任意の適切な数のピクセルを有することが可能である。たとえば、アッセイ・チップは、おおよそ1,000個のピクセル、10,000個のピクセル、おおよそ100,000個のピクセル、おおよそ1,000,000個のピクセル、おおよそ10,000,000個のピクセル、又は、おおよそ100,000,000個のピクセルを含むことが可能である。 In some embodiments, the assay chip 2-110 consists of multiple pixels, each pixel being its own individual sample well 2-111, and its own on instrument 2-120. It is associated with the associated sensor 2-122. Multiple pixels can be arranged in an array and can have any suitable number of pixels. For example, an assay chip has approximately 1,000 pixels, 10,000 pixels, approximately 100,000 pixels, approximately 1,000,000 pixels, approximately 1,000,000 pixels, Alternatively, it can contain approximately 100,000,000 pixels.

いくつかの実施形態では、機器2-120は、複数のセンサー2-122からなるセンサー・チップを含み、複数のセンサー2-122は、複数のピクセルとして配置されている。センサー・チップのそれぞれのピクセルは、アッセイ・チップ2-110の中のピクセルに対応している。複数のピクセルは、アレイで配置され得、任意の適切な数のピクセルを有することが可能である。いくつかの実施形態では、センサー・チップは、アッセイ・チップ2-110と同じ数のピクセルを有している。たとえば、センサー・チップは、おおよそ10,000個のピクセル、おおよそ100,000個のピクセル、おおよそ1,000,000個のピクセル、おおよそ10,000,000個のピクセル、又は、おおよそ100,000,000個のピクセルを含むことが可能である。 In some embodiments, the device 2-120 comprises a sensor chip consisting of a plurality of sensors 2-122, the plurality of sensors 2-122 being arranged as a plurality of pixels. Each pixel of the sensor chip corresponds to a pixel in assay chip 2-110. Multiple pixels can be arranged in an array and can have any suitable number of pixels. In some embodiments, the sensor chip has the same number of pixels as assay chip 2-110. For example, a sensor chip may have approximately 10,000 pixels, approximately 100,000 pixels, approximately 1,000,000 pixels, approximately 10,000,000 pixels, or approximately 100,000 pixels. It is possible to include 000 pixels.

機器2-120は、アッセイ・チップ・インターフェース(図示せず)を通してアッセイ・チップ2-110にインターフェース接続している。アッセイ・チップ・インターフェースは、アッセイ・チップ2-110を機器2-120に対して位置決め及び/又は整合させるためのコンポーネントを含み、励起供給源2-121からの励起エネルギーをアッセイ・チップ2-110にカップリングすることを改善することが可能である。いくつかの実施形態では、励起供給源2-121は、励起エネルギーをアッセイ・チップ2-110に送達するために組み合わせられる複数の励起供給源を含む。複数の励起供給源は、複数の励起エネルギーを作り出すように構成され得、それは、異なる波長の光に対応している。 Instrument 2-120 is interfaced to assay chip 2-110 through an assay chip interface (not shown). The assay chip interface includes components for positioning and / or aligning assay chip 2-110 with respect to instrument 2-120, and assay chip 2-110 the excitation energy from excitation source 2-121. It is possible to improve the coupling to. In some embodiments, the excitation source 2-121 comprises a plurality of excitation sources combined to deliver the excitation energy to the assay chip 2-110. Multiple excitation sources can be configured to produce multiple excitation energies, which correspond to light of different wavelengths.

機器2-120は、機器の動作を制御するためのユーザ・インターフェース2-125を含む。ユーザ・インターフェース2-125は、機器の機能を制御するために使用されるコマンド及び/又はセッティングなどのような情報を、ユーザが機器の中へ入力することを可能にするように構成されている。いくつかの実施形態では、ユーザ・インターフェース2-125は、ボタン、スイッチ、ダイアル、及び、ボイス・コマンドのためのマイクロホンを含むことが可能である。追加的に、ユーザ・インターフェース2-125は、適正なアライメント、及び/又は、センサー・チップの上のセンサーからの読み出し信号によって得られる情報などのような、機器及び/又はアッセイ・チップの性能についてのフィードバックを、ユーザが受け取ることを可能にすることができる。いくつかの実施形態では、ユーザ・インターフェース2-125は、可聴フィードバックを提供するためにスピーカを使用して、ならびに/又は、視覚的フィードバックを提供するためにインジケーター光及び/もしくは表示スクリーンを使用して、フィードバックを提供することが可能である。いくつかの実施形態では、機器2-120は、コンピューティング・デバイス2-130と接続するために使用されるコンピュータ・インターフェース2-124を含む。任意の適切なコンピュータ・インターフェース2-124及びコンピューティング・デバイス2-130が使用され得る。たとえば、コンピュータ・インターフェース2-124は、USBインターフェース又はfirewire(商標)インターフェースであることが可能である。コンピューティング・デバイス2-130は、ラップトップ・コンピュータ、デスクトップ・コンピュータ、もしくはタブレット・コンピュータなどのような、任意の汎用コンピュータ、又は、携帯電話などのようなモバイル・デバイスであることが可能である。コンピュータ・インターフェース2-124は、機器2-120とコンピューティング・デバイス2-130との間の情報の通信を促進させる。機器2-120を制御及び/又は設定するための入力情報は、機器のコンピュータ・インターフェース2-124に接続されているコンピューティング・デバイス2-130を通して提供され得る。追加的に、出力情報は、コンピュータ・インターフェース2-124を通してコンピューティング・デバイス2-130によって受け取られ得る。そのような出力情報は、機器2-120の性能についてのフィードバック、及び、センサー2-122の読み出し信号からの情報を含むことが可能である。また、機器2-120は、センサー2-122から受け取られるデータを分析するためのプロセッシング・デバイス2-123を含むことが可能である。いくつかの実施形態では、プロセッシング・デバイス2-123は、汎用プロセッサー(たとえば、中央処理装置(CPU)、フィールド・プログラマブル・ゲート・アレイ(FPGA)、又は、特定用途向け集積回路(ASIC)などのようなカスタム集積回路)であることが可能である。いくつかの実施形態では、センサー2-122からのデータを処理することは、プロセッシング・デバイス2-123及び外部コンピューティング・デバイス2-130の両方によって行われ得る。他の実施形態では、コンピューティング・デバイス2-130は省略され得、また、センサー2-122からのデータを処理することは、プロセッシング・デバイス2-123だけによって行われ得る。 Device 2-120 includes a user interface 2-125 for controlling the operation of the device. User interface 2-125 is configured to allow the user to enter information such as commands and / or settings used to control the functionality of the device into the device. .. In some embodiments, the user interface 2-125 can include a microphone for buttons, switches, dials, and voice commands. In addition, user interface 2-125 is about proper alignment and / or performance of the instrument and / or assay chip, such as information obtained by a read signal from the sensor on the sensor chip. Feedback can be made available to the user. In some embodiments, the user interface 2-125 uses a speaker to provide audible feedback and / or an indicator light and / or display screen to provide visual feedback. It is possible to provide feedback. In some embodiments, the device 2-120 comprises a computer interface 2-124 used to connect to the computing device 2-130. Any suitable computer interface 2-124 and computing device 2-130 may be used. For example, computer interface 2-124 can be a USB interface or a firewire ™ interface. The computing device 2-130 can be any general purpose computer such as a laptop computer, desktop computer, or tablet computer, or a mobile device such as a mobile phone. .. Computer interface 2-124 facilitates the communication of information between device 2-120 and computing device 2-130. Input information for controlling and / or configuring device 2-120 may be provided through computing device 2-130 connected to device computer interface 2-124. Additionally, the output information may be received by computing device 2-130 through computer interface 2-124. Such output information can include feedback on the performance of device 2-120 and information from the read signal of sensor 2-122. Equipment 2-120 can also include processing devices 2-123 for analyzing data received from sensors 2-122. In some embodiments, the processing device 2-123 may be a general purpose processor (eg, a central processing unit (CPU), a field programmable gate array (FPGA), or an application specific integrated circuit (ASIC)). It is possible to have a custom integrated circuit such as). In some embodiments, processing the data from sensor 2-122 can be done by both processing device 2-123 and external computing device 2-130. In other embodiments, computing device 2-130 may be omitted, and processing data from sensors 2-122 may be performed solely by processing device 2-123.

励起供給源2-121が、励起エネルギーによってアッセイ・チップ2-110を照射すると、アッセイ・チップ2-110の1つ又は複数のピクセルの中のサンプルが励起され得る。いくつかの実施形態では、試料は、複数のマーカによって標識されており、複数のマーカは、それぞれ、試料の中の異なるサンプルに関連付けられており、エミッション・エネルギーによって特定可能である。サンプル・ウェル2-111からセンサー2-122への経路は、エミッション・エネルギーに基づいて複数のマーカを特定することを支援する1つ又は複数のコンポーネントを含むことが可能である。コンポーネントは、センサー2-122に向けてエミッション・エネルギーの焦点を合わせることが可能であり、追加的に又は代替的に、異なる特性エネルギー、及び、したがって異なる波長を有するエミッション・エネルギーを空間的に分離することが可能である。いくつかの実施形態では、アッセイ・チップ2-110は、エミッション・エネルギーをセンサー2-122に向けて方向付けするコンポーネントを含むことが可能であり、機器2-120は、異なる波長のエミッション・エネルギーを空間的に分離するためのコンポーネントを含むことが可能である。たとえば、光学フィルター又は回折光学素子は、エミッション・エネルギーの波長を空間的な自由度にカップリングするために使用され得る。センサー又はセンサー領域は、複数のサブ・センサーを含有することが可能であり、複数のサブ・センサーは、放射線パターンに依存するエミッション・エネルギーの空間的な分布を検出するように構成されている。異なるエミッション・エネルギー及び/又はスペクトル範囲を放出する発光性タグは、異なる放射線パターンを形成することが可能である。センサー又はセンサー領域は、複数のマーカの間のマーカを特定するために使用され得るエミッション・エネルギーの空間的な分布についての情報を検出することが可能である。 When the excitation source 2-121 irradiates the assay chip 2-110 with excitation energy, the sample in one or more pixels of the assay chip 2-110 can be excited. In some embodiments, the sample is labeled with a plurality of markers, each associated with a different sample within the sample and identifiable by emission energy. The path from sample well 2-111 to sensor 2-122 can include one or more components that help identify multiple markers based on emission energy. The component is capable of focusing emission energies towards sensor 2-122 and, additionally or alternatively, spatially separates emission energies with different characteristic energies and thus different wavelengths. It is possible to do. In some embodiments, the assay chip 2-110 can include a component that directs the emission energy towards the sensor 2-122, and the device 2-120 has a different wavelength of emission energy. It is possible to include a component for spatially separating the. For example, optical filters or diffractive optics can be used to couple the wavelengths of emission energy to spatial degrees of freedom. The sensor or sensor area can contain a plurality of sub-sensors, the plurality of sub-sensors being configured to detect the spatial distribution of emission energy depending on the radiation pattern. Luminescent tags that emit different emission energies and / or spectral ranges can form different radiation patterns. The sensor or sensor area can detect information about the spatial distribution of emission energy that can be used to identify markers among multiple markers.

サンプル・ウェル2-110の中のサンプルからのエミッション・エネルギーは、センサー2-122によって検出され、少なくとも1つの電気信号に変換され得る。電気信号は、機器2-120の回路の中の導電性ラインに沿って送信され、プロセッシング・デバイス2-123及び/又はコンピューティング・デバイス2-130によって処理及び/又は分析され得る。 Emission energy from the sample in sample well 2-110 can be detected by sensors 2-122 and converted into at least one electrical signal. The electrical signal is transmitted along a conductive line in the circuit of equipment 2-120 and can be processed and / or analyzed by processing device 2-123 and / or computing device 2-130.

図2-2は、アッセイ・チップ2-110の上面図及びセンサー・チップ2-260の上面図であり、2つのチップのピクセルの間の対応を図示している。アッセイ・チップ2-110は、複数のピクセルからなり、それぞれのピクセルは、導電性材料2-221の中に形成されたサンプル・ウェル2-111を含む。また、センサー・チップ2-260も、複数のピクセルからなり、それぞれのピクセルは、基板2-247の中又は上に形成されたセンサー2-121を含む。図2-2の中の矢印は、アッセイ・チップ2-110のピクセルのうちの2つと、センサー・チップ2-260のピクセルのうちの2つとの間の対応を図示している。明確化のために図示されていないが、アッセイ・チップ2-110のそれぞれのピクセルは、センサー・チップ2-260のピクセルに関連付けられている。 FIG. 2-2 is a top view of the assay chip 2-110 and a top view of the sensor chip 2-260, illustrating the correspondence between the pixels of the two chips. Assay chip 2-110 consists of multiple pixels, each pixel containing sample well 2-111 formed in conductive material 2-221. The sensor chip 2-260 is also composed of a plurality of pixels, each pixel including a sensor 2-121 formed in or on the substrate 2-247. The arrows in FIG. 2-2 illustrate the correspondence between two of the pixels of assay chip 2-110 and two of the pixels of sensor chip 2-260. Although not shown for clarity, each pixel of assay chip 2-110 is associated with a pixel of sensor chip 2-260.

アッセイ・チップ2-110の単一のピクセル及びセンサー・チップ2-260の単一のピクセルに関連付けられているいくつかのコンポーネントの概観が、図2-3に図示されている。装置2-100は、アッセイ・チップ2-110及び機器2-120の両方からなる。いくつかの実施形態では、アッセイ・チップ2-110は、単一の試料の分析のために設計された使い捨てのチップである。アッセイ・チップ2-110は、1つ又は複数の金属層2-221、1つ又は複数の誘電体層2-225、及び集束エレメント2-227を含む。いくつかの実施形態では、金属層2-221は、層のスタックを含み、そのうちのいくつかは、吸収層を含むことが可能である。機器2-120は、1つ又は複数の励起供給源2-250、少なくとも1つのポリクロイック・ミラー2-230、及びセンサー・チップ2-260を含み、センサー・チップ2-260は、フィルタリング・エレメント2-241、スペクトル・ソーティング・エレメント2-243、集束エレメント2-245、及び、基板2-247の中又は上の少なくとも1つのセンサー2-122を含むことが可能である。図2-3は、アッセイ・チップ2-110の単一のピクセルだけ、及びセンサー・チップ2-260の単一のピクセルだけを図示しているが、励起供給源2-250、ポリクロイック・ミラー2-230、及びフィルタリング・エレメント2-241などのような、機器2-120のいくつかのコンポーネントは、複数のピクセルに共通であることが可能である。たとえば、いくつかの実施形態では、単一の励起供給源2-250及びポリクロイック・ミラー2-230が、アッセイ・チップ2-110のすべてのピクセルに励起エネルギーを方向付けすることが可能である。 An overview of several components associated with a single pixel on assay chip 2-110 and a single pixel on sensor chip 2-260 is illustrated in Figure 2-3. Instrument 2-100 consists of both assay chip 2-110 and instrument 2-120. In some embodiments, assay chip 2-110 is a disposable chip designed for analysis of a single sample. Assay chip 2-110 comprises one or more metal layers 2-221, one or more dielectric layers 2-225, and focusing element 2-227. In some embodiments, the metal layer 2-221 comprises a stack of layers, some of which may include an absorbent layer. Instrument 2-120 includes one or more excitation sources 2-250, at least one polychromic mirror 2-230, and sensor chip 2-260, where sensor chip 2-260 contains filtering element 2. It is possible to include -241, a spectral sorting element 2-243, a focusing element 2-245, and at least one sensor 2-122 in or on substrate 2-247. FIG. 2-3 illustrates only a single pixel on assay chip 2-110 and only a single pixel on sensor chip 2-260, but with excitation source 2-250, polychromic mirror 2. Some components of equipment 2-120, such as -230, and filtering elements 2-241, can be common to multiple pixels. For example, in some embodiments, a single excitation source 2-250 and polychromic mirror 2-230 are capable of directing excitation energy to all pixels of assay chip 2-110.

いくつかの実施形態では、試料は、血液、尿、又は唾液などのような体液を含むことが可能である。金属層2-221の中のサンプル・ウェル2-211は、試料からのサンプルが進入するためのサンプル体積を形成する。サンプル・ウェル2-211の端部における開口部は、ナノアパーチャと称され得る。ナノアパーチャは、励起供給源2-250によって放出される励起エネルギー2-251の波長よりも小さい幅を有することが可能である。サンプルと称される試料の一部分が、サンプル・ウェル2-211によって画定されるサンプル体積に進入することが可能である。サンプルは、任意の粒子、分子、タンパク質、遺伝物質、又は、試料の中に存在する任意の他のサンプルであることが可能である。 In some embodiments, the sample can include body fluids such as blood, urine, or saliva. The sample wells 2-211, in the metal layer 2-221, form a sample volume for the sample from the sample to enter. The opening at the end of sample well 2-211 may be referred to as a nanoaperture. The nanoaperture can have a width smaller than the wavelength of the excitation energy 2-251 emitted by the excitation source 2-250. A portion of a sample, referred to as a sample, can enter the sample volume defined by sample wells 2-211. The sample can be any particle, molecule, protein, genetic material, or any other sample present in the sample.

励起供給源2-250は、励起エネルギー2-251を放出し、励起エネルギー2-251は、サンプル・ウェル2-211に向けて方向付けされ、サンプルを照射する。いくつかの実施形態では、励起供給源2-251は、アッセイ・チップ2-110のすべてのピクセルのために励起エネルギーを提供する単一の光供給源であることが可能である。ポリクロイック・ミラー2-230は、励起供給源2-250からの光を反射し、アッセイ・チップ2-110の1つ又は複数のサンプル・ウェル2-211に向けて励起エネルギー2-251を方向付けする。したがって、いくつかの実施形態では、それぞれのピクセルがそれ自身のポリクロイック・ミラーに関連付けられているというよりも、むしろ、すべてのサンプル・ウェルに向けて励起エネルギーを方向付けする単一のポリクロイック・ミラー2-230だけが存在することが可能である。同様に、励起エネルギーをサンプル・ウェル2-211に向けて方向付けするために使用される他の光学エレメント同士の間に、一対多数の関係が存在することが可能である。 The excitation source 2-250 emits an excitation energy 2-251, which is directed towards the sample well 2221 and irradiates the sample. In some embodiments, the excitation source 2-251 can be a single light source that provides excitation energy for all pixels of assay chip 2-110. The polychromic mirror 2-230 reflects light from the excitation source 2-250 and directs the excitation energy 2-251 towards one or more sample wells 2-211 of assay chip 2-110. do. Therefore, in some embodiments, a single polychromic mirror that directs the excitation energy towards all sample wells, rather than having each pixel associated with its own polychromic mirror. Only 2-230 can be present. Similarly, there can be a one-to-many relationship between other optical elements used to direct the excitation energy towards the sample wells 2-211.

同心円状の円形のグレーチング2-223は、サンプル・ウェル2-211の底部ナノアパーチャに隣接して形成され得る。同心円状の円形のグレーチング2-223は、金属層2-221の底部表面から突出することが可能である。サンプル・ウェル2-211は、円形のグレーチング2-223の中心に、又は、円形のグレーチング2-223の中心の近くに位置付けされ得る。サンプル・ウェル2-211のナノアパーチャのサブ波長スケール及び同心円状の円形のグレーチング2-223の両方が、場の増強効果を生成させ、場の増強効果が、サンプル・ウェル2-211の中の励起エネルギーの強度を増加させ、サンプル・ウェル2-211の中に存在するサンプルへの励起エネルギーのカップリングの増加を結果として生じさせる。少なくとも時々、サンプルは、励起エネルギーから光子を吸収し、そして、励起エネルギー2-251のものよりも小さいエネルギーを伴う光子(「エミッション・エネルギー」2-253と称される)を放出する。エミッション・エネルギー2-253は、下向き方向に放出され得る。円形のグレーチング2-223は、プラズモニック・エレメントとしての役割を果たし、プラズモニック・エレメントは、エミッション・エネルギー2-253の広がりを減少させるために、及び、関連のセンサーに向けてエミッション・エネルギー2-253を方向付けするために使用され得る。 Concentric circular gratings 2-223 can be formed adjacent to the bottom nanoaperture of sample wells 2-211. The concentric circular gratings 2-223 can project from the bottom surface of the metal layer 2-221. The sample well 2-211 may be located at the center of the circular grating 2-223 or near the center of the circular grating 2-223. Both the sub-wavelength scale of the nanoaperture of sample well 2-211 and the concentric circular gratings 2-223 generate a field-enhancing effect, and the field-enhancing effect is in sample well 2-211. It increases the intensity of the excitation energy and results in an increase in the coupling of the excitation energy to the sample present in the sample wells 2-2111. At least from time to time, the sample absorbs photons from the excitation energy and emits photons with less energy than that of the excitation energy 2-251 (referred to as "emission energy" 2-253). Emission energy 2-253 can be emitted downwards. The circular grating 2-223 serves as a plasmonic element, which is used to reduce the spread of emission energies 2-253 and towards the associated sensor emission energies 2 -Can be used to direct 253.

エミッション・エネルギー2-253は、誘電体層2-225を通って進み、誘電体層2-225は、エミッション・エネルギー2-253がいくらかの距離を伝播することを可能にするために使用されるスペーサー層であることが可能である。また、誘電体層2-225は、構造的強度をアッセイ・チップ2-110に提供することが可能である。次いで、エミッション・エネルギー2-253は、1つ又は複数の集束エレメント2-227を通って進み、1つ又は複数の集束エレメント2-227は、機器2-120の中のセンサー・チップ2-2260の関連のピクセルの中のセンサー2-122にエミッション・エネルギー2-253をさらに方向付けするために使用される。 Emission energy 2-253 travels through the dielectric layer 2-225, and the dielectric layer 2-225 is used to allow the emission energy 2-253 to propagate some distance. It can be a spacer layer. The dielectric layer 2-225 is also capable of providing structural strength to assay chip 2-110. Emission energy 2-253 then proceeds through one or more focusing elements 2-227, where one or more focusing elements 2-227 are sensor chips 2-2260 in equipment 2-120. Used to further direct emissions energy 2-253 to sensors 2-122 in the relevant pixels of.

次いで、ポリクロイック・ミラー2-230は、エミッション・エネルギー2-253を透過し、アッセイ・チップ2-110から反射された任意の励起エネルギー2-251の一部分を反射する。アッセイ・チップ2-110によって反射されない励起光の一部分は、アッセイ・チップを通して透過させられるか、又は、アッセイ・チップによって吸収されるかのいずれかである。アッセイ・チップ2-110によって反射され、ポリクロイック・ミラー2-230によって反射されない励起エネルギー2-251の量をさらに低減させるために、フィルタリング・エレメント2-241が、センサー・チップ2-260に向かう光学的な経路の中に配設され得る。フィルタリング・エレメント2-241は、例として、及び、限定としてではなく、ブロードバンド・フィルター、ノッチ・フィルター、又はエッジ・フィルターを含むことが可能であり、それは、エミッション・エネルギー2-253を透過するが、励起エネルギー2-251を吸収及び/又は反射する。 The polychromic mirror 2-230 then passes through the emission energy 2-253 and reflects a portion of any excitation energy 2-251 reflected from the assay chip 2-110. A portion of the excitation light that is not reflected by the assay chip 2-110 is either transmitted through the assay chip or absorbed by the assay chip. To further reduce the amount of excitation energy 2-251 reflected by assay chip 2-110 and not reflected by polychromic mirror 2-230, filtering element 2-241 optics towards sensor chip 2-260. Can be arranged in a specific path. Filtering elements 2-241 can include, by way of example, and without limitation, broadband filters, notch filters, or edge filters, which transmit emission energy 2-253. Absorbs and / or reflects excitation energy 2-251.

実施形態では、エミッション・エネルギー2-253のスペクトルの特質を使用して、サンプル・ウェル2-211の中のマーカのアイデンティティーを決定することを促進させるために、スペクトル・ソーティング・エレメント2-243が、センサー・チップ2-260の上に含まれ、エミッション・エネルギー2-253のスペクトル自由度を、エミッション・エネルギー2-253が進んでいる方向にカップリングすることが可能である。たとえば、回折光学素子が使用され、第1の波長のエミッション・エネルギー2-253を第1の方向に方向付けし、第2の波長のエミッション・エネルギー2-253を第2の方向に方向付けすることが可能である。1つ又は複数の集束エレメント2-245が使用され、スペクトル的にソーティングされた光をセンサー2-122の上に方向付けすることが可能である。センサー2-122は、1つ又は複数のサブ・センサー(図示せず)を含むことが可能であり、そのそれぞれは、スペクトル・ソーティング・エレメント2-243による異なる波長の光のリダイレクション(redirection)に基づいて、エミッション・エネルギー2-253の異なる波長に関連付けられる。 In embodiments, spectral sorting elements 2-243 are used to facilitate the determination of the identity of the markers in sample wells 2-211, using the spectral properties of emission energy 2-253. Is included on the sensor chip 2-260 and is capable of coupling the spectral degrees of freedom of emission energy 2-253 in the direction in which emission energy 2-253 is advancing. For example, diffractive optics are used to direct the emission energy 2-253 of the first wavelength in the first direction and the emission energy 2-253 of the second wavelength in the second direction. It is possible. One or more focusing elements 2-245 may be used to direct spectrally sorted light onto sensors 2-122. Sensors 2-122 can include one or more sub-sensors (not shown), each for redirection of light of different wavelengths by spectral sorting elements 2-243. Based on, it is associated with different wavelengths of emission energy 2-253.

図2-3の上記説明は、いくつかの実施形態による装置のコンポーネントのいくつか(必ずしもすべてではない)の概観である。いくつかの実施形態では、図2-3の1つ又は複数のエレメントは、なくてもよく、又は、異なる場所にあってもよい。アッセイ・チップ2-210及び機器2-220のコンポーネントは、より詳細に下記に説明されている。 The above description of FIG. 2-3 is an overview of some (but not all) of the components of the device according to some embodiments. In some embodiments, the one or more elements of FIG. 2-3 may be absent or may be in different locations. The components of Assay Chip 2-210 and Instrument 2-220 are described in more detail below.

アッセイ・チップ2-110及び機器2-120は、機械的に整合され、取り外し可能に連結され、互いから分離可能であり得る。機器2-120は、機器ハウジングを含むことが可能であり、機器ハウジングの内側には、マウンティング・ボード2-405が配設されている。図2-4は、機器2-120のマウンティング・ボード2-405の上に含まれ得るコンポーネントのうちの少なくともいくつかを図示している。マウンティング・ボード2-405は、プリント回路基板を含むことが可能であり、マウンティング・ボード2-405は、センサー・チップ2-260(図2-4では見ることができない)、ヒートシンク2-407、及び、それに装着されている光学ハウジング2-401を有することが可能である。機器2-120のさまざまな光学的なコンポーネントが、光学ハウジング2-401の中に配設され得る。いくつかの実施形態では、機器ハウジング及びマウンティング・ボードは、任意の適切なサイズであることが可能である。たとえば、マウンティング・ボードは、17.78~20.32cm(7~8”)の直径を有する実質的に円形であることが可能である。 Assay chips 2-110 and instrument 2-120 may be mechanically matched, detachably coupled, and separable from each other. The device 2-120 can include the device housing, and the mounting board 2-405 is disposed inside the device housing. FIG. 2-4 illustrates at least some of the components that may be included on the mounting board 2-405 of equipment 2-120. The mounting board 2-405 can include a printed circuit board, the mounting board 2-405 is a sensor chip 2-260 (not visible in Figure 2-4), heat sink 2-407, And it is possible to have an optical housing 2-401 mounted on it. Various optical components of equipment 2-120 may be disposed within the optical housing 2-401. In some embodiments, the equipment housing and mounting board can be of any suitable size. For example, the mounting board can be substantially circular with a diameter of 17.78 to 20.32 cm (7 to 8 ").

アッセイ・チップ2-110は、光学ハウジング2-401と連結し、光学ハウジング2-401の中の光学的なコンポーネントとのアライメントを確実にする。チップ・ホルダー・フレーム3-102は、光学ハウジング2-401の開口部に整合されられ得る。好ましくは、アッセイ・チップ2-110は、機器2-120に取り外し可能に連結され得る。たとえば、磁気シリンダーなどのような、任意の適切な形状の磁気コンポーネント2-403aから2-403bが、光学ハウジング3-401の開口部の周りに設置され得、光学ハウジング3-401の開口部を通って、励起エネルギーが、光学ハウジング2-401から出ていく。追加的に、磁気コンポーネント2-403aから2-403cがキャリブレートされ得、チップ・ホルダー・フレーム3-102が開口部とアライメント状態に保持されるようになっている。チップ・ホルダー・フレームは、アライメント・シリンダーを使用して、ミクロン・レベルの精度で位置決めされ得る。いくつかの実施形態では、3つの磁気シリンダー2-403aから2-403bが、チップ・ホルダー・フレーム・アライメントを生成させるために使用される。しかし、実施形態はそのように限定されず、任意の適切な数の磁気的な、スプリング・ロード式の、空気圧式の、又は、他のそのようなコンポーネントが、整合された構成で適切な場所にチップを保持するために使用され得る。たとえば、チップ・ホルダー・フレーム3-102は、スプリング、空気圧力、又は、真空からの吸引などのような、非磁性エレメントによって、適正な場所に保持され得る。随意的に、チップ・ホルダー・フレーム3-102は、光学的なブロックとアライメント状態でチップを位置決めするのに適切な任意の堅い材料を使用して構築され得る。 Assay chip 2-110 is coupled to optical housing 2-401 to ensure alignment with the optical components within optical housing 2-401. The chip holder frame 3-102 may be aligned with the opening of the optical housing 2-401. Preferably, the assay chip 2-110 can be detachably coupled to instrument 2-120. Any suitablely shaped magnetic component 2-403a-2-403b, such as a magnetic cylinder, may be installed around the opening of the optical housing 3-401 to open the opening of the optical housing 3-401. Through, the excitation energy exits the optical housing 2-401. Additionally, the magnetic components 2-403a to 2-403c can be calibrated to keep the chip holder frame 3-102 aligned with the opening. The chip holder frame can be positioned with micron level accuracy using an alignment cylinder. In some embodiments, three magnetic cylinders 2-403a to 2-403b are used to generate chip holder frame alignment. However, embodiments are not so limited and any suitable number of magnetic, spring-loaded, pneumatic, or other such components are in the right place in a matched configuration. Can be used to hold chips in. For example, the chip holder frame 3-102 may be held in place by a non-magnetic element such as a spring, pneumatic pressure, or suction from vacuum. Optionally, the chip holder frame 3-102 can be constructed using any rigid material suitable for positioning the chip in alignment with the optical block.

本出願のいくつかの態様によれば、チップがシステムに接続されるときに、サンプル・ウェルとセンサーとの間の距離が、小さく維持され得る。いくつかの実施形態では、サンプル・ウェルとセンサーとの間の光学的な距離は、30cm未満、10cm未満、15cm未満、又は1cm未満であることが可能である。 According to some aspects of the application, the distance between the sample well and the sensor can be kept small when the chip is connected to the system. In some embodiments, the optical distance between the sample well and the sensor can be less than 30 cm, less than 10 cm, less than 15 cm, or less than 1 cm.

III. アッセイ・チップ
いくつかの実施形態では、アッセイ・チップ2-110は、任意のアクティブ電子的なコンポーネントを含んでいない。それぞれのピクセルに関する励起供給源2-250及びセンサー2-122の両方が、機器2-120の中にチップ外に位置付けされている。
III. Assay Chip In some embodiments, the assay chip 2-110 does not include any active electronic component. Both the excitation source 2-250 and the sensor 2-122 for each pixel are located off-chip inside instrument 2-120.

いくつかの実施形態では、アッセイ・チップ2-110は、図3-1Aに図示されているように、チップ・ホルダー・フレーム3-102の中に収容され得る。チップ・ホルダー・フレーム3-102は、使い捨てのものであることが可能であり、単回使用の後に、アッセイ・チップ2-110とともに処分され得る。アッセイ・チップ2-110は、図3-1Bに図示されているように、チップ・ホルダー・フレーム3-102裏側に位置することが可能である。チップ・ホルダー・フレーム3-102は、スチールなどのような任意の適切な強磁性の金属からなることが可能であり、光学ハウジング2-401に固定された磁気コンポーネント2-403aから2-403bが、チップ・ホルダー・フレーム3-102を、ひいては、アッセイ・チップ2-110を、適切な場所に保持するようになっている。いくつかの実施形態では、チップ・ホルダー・フレーム3-102は、図2-4に図示されているように、光学ハウジング2-401の上部表面に取り付けられ得る。 In some embodiments, the assay chip 2-110 may be housed within a chip holder frame 3-102, as illustrated in FIG. 3-1A. The chip holder frame 3-102 can be disposable and can be disposed of with the assay chip 2-110 after a single use. Assay chips 2-110 can be located behind the chip holder frame 3-102, as illustrated in FIG. 3-1B. The chip holder frame 3-102 can be made of any suitable ferromagnetic metal such as steel, with magnetic components 2-403a to 2-403b secured to the optical housing 2-401. , Chip holder frame 3-102 and thus assay chip 2-110 are designed to be held in place. In some embodiments, the chip holder frame 3-102 may be attached to the upper surface of the optical housing 2-401, as illustrated in FIG. 2-4.

図3-1Cに図示されている他の実施形態では、アッセイ・チップは、チップ・ホルダー・フレーム3-102の上部表面に取り付けられ得る。プラスチック・キャップ3-103が、アッセイ・チップ2-110を取り囲んでおり、アッセイ・チップ2-110のピクセル・アレイが、プラスチック・キャップ3-103の中の開口部を介して露出されるようになっている。アッセイ・チップ2-110のユーザは、プラスチック・キャップ3-103の開口部の中へ試料を置くことが可能である。アッセイ・チップ2-110の上部表面に接触していることによって、試料の中のサンプルは、分析のために、アッセイ・チップ2-110の複数のピクセルのうちの1つ又は複数に導入され得る。いくつかの実施形態では、強制流体流動を介してサンプルの一部分をピクセルへ送達するための流体チャネル又はデバイスは必要でない。 In another embodiment illustrated in FIG. 3-1C, the assay chip may be attached to the top surface of the chip holder frame 3-102. A plastic cap 3-103 surrounds the assay chip 2-110 so that the pixel array of the assay chip 2-110 is exposed through an opening in the plastic cap 3-103. It has become. The user of Assay Chip 2-110 can place the sample in the opening of the plastic cap 3-103. By contacting the top surface of Assay Chip 2-110, the sample in the sample can be introduced into one or more of the multiple pixels of Assay Chip 2-110 for analysis. .. In some embodiments, no fluid channel or device is required to deliver a portion of the sample to the pixel via forced fluid flow.

A. サンプル・ウェル層
図2-3に図示されているように、及び、図3-2においてより詳細に図示されているように、いくつかの実施形態は、アッセイ・チップ2-110の1つ又は複数のピクセルに形成されたサンプル・ウェル2-211を含む。サンプル・ウェルは、金属層2-221の中に形成された小さい体積又は領域からなることが可能であり、小さい体積又は領域は、サンプルが、アッセイ・チップ2-110の表面の上に堆積された試料から、サンプル・ウェルの中へ、及び、サンプル・ウェルの外へ拡散し得るように、配置されている。さまざまな実施形態では、サンプル・ウェル2-211は、励起供給源2-250からの励起エネルギーを受け取るように配置され得る。サンプル・ウェルの中へ拡散するサンプルは、一時的に又は永久的に、付着物質3-211によってサンプル・ウェルの励起領域3-215の中に保持され得る。励起領域において、サンプルは、励起エネルギー(たとえば、励起光3-245)によって励起され得、その後にエネルギーを放出することが可能であり、エネルギーは、観察及び評価され、サンプルを特徴付けることが可能である。
A. Sample Well Layer As shown in FIG. 2-3 and in more detail in FIG. 3-2, some embodiments are one of assay chips 2-110 or Includes sample wells 2-211, formed on multiple pixels. The sample well can consist of a small volume or region formed within the metal layer 2-221, where the sample is deposited on the surface of assay chip 2-110. It is arranged so that it can diffuse from the sample well into the sample well and out of the sample well. In various embodiments, the sample wells 2-211 may be arranged to receive excitation energy from the excitation source 2-250. The sample that diffuses into the sample well can be temporarily or permanently retained in the excited region 3-215 of the sample well by the adherent 3-2111. In the excited region, the sample can be excited by excitation energy (eg, excitation light 3-245) and then can release energy, which can be observed and evaluated to characterize the sample. be.

動作のさらなる詳細では、分析されることとなる少なくとも1つのサンプル3-101が、たとえば、サンプルの流体懸濁を含有する試料(図示せず)から、サンプル・ウェル2-211の中へ導入され得る。装置2-120の励起供給源2-250からの励起エネルギー3-245は、サンプル又は少なくとも1つのタグ(生物学的なマーカ、レポータ、又はプローブとも称される)を励起することが可能であり、少なくとも1つのタグは、サンプルに取り付けられており、又は、そうでなければ、それがサンプル・ウェルの中の励起領域3-215の中にある間に、サンプルに関連付けられている。いくつかの実施形態によれば、タグは、発光性分子(たとえば、発光性タグ又はプローブ)又は量子ドットであることが可能である。いくつかの実施形態では、サンプルを分析するために使用される2つ以上のタグが存在することが可能である(たとえば、ジェイ.エイド(J.Eid)らによる「単一のポリメラーゼ分子からのリアルタイムDNAシークエンシング(Real-Time DNA Sequencing from Single Polymerase Molecules)」、Science 323、p.133(2009)(それは本願明細書に援用される)に説明されているような、単一分子遺伝子シークエンシングのために使用される別個のタグ)。励起の間に及び/又は励起の後に、サンプル又はタグは、エミッション・エネルギーを放出することが可能である。複数のタグが使用されるときには、それらは、異なる特性エネルギーで放出し(したがって異なる波長を有し)、及び/又は、異なる時間特性によって放出することが可能である。サンプル・ウェル2-211からのエミッションは、装置2-120のセンサー2-122へ放射することが可能であり、センサー3-260において、それらは検出され、電気信号へと変換され、電気信号は、サンプルを特徴付けるために使用され得る。 In further detail of operation, at least one sample 3-101 to be analyzed is introduced into sample wells 2-211, for example, from a sample containing a fluid suspension of the sample (not shown). obtain. Excitation energy 3-245 from the excitation source 2-250 of device 2-120 is capable of exciting a sample or at least one tag (also referred to as a biological marker, reporter, or probe). , At least one tag is attached to the sample, or is otherwise associated with the sample while it is in the excitation region 3-215 in the sample well. According to some embodiments, the tag can be a luminescent molecule (eg, a luminescent tag or probe) or a quantum dot. In some embodiments, it is possible that there are more than one tag used to analyze the sample (eg, from a single polymerase molecule by J. Eid et al.). Single-molecule gene sequencing as described in Real-Time DNA Sequencing from Single Polymerase Moleculars, Science 323, p. 133 (2009), which is incorporated herein by reference. Separate tag used for). The sample or tag is capable of releasing emission energy during and / or after excitation. When multiple tags are used, they can emit with different characteristic energies (and thus have different wavelengths) and / or with different temporal characteristics. Emissions from sample wells 2-211 can be radiated to sensors 2-122 of device 2-120, at sensor 3-260 they are detected and converted into electrical signals and the electrical signals are , Can be used to characterize the sample.

いくつかの実施形態によれば、サンプル・ウェル2-211は、図3-2に示されているように、部分的に囲まれた構造体であることが可能である。いくつかの実施形態では、サンプル・ウェル2-211は、材料2-211の少なくとも1つの層の中に形成されたサブミクロン・サイズのホール又は開口部(少なくとも1つの横断方向の寸法Dswによって特徴付けられる)からなる。いくつかの実施形態によれば、サンプル・ウェルの横断方向の寸法は、おおよそ20ナノメートルからおおよそ1ミクロンの間であることが可能であるが、いくつかの実施形態では、より大きいサイズ及びより小さいサイズが使用され得る。サンプル・ウェル2-211の体積は、いくつかの実施形態では、約10-21リットルから約10-15リットルの間であることが可能である。サンプル・ウェルは、導波路として形成され得、導波路は、伝播モードをサポートすることが可能であり、又は、伝播モードをサポートすることが可能ではない。いくつかの実施形態では、サンプル・ウェルは、直径(又は、最大の横断方向の寸法)Dswを備える円筒形状の形状(又は、同様の形状)を有するゼロ・モード導波路(ZMW)として形成され得る。ZMWは、ナノ・スケール・ホールとして単一の金属層の中に形成され得、それは、ホールを通して伝播光学モードをサポートしない。 According to some embodiments, the sample well 2-211 can be a partially enclosed structure, as shown in FIG. 3-2. In some embodiments, the sample wells 2-211 are submicron-sized holes or openings (at least one transverse dimension D sw ) formed in at least one layer of material 2-211. Characterized) consists of. According to some embodiments, the transverse dimensions of the sample wells can be between approximately 20 nanometers and approximately 1 micron, but in some embodiments larger sizes and more. Smaller sizes can be used. The volume of sample well 2-211 , in some embodiments, can be between about 10-21 liters and about 10-15 liters. The sample well can be formed as a waveguide, which can or cannot support a propagation mode. In some embodiments, the sample well is formed as a zero-mode waveguide (ZMW) having a cylindrical shape (or similar shape) with a diameter (or maximum transverse dimension) D sw . Can be done. ZMW can be formed in a single metal layer as nanoscale holes, which do not support propagation optical modes through the holes.

サンプル・ウェル2-211は小さい体積を有しているので、サンプルが、自然環境において見出されるものと同様の濃度で、検査される試料の中に濃縮され得るとしても、それぞれのピクセルにおける単一のサンプル・イベント(たとえば、単一分子イベント)の検出が可能である可能性がある。たとえば、サンプルのマイクロモル濃度は、アッセイ・チップに接触して設置されている試料の中に存在することが可能であるが、ピクセル・レベルにおいて。アッセイ2-110のサンプル・ウェルは、統計的に、サンプルを含まない可能性が高いか、単に約1つだけのサンプルを含むような寸法にされていることによって、単分子の分析を行うことができる。たとえばいくつかの実施形態では、サンプル・ウェルの30~40%が単一のサンプルを含む。しかし、サンプル・ウェルは1つを超えるサンプルを含んでいてもよい。単一分子又は単一のサンプル・イベントが、それぞれのピクセルにおいて分析され得るので、アッセイ・チップは、そうでなければアンサンブル平均測定値の中に気付かれずに終わる可能性のある珍しいイベントを検出することを可能にする。 Due to the small volume of sample wells 2-211, a single in each pixel, even if the sample can be concentrated in the sample to be inspected at a concentration similar to that found in the natural environment. It may be possible to detect sample events (eg, single molecule events). For example, the micromolarity of the sample can be present in the sample placed in contact with the assay chip, but at the pixel level. The sample wells of Assay 2-110 are statistically sized to contain only about one sample, which is likely to be sample-free for single molecule analysis. Can be done. For example, in some embodiments, 30-40% of the sample wells contain a single sample. However, the sample well may contain more than one sample. Since a single molecule or a single sample event can be analyzed at each pixel, the assay chip detects unusual events that would otherwise go unnoticed in the ensemble average measurement. Make it possible.

サンプル・ウェルの横断方向の寸法Dswは、いくつかの実施形態では、約500ナノメートル(nm)から約1ミクロンの間であることが可能であり、いくつかの実施形態では、約250nmから約500nmの間であることが可能であり、いくつかの実施形態では、約100nmから約250nmの間であることが可能であり、さらに、いくつかの実施形態では、約20nmから約100nmの間であることが可能である。いくつかの実施形態によれば、サンプル・ウェルの横断方向の寸法は、おおよそ80nmからおおよそ180nmの間、又は、励起波長又はエミッション波長のおおよそ4分の1から8分の1の間であることが可能である。他の実施形態によると、サンプル・ウェルの横断方向の寸法は、約120nm~約170nmである。いくつかの実施形態では、サンプル・ウェル2-211の深さ又は高さは、約50nmから約500nmの間であることが可能である。いくつかの実施形態では、サンプル・ウェル2-211の深さ又は高さは、約80nmから約200nmの間であることが可能である。 The transverse dimension Dsw of the sample well can be between about 500 nanometers (nm) and about 1 micron in some embodiments and from about 250 nm in some embodiments. It can be between about 500 nm, in some embodiments between about 100 nm and about 250 nm, and in some embodiments between about 20 nm and about 100 nm. It is possible to be. According to some embodiments, the transverse dimension of the sample well is between approximately 80 nm and approximately 180 nm, or approximately one-fourth to one-eighth of the excitation or emission wavelength. Is possible. According to other embodiments, the transverse dimensions of the sample wells are from about 120 nm to about 170 nm. In some embodiments, the depth or height of sample wells 2-211 can be between about 50 nm and about 500 nm. In some embodiments, the depth or height of sample wells 2-211 can be between about 80 nm and about 200 nm.

サブ波長の横断方向の寸法を有するサンプル・ウェル2-211は、少なくとも2つの方式で、アッセイ・チップ2-110のピクセル2-100の動作を改善することが可能である。たとえば、試料の反対側からサンプル・ウェルへ入射する励起エネルギー3-245は、指数関数的に減少するパワーを伴って励起領域3-215の中へカップリングすることが可能であり、サンプル・ウェルを通って試料へは伝播しない。結果として、励起エネルギーは、それが関心のサンプルを励起する励起領域の中で増加され、また、それが背景雑音に寄与するであろう他のサンプルを励起し得た試料の中で低減される。また、ウェルのベースにおいて保持されているサンプルからのエミッションは、好適には、装置2-120上のセンサーに向けて方向付けされる。その理由は、エミッションがサンプル・ウェルを通って上に伝播することができないからである。これらの効果の両方が、ピクセルにおける信号対雑音比を改善することが可能である。本発明者は、ピクセルにおける信号対雑音レベルをさらに引き上げるように改善され得るサンプル・ウェルのいくつかの態様を認識した。これらの態様は、ウェル形状及び構造に関し、また、サンプル・ウェル、及び、サンプル・ウェルから放出されたエネルギーと励起エネルギーをカップリングすることを支援する、隣接する光学的な及びプラズモニック構造体(下記に説明されている)との相対位置に関する。 Sample wells 2-211, which have sub-wavelength transverse dimensions, can improve the behavior of pixel 2-100 on assay chip 2-110 in at least two ways. For example, the excitation energy 3-245 incident on the sample well from the opposite side of the sample can be coupled into the excitation region 3-215 with an exponentially decreasing power, and the sample well. It does not propagate through to the sample. As a result, the excitation energy is increased in the excitation region where it excites the sample of interest and is also reduced in the sample where it could excite other samples that would contribute to background noise. .. Also, emissions from the sample held at the base of the well are preferably oriented towards the sensor on device 2-120. The reason is that emissions cannot propagate upwards through the sample wells. Both of these effects are capable of improving the signal-to-noise ratio at the pixel. The inventor has recognized several aspects of the sample well that can be improved to further increase the signal-to-noise level in the pixel. These embodiments relate to the well shape and structure, and also the sample wells and adjacent optical and plasmonic structures that assist in coupling the energy emitted from the sample wells with the excitation energy. Regarding the relative position with (explained below).

いくつかの実施形態によれば、サンプル・ウェル2-211は、伝播モードをサポートすることがないサブ・カットオフ・ナノアパーチャ(SCN)として形成され得る。たとえば、サンプル・ウェル2-211は、導電層2-221の中の円筒形状のホール又はボアからなることが可能である。サンプル・ウェルの断面は、丸形である必要はなく、いくつかの実施形態では、楕円形、正方形、長方形、又は多角形であることが可能である。励起エネルギー3-245(たとえば、可視又は近赤外の放射線)は、エントランス・アパーチャ3-212を通ってサンプル・ウェルに進入することが可能であり、エントランス・アパーチャ3-212は、図3-2に示されているように、ウェルの第1の端部において、サンプル・ウェル2-211の壁部3-214によって画定され得る。SCNとして形成されるときには、励起エネルギー3-245は、SCNに沿って指数関数的に減衰することが可能である。いくつかの実施形態では、導波路は、サンプルから放出されたエネルギーに関するSCNからなることが可能であるが、励起エネルギーに関するSCNでなくてもよい。たとえば、サンプル・ウェルによって形成されるアパーチャ及び導波路は、励起エネルギーに関する伝播モードをサポートするのに十分に大きいことが可能である。その理由は、それが、放出されるエネルギーよりも短い波長を有することが可能であるからである。より長い波長において、エミッションは、導波路の中の伝播モードに関するカットオフ波長を超えることが可能である。いくつかの実施形態によれば、サンプル・ウェル2-211は、励起エネルギー3-245に関するSCNからなることが可能であり、励起エネルギーの最大強度が、サンプル・ウェル2-211へのエントランスにおいて、サンプル・ウェルの励起領域3-215に局所化されるようになっている(たとえば、図3-2に示されているように、層3-235と層2-221との間の界面の近くに局所化される)。そのような励起エネルギーの局所化は、サンプルからのエミッション・エネルギー密度を増加することが可能であり、励起エネルギーをエントランス・アパーチャ3-212の近傍に閉じ込めることによって、観察されるエミッションを、単一のサンプル(たとえば、単一分子)に限定することが可能である。 According to some embodiments, the sample wells 2-211 can be formed as sub-cutoff nanoapertures (SCNs) that do not support propagation modes. For example, the sample well 2-211 can consist of a cylindrical hole or bore in the conductive layer 2-221. The cross section of the sample well does not have to be round and can be elliptical, square, rectangular, or polygonal in some embodiments. Excitation energy 3-245 (eg, visible or near-infrared radiation) can enter the sample well through entrance aperture 3-212, which is shown in FIG. 3-212. As shown in 2, at the first end of the well, it may be defined by the wall portion 3-214 of the sample well 2-211. When formed as an SCN, the excitation energy 3-245 can be exponentially attenuated along the SCN. In some embodiments, the waveguide can consist of an SCN for the energy emitted from the sample, but it does not have to be an SCN for the excitation energy. For example, the apertures and waveguides formed by the sample wells can be large enough to support propagation modes with respect to excitation energy. The reason is that it can have wavelengths shorter than the emitted energy. At longer wavelengths, emissions can exceed the cutoff wavelength for propagation modes in the waveguide. According to some embodiments, the sample well 2-211 can consist of an SCN with respect to the excitation energy 3-245, where the maximum intensity of the excitation energy is at the entrance to the sample well 2-211. It is designed to be localized to the excited region 3-215 of the sample well (eg, near the interface between layers 3-235 and 2-221, as shown in Figure 3-2). Localized to). Such localization of excitation energy can increase the emission energy density from the sample, and by confining the excitation energy in the vicinity of the entrance aperture 3-212, the emissions observed are single. It is possible to limit to a sample of (eg, a single molecule).

SCNからなるサンプル・ウェルのエントランスの近くの励起局所化の例が、図3-3に示されている。数値シミュレーションが、SCNとして形成されたサンプル・ウェル2-211の中及び近くの励起エネルギーの強度を決定するために実施された。結果は、励起放射線の強度が、サンプル・ウェルのエントランス・アパーチャにおいて、入射エネルギーの約70%であり、サンプル・ウェルの中の約100nm以内で、入射強度の約20%まで降下するということを示している。このシミュレーションに関して、励起エネルギーの特性波長は、633nmであり、サンプル・ウェル2-211の直径は、140nmであった。サンプル・ウェル2-211は、ゴールド・メタルの層の中に形成されていた。グラフの中のそれぞれの水平方向の区分は、50nmである。グラフによって示されているように、サンプル・ウェルの中に受け取られる励起エネルギーの半分より多くが、サンプル・ウェル2-211のエントランス・アパーチャ3-212の中の約50nmに局所化される。 An example of excitation localization near the entrance of a sample well consisting of SCN is shown in Figure 3-3. Numerical simulations were performed to determine the intensity of excitation energies in and near sample wells 2-21 formed as SCNs. The results show that the intensity of the excitation radiation is about 70% of the incident energy at the entrance aperture of the sample well and drops to about 20% of the incident intensity within about 100 nm in the sample well. Shows. For this simulation, the characteristic wavelength of the excitation energy was 633 nm and the diameter of the sample wells 2-211 was 140 nm. Sample wells 2-211 were formed in a layer of gold metal. Each horizontal section in the graph is 50 nm. As shown by the graph, more than half of the excitation energy received in the sample wells is localized to about 50 nm in the entrance aperture 3-212 of the sample wells 2-211.

サンプル・ウェル2-211において局所化される励起エネルギーの強度を改善するために、他のサンプル・ウェル構造体が、本発明者によって開発及び検討された。図3-4は、サンプル・ウェル2-211の励起端部においてキャビティー又はディボット3-216を含む、サンプル・ウェルの実施形態を示している。図3-3のシミュレーション結果の中に見ることができるように、より高い励起強度の領域が、サンプル・ウェルのエントランス・アパーチャ2-212の直前に存在している。いくつかの実施形態によれば、サンプル・ウェル2-211にディボット3-216を追加することは、サンプルがより高い励起強度の領域の中へ移動することを可能にする。いくつかの実施形態では、ディボットの形状及び構造は、(たとえば、層3-235とサンプル・ウェルの中にあるその試料の流体との間の屈折率の差に起因して)局所的な励起場を変更し、ディボットの中の励起エネルギーの強度をさらに増加させることが可能である。 Other sample well structures have been developed and studied by the present inventor to improve the intensity of the excitation energy localized in sample well 2-211. FIG. 3-4 shows an embodiment of a sample well comprising a cavity or dibot 3-216 at the excited end of the sample well 2-211. As can be seen in the simulation results of FIG. 3-3, a region of higher excitation intensity exists just before the entrance aperture 2-212 of the sample well. According to some embodiments, the addition of Divot 3-216 to sample well 2-211 allows the sample to move into the region of higher excitation intensity. In some embodiments, the shape and structure of the divot is locally excited (eg, due to the difference in index of refraction between layer 3-235 and the fluid of the sample in the sample well). It is possible to change the field and further increase the intensity of the excitation energy in the dibot.

ディボットは、任意の適切な形状を有することが可能である。ディボットは、たとえば、丸形、楕円形、正方形、長方形、多角形など、サンプル・ウェルの横断方向の形状に実質的に均等な横断方向の形状を有することが可能である。いくつかの実施形態では、ディボットの側壁部は、サンプル・ウェルの壁部と同様に、実質的に真っ直ぐで垂直方向であることが可能である。いくつかの実施形態では、ディボットの側壁部は、図面に示されているように、傾斜及び/又は湾曲していることが可能である。ディボットの横断方向の寸法は、いくつかの実施形態では、サンプル・ウェルの横断方向の寸法とおおよそ同じサイズであることが可能であり、いくつかの実施形態では、サンプル・ウェルの横断方向の寸法よりも小さいことが可能であり、又は、いくつかの実施形態では、サンプル・ウェルの横断方向の寸法よりも大きいことが可能である。ディボット3-216は、サンプル・ウェルの金属層2-221を越えて、おおよそ10nm~おおよそ200nmで延在することが可能である。いくつかの実施形態では、ディボットは、サンプル・ウェルの金属層2-221を越えて、おおよそ50nm~おおよそ150nmで延在することが可能である。ディボットを形成することによって、励起領域3-215は、図3-4に示されているように、サンプル・ウェルの金属層221の外側に延在することが可能である。 The dibot can have any suitable shape. The divot can have a transverse shape that is substantially uniform to the transverse shape of the sample well, for example round, elliptical, square, rectangular, polygonal. In some embodiments, the sidewalls of the divot can be substantially straight and vertical, similar to the walls of the sample wells. In some embodiments, the sidewalls of the divot can be tilted and / or curved, as shown in the drawings. The transverse dimensions of the divot can be approximately the same size as the transverse dimensions of the sample well in some embodiments, and in some embodiments the transverse dimensions of the sample well. It can be smaller than, or in some embodiments, larger than the transverse dimension of the sample well. The dibot 3-216 can extend beyond the metal layer 2-221 of the sample well at approximately 10 nm to approximately 200 nm. In some embodiments, the dibot can extend beyond the metal layer 2-221 of the sample well at approximately 50 nm to approximately 150 nm. By forming a dibot, the excited regions 3-215 can extend outside the metal layer 221 of the sample well, as shown in FIG. 3-4.

図3-5は、ディボットを含有するサンプル・ウェルに関する励起領域の励起エネルギーの改善を示している(左のシミュレーション・イメージに示されている)。比較のために、励起場が、ディボットなしのサンプル・ウェルに関してもシミュレートされ、それは、右側に示されている。場の大きさは、これらのプロットの中のカラー・レンダリングから変換されており、ディボットのベースにおける暗い領域は、サンプル・ウェルの中の光領域よりも高い強度を表している。サンプル・ウェルの上方の暗い領域は、最低の強度を表している。見ることができるように、ディボットは、サンプル3-101がより高い励起強度の領域へ移動することを可能にし、また、ディボットは、サンプル・ウェルの励起端部において、最高強度の領域の局所化を増加させる。高い強度の領域は、ディボットなしのサンプル・ウェルに関して、より多く分散されるということに留意されたい。いくつかの実施形態では、ディボット3-216は、励起領域において、2倍以上の励起エネルギーの増加を提供する。いくつかの実施形態では、2倍以上の増加は、ディボットの形状及び深さに応じて得られ得る。これらのシミュレーションでは、サンプル・ウェルは、100mの厚さの層からなり、50nmの深さのディボットを備え、635nm波長における励起エネルギーを備えている。 FIG. 3-5 shows the improvement of the excitation energy in the excitation region for the sample well containing the divot (shown in the simulation image on the left). For comparison, the excitation field is also simulated for sample wells without divot, which is shown on the right. The field size is converted from the color renderings in these plots, and the dark areas at the base of the divot represent higher intensities than the light areas in the sample wells. The dark area above the sample well represents the lowest intensity. As can be seen, the dibot allows sample 3-101 to move to the region of higher excitation intensity, and the dibot also localizes the region of highest intensity at the excited end of the sample well. To increase. Note that the high intensity regions are more dispersed with respect to the sample wells without divot. In some embodiments, the Divot 3-216 provides a two-fold or greater increase in excitation energy in the excited region. In some embodiments, a fold or more increase can be obtained depending on the shape and depth of the divot. In these simulations, the sample well consists of a layer with a thickness of 100 m, a divot with a depth of 50 nm, and an excitation energy at a wavelength of 635 nm.

図3-6は、サンプル・ウェル2-211の別の実施形態を示しており、そこでは、ディボットを含んでなるサンプル・ウェルが、基板の表面における突出部3-615の上方に形成されている。結果として生じるサンプル・ウェルに関する構造体は、図3-2に示されているサンプル・ウェルと比較して、サンプルにおける励起エネルギーを2倍以上増加させることが可能であり、サンプル・ウェルから装置2-120のセンサーに指向するようにエミッションを向けることが可能である。いくつかの実施形態によれば、突出部3-615は、材料の第1の層3-610の中でパターニングされている。突出部は、いくつかの実施形態では、円形台座又は矩形の断面を有したリッジとして形成され得、材料の第2の層3-620が、第1の層及び突出部の上方に堆積され得る。突出部において、第2の層は、示されているように、円筒形の部分3-625を近似する突出部の上方の形状を形成することが可能である。いくつかの実施形態では、導電層3-230(たとえば、反射金属)が、第2の層3-620の上方に堆積され、突出部の上方の導電層の中にサンプル・ウェル3-210を形成するようにパターニングされ得る。次いで、ディボット3-216が、第2の層の中へエッチングされ得る。ディボットは、導電層3-230の下方に、約50nm~約150nmにて延在することが可能である。いくつかの実施形態によれば、第1の層3-610及び第2の層3-620は、光学的に透明であることが可能であり、同じ材料から形成されてもよいし、又は形成されなくてもよい。いくつかの実施形態では、第1の層3-610は、酸化物(たとえば、SiO)又は窒化物(たとえば、Si)から形成され得、第2の層3-620は、酸化物又は窒化物から形成され得る。 FIG. 3-6 shows another embodiment of sample well 2-211, in which a sample well comprising a dibot is formed above the protrusion 3-615 on the surface of the substrate. There is. The resulting structure for the sample wells can increase the excitation energy in the sample more than twice as much as the sample wells shown in Figure 3-2, from the sample wells to the device 2. It is possible to direct the emissions to point at the -120 sensor. According to some embodiments, the protrusions 3-615 are patterned in a first layer 3-610 of the material. The overhangs can, in some embodiments, be formed as a circular pedestal or a ridge with a rectangular cross section, and a second layer 3-620 of material can be deposited above the first layer and the overhangs. .. At the overhang, the second layer is capable of forming a shape above the overhang that approximates the cylindrical portion 3-625, as shown. In some embodiments, a conductive layer 3-230 (eg, reflective metal) is deposited above the second layer 3-620, with sample wells 3-210 in the conductive layer above the protrusions. It can be patterned to form. The Divot 3-216 can then be etched into the second layer. The dibot can extend below the conductive layer 3-230 at about 50 nm to about 150 nm. According to some embodiments, the first layer 3-610 and the second layer 3-620 can be optically transparent and may or may be formed from the same material. It does not have to be done. In some embodiments, the first layer 3-610 may be formed from an oxide (eg, SiO 2 ) or a nitride (eg, Si 3N 4 ) and the second layer 3-620 may be oxidized. It can be formed from an object or a nitride.

いくつかの実施形態によれば、突出部3-625の上方の導電層3-230は、おおよそ球形の反射体3-630として形状決めされている。球形の部分の形状は、突出部高さh、突出部の直径又は横断方向の寸法w、及び、第2の層3-620の厚さtの選択によって制御され得る。励起領域の場所及びサンプルの位置は、ディボット深さdの選択によって、球形の反射体の光学的な焦点に対して調節され得る。円筒形の反射体3-630は、励起領域3-215に励起エネルギーを集中させることが可能であり、また、サンプルから放出されたエネルギーを収集し、センサー3-260に向けて放射線を反射及び集中させることが可能であるということが理解され得る。 According to some embodiments, the conductive layer 3-230 above the protrusion 3-625 is shaped as an approximately spherical reflector 3-630. The shape of the spherical portion can be controlled by the choice of protrusion height h, protrusion diameter or transverse dimension w, and thickness t of the second layer 3-620. The location of the excited region and the position of the sample can be adjusted with respect to the optical focus of the spherical reflector by selecting the divot depth d. The cylindrical reflector 3-630 is capable of concentrating the excitation energy in the excitation region 3-215 and also collects the energy emitted from the sample and reflects the radiation towards the sensor 3-260. It can be understood that it is possible to concentrate.

上述のように、サンプル・ウェルは、任意の適切な形状で形成され得、円筒形状だけに限定されない。いくつかの実施形態では、サンプル・ウェルは、円錐、4面体、5面体などであることが可能である。図3-7A~図3-7Fは、いくつかの実施形態において使用され得るいくつかの例示的なサンプル・ウェル形状及び構造を図示している。サンプル・ウェル2-211は、いくつかの実施形態によれば、励起エネルギーに関する第2のアパーチャ2-218よりも大きい第1のアパーチャ2-212を有するように形成され得る。サンプル・ウェルの側壁部は、テーパが付けられ、又は、湾曲していることが可能である。サンプル・ウェルをこのように形成することは、より多くの励起エネルギーが励起領域に入ることを許すことが可能であり、試料に向けて進む励起エネルギーをさらに目に見えて減衰させることが可能である。追加的に、サンプルによって放射されるエミッションは、より大きいアパーチャを備えるサンプル・ウェルの端部に向けて、その方向への好ましいエネルギー伝達に起因して、優先的に放射することが可能である。 As mentioned above, the sample wells can be formed in any suitable shape and are not limited to cylindrical shapes. In some embodiments, the sample well can be a cone, tetrahedron, pentahedron, and the like. 3-7A-7F illustrate some exemplary sample well shapes and structures that may be used in some embodiments. Sample wells 2-211, according to some embodiments, may be formed to have a first aperture 2-212 that is greater than the second aperture 2-218 with respect to excitation energy. The sidewalls of the sample well can be tapered or curved. This formation of the sample well can allow more excitation energy to enter the excitation region and can further visibly attenuate the excitation energy traveling towards the sample. be. In addition, the emissions emitted by the sample can be preferentially emitted towards the end of the sample well with a larger aperture due to the preferred energy transfer in that direction.

いくつかの実施形態では、ディボット3-216は、図3-7Bに示されているように、サンプル・ウェルのベースよりも小さい横断方向の寸法を有することが可能である。より小さいディボットは、ディボットをエッチングする前に、サンプル・ウェルの側壁部を犠牲層によってコーティングし、その後に、犠牲層を除去することによって形成され得る。より小さいディボットは、サンプル・ウェルの導電性壁部からより等距離にある領域の中にサンプルを保持するように形成され得る。サンプル・ウェルの壁部から等距離にサンプルを保持することは、放射しているサンプルへの、サンプル・ウェル壁部の望ましくない効果、たとえば、エミッションの消光、及び/又は、放射線寿命時間の変更を低減させることが可能である。 In some embodiments, the Divot 3-216 is capable of having smaller transverse dimensions than the base of the sample well, as shown in Figure 3-7B. Smaller dibots can be formed by coating the sidewalls of the sample well with a sacrificial layer prior to etching the dibot and then removing the sacrificial layer. Smaller dibots can be formed to hold the sample in a region more equidistant from the conductive wall of the sample well. Holding the sample equidistant from the wall of the sample well has the undesired effect of the wall of the sample well on the radiating sample, eg, quenching the emissions and / or altering the radiation lifetime. Can be reduced.

図3-7C及び図3-7Dは、サンプル・ウェルの別の実施形態を示している。この実施形態によれば、サンプル・ウェル2-211は、励起エネルギー増強構造体3-711と、励起エネルギー増強構造体に隣接して形成された接着性物質3-211とからなることが可能である。エネルギー増強構造体3-711は、いくつかの実施形態によれば、光学的に透明な層3-235の上の導電性材料の中に形成された表面プラズモン又はナノ・アンテナ構造体からなることが可能である。図3-7Cは、サンプル・ウェル2-211及び直ぐ近くの構造体の立面図を示しており、図3-7Dは、平面図を示している。励起エネルギー増強構造体3-711は、小さい局所化された領域の中に励起エネルギーを増強するように、形状決め及び配置され得る。たとえば、構造体は、サンプル・ウェルにおいて、鋭角を有する先の尖った導体を含むことが可能であり、それは、励起領域3-215の中の励起エネルギーの強度を増加させる。示されている例では、励起エネルギー増強構造体3-711は、ちょうネクタイの形態になっている。領域の中へ拡散するサンプル3-101は、接着性物質3-211によって、一時的に又は永久的に保持され、また、装置2-120内に位置付けされている励起供給源2-250から送達され得る励起エネルギーによって励起され得る。いくつかの実施形態によれば、励起エネルギーは、エネルギー増強構造体3-711の中の表面プラズモン電流を駆動することが可能である。結果として生じる表面プラズモン電流は、構造体3-711の鋭く尖った先において高い電界を作り出すことが可能であり、これらの高い電界は、励起領域3-215の中に保持されているサンプルを励起することが可能である。いくつかの実施形態では、図3-7Cに示されているサンプル・ウェル2-211は、ディボット3-216を含むことが可能である。 3-7C and 3-7D show another embodiment of the sample well. According to this embodiment, the sample well 2-211 can consist of an excitation energy-enhanced structure 3-711 and an adhesive substance 3-221 formed adjacent to the excitation energy-enhanced structure. be. The energy-enhanced structure 3-711, according to some embodiments, consists of a surface plasmon or nano-antenna structure formed in a conductive material on an optically transparent layer 3-235. Is possible. FIG. 3-7C shows an elevation view of the sample wells 2-211, and a structure in the immediate vicinity, and FIG. 3-7D shows a plan view. The excitation energy enhancement structure 3-711 may be shaped and arranged to enhance the excitation energy within a small localized region. For example, the structure can include a pointed conductor with an acute angle in the sample well, which increases the intensity of the excitation energy in the excitation region 3-215. In the example shown, the excitation energy-enhanced structure 3-711 is in the form of a bow tie. Sample 3-101 diffused into the region is temporarily or permanently retained by the adhesive material 3-211, and also delivered from the excitation source 2-250 located within device 2-120. Can be excited by possible excitation energies. According to some embodiments, the excitation energy is capable of driving a surface plasmon current in the energy-enhanced structure 3-711. The resulting surface plasmon currents can create high electric fields at the sharply pointed tips of structure 3-711, which excite the sample held in the excitation region 3-215. It is possible to do. In some embodiments, the sample well 2-211, shown in FIG. 3-7C, can include a dibot 3-216.

サンプル・ウェルの別の実施形態が、図3-7Eに示されており、サンプル・ウェル2-211の内部壁部に沿って形成された励起エネルギー増強構造体3-720を示している。励起エネルギー増強構造体3-720は、金属又は導体からなることが可能であり、角度付き(又は、シャドウ)の指向性堆積を使用して形成され得、そこでは、サンプル・ウェルがその上に形成される基板が、堆積の間に回転させられる。堆積の間に、サンプル・ウェル2-211のベースは、ウェルの上側壁部によって覆い隠されており、堆積される材料がベースに蓄積しないようになっている。結果として生じる構造体3-720は、構造体の底部において鋭角3-722を形成することが可能であり、導体のこの鋭角は、サンプル・ウェルの中の励起エネルギーを増強することが可能である。 Another embodiment of the sample well is shown in FIG. 3-7E, showing the excitation energy-enhanced structure 3-720 formed along the inner wall of the sample well 2-211. The excitation energy-enhanced structure 3-720 can consist of metal or conductor and can be formed using angled (or shadow) directional deposition, where sample wells are placed on it. The substrate formed is rotated during deposition. During deposition, the base of sample wells 2-211 is obscured by the upper sidewalls of the wells to prevent the deposited material from accumulating on the base. The resulting structure 3-720 is capable of forming an acute angle 3-722 at the bottom of the structure, and this acute angle of the conductor is capable of enhancing the excitation energy in the sample wells. ..

図3-7Eに示されている実施形態では、サンプル・ウェルがその中に形成されている材料3-232は、導体である必要はなく、誘電体材料などの任意の適切な材料であることが可能である。いくつかの実施形態によれば、サンプル・ウェル2-211及び励起エネルギー増強構造体3-720は、誘電体層3-235の中へエッチングされた有底のホールに形成され得、別々の層3-232が堆積させられる必要はない。 In the embodiment shown in FIG. 3-7E, the material 3-232 in which the sample well is formed does not have to be a conductor and is any suitable material such as a dielectric material. Is possible. According to some embodiments, the sample wells 2-211 and the excitation energy-enhanced structure 3-720 can be formed in bottomed holes etched into the dielectric layer 3-235, which are separate layers. 3-232 does not need to be deposited.

いくつかの実施形態では、シャドウ・エバポレーションが、その後に、図3-7Eに示されている構造体の上に行われ、サンプル・ウェルのベースにおいて、金属製の又は導電性のエネルギー増強構造体、たとえば、点線によって示されているように、台形の構造体又は先の尖った円錐を堆積させることが可能である。エネルギー増強構造体は、表面プラズモンを介して、ウェルの中に励起エネルギーを増強することが可能である。シャドウ・エバポレーションの後に、平坦化プロセス(たとえば、化学的で機械的なポリッシング工程又はプラズマ・エッチング・プロセス)が行われ、サンプル・ウェルの上部において、エネルギー増強構造体をウェルの中に残しながら、堆積された材料を除去し、又はエッチ・バックすることが可能である。 In some embodiments, shadow evaporation is then performed on top of the structure shown in Figure 3-7E, and at the base of the sample well, a metallic or conductive energy-enhanced structure. It is possible to deposit a body, eg, a trapezoidal structure or a pointed cone, as indicated by the dotted line. The energy-enhanced structure is capable of enhancing the excitation energy into the wells via surface plasmons. After shadow evaporation, a flattening process (eg, a chemical and mechanical polishing process or a plasma etching process) is performed, leaving the energy-enhancing structure in the well at the top of the sample well. It is possible to remove or etch back the deposited material.

いくつかの実施形態では、サンプル・ウェル2-211は、単一の金属層よりも多くから形成され得る。図3-7Fは、多層構造体の中に形成されたサンプル・ウェルを図示しており、異なる材料が、異なる層に関して使用され得る。いくつかの実施形態によれば、サンプル・ウェル2-211は、第1の層3-232(それは、半導体材料又は導電性材料であることが可能である)、第2の層3-234(それは、絶縁体又は誘電体であることが可能である)、及び第3の層2-221(それは、導体又は半導体であることが可能である)の中に形成され得る。いくつかの実施形態では、縮退的にドープされた半導体又はグラフェンが、サンプル・ウェルの層に関して使用され得る。いくつかの実施形態では、サンプル・ウェルは、2つの層の中に使用され得、他の実施形態では、サンプル・ウェルは、4つ以上の層の中に形成され得る。いくつかの実施形態では、サンプル・ウェルを形成するために使用される多層材料は、サンプル・ウェルのベースにおいて表面プラズモン発生を増加させ、又は、ウェルの上部において、表面プラズモン放射線を抑制するように選択され得る。いくつかの実施形態では、サンプル・ウェルを形成するために使用される多層材料は、サンプル・ウェル及び多層構造体を越えてバルク試料の中へ伝播する励起エネルギーを抑制するように選択され得る。 In some embodiments, the sample wells 2-211 can be formed from more than a single metal layer. FIG. 3-7F illustrates sample wells formed within a multilayer structure, where different materials can be used for different layers. According to some embodiments, the sample well 2-211 is a first layer 3-232 (which can be a semiconductor or conductive material), a second layer 3-234 (which can be a semiconductor material or a conductive material). It can be an insulator or a dielectric), and can be formed in a third layer 2-221, which can be a conductor or a semiconductor. In some embodiments, degenerately doped semiconductors or graphene may be used for the layer of sample wells. In some embodiments, the sample wells can be used in two layers, in other embodiments the sample wells can be formed in four or more layers. In some embodiments, the multilayer material used to form the sample well is such that it increases surface plasmon generation at the base of the sample well or suppresses surface plasmon radiation at the top of the well. Can be selected. In some embodiments, the multilayer material used to form the sample wells may be selected to suppress the excitation energy propagating across the sample wells and the multilayer structure into the bulk sample.

いくつかの実施形態では、サンプル・ウェルを形成するために使用される多層材料は、サンプル・ウェルへ入射する励起エネルギーによって発生させられ得る界面エキシトンを増加又は抑制するように選択され得る。たとえば、バイエキシトン及びトリエキシトンなどのような、マルチ・エキシトンが、サンプル・ウェルに隣接する2つの異なる半導体層の間の界面において発生させられ得る。サンプル・ウェルは、金属層及び第1の半導体層の両方の中に形成され得、第1の半導体層と第2の半導体層との間の界面が、サンプル・ウェルの励起領域3-215にあるようになっている。界面エキシトンは、単一の半導体層の体積の中のエキシトンよりも長い寿命時間を有することが可能であり、エキシトンがFRET又はDETを介してサンプル又はタグを励起することとなる可能性を増加させる。いくつかの実施形態では、マルチ・エキシトンが励起され得る少なくとも1つの量子ドットが、(たとえば、リンキング分子によって)サンプル・ウェルの底部に取り付けられ得る。また、量子ドットにおいて励起されるエキシトンは、単一の半導体層の体積の中のエキシトンよりも長い寿命時間を有することが可能である。界面エキシトン、又は、量子ドットにおいて発生させられるエキシトンは、いくつかの実施形態によれば、FRET又はDETのレートを増加させることが可能である。 In some embodiments, the multilayer material used to form the sample wells may be selected to increase or suppress the interfacial excitons that may be generated by the excitation energy incident on the sample wells. Multi-exciton, such as bi-exciton and tri-exciton, can be generated at the interface between two different semiconductor layers adjacent to the sample well. The sample well can be formed in both the metal layer and the first semiconductor layer, and the interface between the first semiconductor layer and the second semiconductor layer is located in the excited region 3-215 of the sample well. There is now. Interfacial excitons can have a longer lifetime than excitons in the volume of a single semiconductor layer, increasing the likelihood that excitons will excite samples or tags via FRET or DET. .. In some embodiments, at least one quantum dot from which the multi-exciton can be excited can be attached to the bottom of the sample well (eg, by a linking molecule). Also, excitons excited at quantum dots can have a longer lifetime than excitons in the volume of a single semiconductor layer. Interfacial excitons, or excitons generated at quantum dots, can increase the rate of FRET or DET, according to some embodiments.

さまざまな材料が、先述の実施形態において説明されているサンプル・ウェルを形成するために使用され得る。いくつかの実施形態によれば、サンプル・ウェル2-211は、材料3-230の少なくとも1つの層から形成され得、材料2-221は、導電性材料、半導体、及び絶縁体のうちのいずれか1つ又はそれらの組み合わせからなることが可能である。いくつかの実施形態では、サンプル・ウェル2-211は、高度に導電性の金属製の層、たとえば、金、銀、アルミニウム、銅からなる。いくつかの実施形態では、層2-221は、金、銀、アルミニウム、銅、チタン、窒化チタン、及びクロムのうちのいずれか1つ又はそれらの組み合わせを含む多層スタックからなることが可能である。いくつかの実施形態では、他の金属は、追加的に又は代替的に使用され得る。いくつかの実施形態によれば、サンプル・ウェルは、AlCu又はAlSiなどのような、合金からなることが可能である。 Various materials can be used to form the sample wells described in the embodiments described above. According to some embodiments, the sample well 2-211 may be formed from at least one layer of material 3-230, which material 2-221 can be any of conductive materials, semiconductors, and insulators. It can consist of one or a combination thereof. In some embodiments, the sample wells 2-211 consist of a highly conductive metal layer, such as gold, silver, aluminum, copper. In some embodiments, layer 2-221 can consist of a multi-layer stack containing any one or a combination of gold, silver, aluminum, copper, titanium, titanium nitride, and chromium. .. In some embodiments, other metals may be used additionally or as an alternative. According to some embodiments, the sample wells can be made of an alloy, such as AlCu or AlSi.

いくつかの実施形態では、異なる金属又は合金の複数の層が、サンプル・ウェルを形成するために使用され得る。いくつかの実施形態では、サンプル・ウェル2-211がその中に形成される材料は、金属及び非金属の交互層、たとえば、金属及び1つ又は複数の誘電体の交互層からなることが可能である。いくつかの実施形態では、非金属は、ポリビニルホスホン酸又はポリエチレングリコール(PEG)-チオールなどのような、ポリマーを含むことが可能である。 In some embodiments, multiple layers of different metals or alloys can be used to form sample wells. In some embodiments, the material from which the sample wells 2-211 are formed can consist of alternating layers of metal and non-metal, such as alternating layers of metal and one or more dielectrics. Is. In some embodiments, the non-metal can include polymers such as polyvinyl phosphonic acid or polyethylene glycol (PEG) -thiol.

サンプル・ウェルがその中に形成される層2-221は、いくつかの実施形態によれば、少なくとも1つの光学的に透明な層3-235の上に、又は、少なくとも1つの光学的に透明な層3-235に隣接して堆積され得、(たとえば、可視光又は近赤外の形態の)励起エネルギー及び(たとえば、可視光又は近赤外の形態の)エミッション・エネルギーが、ほとんど減衰なしに、サンプル・ウェル2-211へ、及び、サンプル・ウェル3-210から進むことが可能であるようになっている。たとえば、励起供給源2-250からの励起エネルギーは、少なくとも1つの光学的に透明な層2-235を通過し、励起領域3-215に至ることが可能であり、サンプルからのエミッションは、1つ又は複数の同じ層を通過し、センサー2-250に至ることが可能である。 The layer 2-221 on which the sample well is formed is, according to some embodiments, on or at least one optically transparent layer 3-235 or at least one optically transparent layer. Can be deposited adjacent to layers 3-235, with little attenuation of excitation energies (eg, in visible or near-infrared form) and emission energies (eg, in visible or near-infrared form). It is now possible to proceed to and from sample wells 2-211, and from sample wells 3-210. For example, the excitation energy from the excitation source 2-250 can pass through at least one optically transparent layer 2-235 and reach the excitation region 3-215, with an emission from the sample of 1. It is possible to pass through one or more of the same layers to reach sensor 2-250.

いくつかの実施形態では、サンプル・ウェル2-211の少なくとも1つの表面が、図3-8に示されているように、サンプル・ウェルの中のサンプルの作用に影響を及ぼす材料の1つ又は複数の層3-211、3-280によってコーティングされ得る。たとえば、薄い誘電体層3-280(たとえば、アルミナ、窒化チタン、又はシリカ)が、サンプル・ウェルの側壁部の上に、不動態化コーティングとして堆積され得る。そのようなコーティングは、励起領域3-215の外側のサンプルのサンプル付着を低減させるように、又は、サンプルとサンプル・ウェル2-211がその中に形成されている材料2-221との間の相互作用を低減させるように実施され得る。サンプル・ウェルの中の不動態化コーティングの厚さは、いくつかの実施形態によれば、約5nmから約50nmの間であることが可能である。 In some embodiments, at least one surface of the sample well 2-211 is one of the materials affecting the action of the sample in the sample well, as shown in FIG. 3-8. It can be coated with multiple layers 3-211, 3-280. For example, a thin dielectric layer 3-280 (eg, alumina, titanium nitride, or silica) can be deposited as a passivation coating on the sidewalls of the sample wells. Such a coating is intended to reduce sample adhesion of the sample outside the excited region 3-215, or between the sample and the material 2-221 in which the sample wells 2-211 are formed. It can be done to reduce the interaction. The passivation coating thickness in the sample well can be between about 5 nm and about 50 nm, according to some embodiments.

いくつかの実施形態では、コーティング層3-280のための材料は、その材料に関する化学剤の親和性に基づいて選択され得、層3-280は、化学的な又は生物学的な物質によって処置され、層へのサンプル種の付着をさらに阻止し得るようになっている。たとえば、コーティング層3-280は、アルミナからなることが可能であり、それは、いくつかの実施形態によれば、ポリホスホネート不動態化層によって不動態化され得る。追加的な又は代替的なコーティング剤及び不動態化剤が、いくつかの実施形態では使用され得る。 In some embodiments, the material for coating layer 3-280 may be selected based on the affinity of the chemical agent for that material, layer 3-280 being treated with a chemical or biological substance. It has become possible to further prevent the adhesion of the sample species to the layer. For example, the coating layer 3-280 can consist of alumina, which can be passivated by a polyphosphonate passivation layer, according to some embodiments. Additional or alternative coating and passivating agents may be used in some embodiments.

いくつかの実施形態によれば、少なくともサンプル・ウェル2-211の底部表面及び/又はディボット3-216は、化学的な又は生物学的な接着性物質3-211(たとえば、ビオチン)によって処置され、サンプルの保持を推進させることが可能である。サンプルは、たとえば、少なくとも約0.5ミリ秒から約50ミリ秒の間の時間の期間にわたって、永久的に又は一時的に保持され得る。別の実施形態では、接着性物質は、より長い期間にわたるサンプル3-101の一時的な保持を推進することが可能である。任意の適切な接着性物質は、さまざまな実施形態において使用され得、ビオチンに限定されない。 According to some embodiments, at least the bottom surface and / or dibot 3-216 of sample well 2-211 is treated with a chemical or biological adhesive material 3-2111 (eg, biotin). , It is possible to promote sample retention. The sample can be retained permanently or temporarily, for example, for a period of time between at least about 0.5 ms and about 50 ms. In another embodiment, the adhesive material is capable of promoting temporary retention of sample 3-101 over a longer period of time. Any suitable adhesive material can be used in various embodiments and is not limited to biotin.

いくつかの実施形態によれば、サンプル・ウェルに隣接する材料3-235の層は、その層の材料に関する接着性物質の親和性に基づいて選択され得る。いくつかの実施形態では、サンプル・ウェルの側壁部の不動態化は、側壁部の上の接着性物質のコーティングを阻止することが可能であり、接着性物質3-211がサンプル・ウェルのベースに優先的に堆積するようになっている。いくつかの実施形態では、接着性物質コーティングは、サンプル・ウェルの側壁部の一部分の上に延在することが可能である。いくつかの実施形態では、接着性物質は、異方性の物理的な堆積プロセス(たとえば、エバポレーション、スパッタリング)によって堆積され得、接着性物質がサンプル・ウェルのベース又はディボットに蓄積するように、及び、サンプル・ウェルの側壁部の上に目に見えて形成しないようになっている。 According to some embodiments, the layer of material 3-235 adjacent to the sample well can be selected based on the affinity of the adhesive material for the material of that layer. In some embodiments, passivation of the sidewalls of the sample wells can prevent coating of the adhesive material on the sidewalls, with the adhesive material 3-2111 being the base of the sample wells. It is designed to be preferentially deposited in. In some embodiments, the adhesive coating can extend over a portion of the sidewall of the sample well. In some embodiments, the adhesive material can be deposited by an anisotropic physical deposition process (eg, evaporation, sputtering) so that the adhesive material accumulates in the base or divot of the sample well. , And are not visibly formed on the side wall of the sample well.

さまざまな製作技法が、アッセイ・チップのためのサンプル・ウェル2-211を製作するために用いられ得る。いくつかの例示的なプロセスが、下記に説明されているが、しかし、本発明は、これらの例だけに限定されない。 Various fabrication techniques can be used to fabricate sample wells 2-211 for assay chips. Some exemplary processes are described below, but the invention is not limited to these examples.

サンプル・ウェル2-211は、任意の適切なマイクロ・ファブリケーション又はナノ・ファブリケーション・プロセスによって形成され得、それは、それに限定されないが、フォトリソグラフィー、深紫外線フォトリソグラフィー、液浸フォトリソグラフィー、近接場光学コンタクト・フォトリソグラフィー、EUVリソグラフィー、X線リソグラフィー、ナノ・インプリント・リソグラフィー、インターフェロメトリック・リソグラフィー、ステップ・アンド・フラッシュ・リソグラフィー、ダイレクト・ライト・電子ビーム・リソグラフィー、イオン・ビーム・リソグラフィー、イオン・ビーム・ミリング、リフト・オフ・プロセッシング、反応性イオン・エッチング、選択的エピタキシー、分子自己アセンブリ、有機合成などに関連付けられているプロセッシング工程を含むことが可能である。いくつかの実施形態によれば、サンプル・ウェル2-211は、フォトリソグラフィー及びリフト・オフ・プロセッシングを使用して形成され得る。サンプル・ウェルのリフト・オフ・プロセッシングに関連付けられている例示的な製作工程が、図3-9に示されている。ピクセルにおいて、単一のサンプル・ウェル又は構造体だけの製作が典型的に図面に示されているが、多数のサンプル・ウェル又は構造体が、(たとえば、それぞれのピクセルにおいて)並行して基板の上に製作され得るということが理解されることとなる。 Sample wells 2-211 can be formed by any suitable microfabrication or nanofabrication process, including, but not limited to, photolithography, deep UV photolithography, immersion photolithography, proximity field. Optical contact photolithography, EUV lithography, X-ray lithography, nanoimprint lithography, interferrometric lithography, step-and-flash lithography, direct light electron beam lithography, ion beam lithography, ion It is possible to include processing steps associated with beam milling, lift-off processing, reactive ion etching, selective photolithography, molecular self-assembly, organic synthesis and the like. According to some embodiments, the sample wells 2-211 can be formed using photolithography and lift-off processing. An exemplary fabrication process associated with sample well lift-off processing is shown in Figure 3-9. In pixels, fabrication of only a single sample well or structure is typically shown in the drawings, but multiple sample wells or structures are in parallel (eg, in each pixel) of the substrate. It will be understood that it can be made above.

いくつかの実施形態によれば、基板の上の層3-235(たとえば、酸化物層)は、図3-9Aに示されているように、反射防止(ARC)層3-910及びフォトレジスト3-920によって被覆され得る。フォトレジストは、フォトリソグラフィー及びレジストの現像を使用して、露出及びパターニングされ得る。レジストは、(レジスト・タイプに応じて)露出された部分又は露出されていない部分を除去するように現像され、ピラー3-922を残すことが可能であり、ピラー3-922は、図3-9Bに示されているように、サンプル・ウェルに関する所望の直径におおよそ等しい直径を有している。ピラーの高さは、サンプル・ウェルの所望の深さよりも大きいことが可能である。 According to some embodiments, the layer 3-235 (eg, an oxide layer) above the substrate is an antireflection (ARC) layer 3-910 and a photoresist, as shown in FIG. 3-9A. Can be covered by 3-920. The photoresist can be exposed and patterned using photolithography and resist development. The resist can be developed to remove exposed or unexposed parts (depending on the resist type), leaving pillars 3-922, which are shown in Figure 3-922. As shown in 9B, it has a diameter approximately equal to the desired diameter for the sample well. The height of the pillars can be greater than the desired depth of the sample wells.

ピラー3-922のパターンは、たとえば図3-9Cに示されているように、異方性の反応性イオン・エッチング(RIE)を介してARC層3-910に伝達され得る。次いで、領域は、サンプル・ウェルを形成するために望まれる少なくとも1つの材料2-221、たとえば、導体又は金属によってコーティングされ得る。堆積された1つ又は複数の材料の一部分は、図3-9Dに示されているように、ピラー3-922の上方にキャップ3-232を形成している。次いで、レジスト及びARCは、選択的な除去プロセスを使用して(たとえば、少なくともレジストを溶解させ、キャップを解放又は「リフト・オフ」する、撹拌ありの又はなしの化学浴を使用して)、基板から剥がされ得る。ARCが残っている場合には、それは、選択的エッチを使用して基板から剥がされ、図3-9Eに示されているように、サンプル・ウェル3-210を残すことが可能である。いくつかの実施形態によれば、サンプル・ウェルの側壁部3-214は、少なくとも1つの材料2-221の堆積の性質に起因して、傾斜していることが可能である。 The pattern of pillars 3-922 can be transmitted to ARC layer 3-910 via anisotropic reactive ion etching (RIE), for example as shown in FIG. 3-9C. The region can then be coated with at least one material 2-221, eg, a conductor or metal, desired to form a sample well. A portion of the deposited material or pieces forms a cap 3-232 above pillar 3-922, as shown in FIG. 3-9D. The resist and ARC are then subjected to a selective removal process (eg, using a chemical bath with or without agitation, at least dissolving the resist and releasing or "lifting off" the cap). It can be peeled off from the substrate. If ARC remains, it can be stripped from the substrate using selective etching, leaving sample well 3-210 as shown in FIG. 3-9E. According to some embodiments, the sidewall 3-214 of the sample well can be tilted due to the nature of the deposition of at least one material 2-221.

本明細書で使用されているように、「選択的エッチ」は、除去されることが意図されない他の材料をエッチャントがエッチングするよりも高いレート(たとえば、少なくとも2倍のレート)で除去又はエッチングされることが望まれる1つの材料を、エッチャントが選択的にエッチングする、エッチング・プロセスを意味している。 As used herein, "selective etching" removes or etches at a higher rate (eg, at least twice the rate) than the etchant etches other materials that are not intended to be removed. It means an etching process in which the etchant selectively etches one material that is desired to be.

レジスト及びARCは、典型的にポリマー・ベースのものであるので、それらは、軟質の材料であると考えられ、それは、高いアスペクト比(たとえば、高さ-対-幅に関して約2:1よりも大きいアスペクト比)を有するサンプル・ウェルを形成するのに適切でないことがある。より高いアスペクト比を有するサンプル・ウェルのために、硬質の材料が、リフト・オフ・プロセスの中に含まれ得る。たとえば、ARC及びフォトレジストを堆積させる前に、硬質の層(たとえば、無機材料)が堆積され得る。いくつかの実施形態では、チタン又は窒化ケイ素の層が堆積され得る。硬質の材料の層は、サンプル・ウェルがその中に形成される1つ又は複数の材料2-221の上方に優先的なエッチングを示すべきである。フォトレジストがパターニングされた後で、ピラーのパターンが、ARCの中へ伝達され得、下層にある硬質の材料3-930が、図3-9Fに示されているような構造体を生み出す。次いで、フォトレジスト及びARCが剥がされ得、材料2-221が堆積され、リフト・オフ工程が行われ、サンプル・ウェルを形成する。 Since resists and ARCs are typically polymer-based, they are considered to be soft materials, which have a high aspect ratio (eg, more than about 2: 1 with respect to height-to-width). It may not be suitable for forming sample wells with a large aspect ratio). For sample wells with higher aspect ratios, hard material may be included in the lift-off process. For example, a hard layer (eg, an inorganic material) may be deposited prior to depositing the ARC and photoresist. In some embodiments, a layer of titanium or silicon nitride may be deposited. The layer of hard material should show preferential etching above one or more materials 2-221 in which the sample wells are formed. After the photoresist is patterned, the pattern of pillars can be transmitted into the ARC and the underlying rigid material 3-930 produces a structure as shown in FIG. 3-9F. The photoresist and ARC can then be stripped, material 2-221 is deposited and a lift-off step is performed to form sample wells.

いくつかの実施形態によれば、リフト・オフ・プロセスは、図3-7C及び図3-7Dに示されているように、エネルギー増強構造体3-711からなるサンプル・ウェルを形成するために使用され得る。 According to some embodiments, the lift-off process is to form a sample well consisting of the energy-enhanced structure 3-711, as shown in FIGS. 3-7C and 3-7D. Can be used.

サンプル・ウェルを形成するための代替的なプロセスが、図3-10に示されている。このプロセスでは、サンプル・ウェルは、少なくとも1つの材料2-211の中へ直接的にエッチングされ得る。たとえば、サンプル・ウェルがその中に形成されることとなる少なくとも1つの材料2-211が、基板3-325の上に堆積され得る。層は、図3-10Aに図示されているように、ARC層3-910及びフォトレジスト3-920によって被覆され得る。フォトレジストは、図3-10Bに示されているように、サンプル・ウェルの所望の直径におおよそ等しい直径を有するホールを形成するようにパターニングされ得る。ホールのパターンは、たとえば図3-10Cに示されているように、異方性の反応性イオン・エッチを使用して、層3-230を通してARCに伝達され得る。レジスト及びARCは、剥がされ、図3-10Dに示されているようなサンプル・ウェルを生み出すことが可能である。いくつかの実施形態によれば、エッチングによって材料3-230の層の中へ形成されるサンプル・ウェルの側壁部は、リフト・オフ・プロセスから結果として生じる側壁部よりも垂直になっていることが可能である。 An alternative process for forming sample wells is shown in Figure 3-10. In this process, the sample wells can be etched directly into at least one material 2-2111. For example, at least one material 2-211 from which a sample well will be formed can be deposited on substrate 3-325. The layer can be coated with an ARC layer 3-910 and a photoresist 3-920, as illustrated in FIG. 3-10A. The photoresist can be patterned to form holes with diameters approximately equal to the desired diameter of the sample wells, as shown in FIG. 3-10B. The hole pattern can be transmitted to the ARC through layers 3-230 using anisotropic reactive ion etching, for example as shown in FIG. 3-10C. The resist and ARC can be stripped to produce sample wells as shown in Figure 3-10D. According to some embodiments, the sidewalls of the sample well formed into the layer of material 3-230 by etching are more vertical than the sidewalls resulting from the lift-off process. Is possible.

いくつかの実施形態では、フォトレジスト及びARCは、材料2-221の上にハード・マスク(たとえば、窒化ケイ素又は酸化物層。図示せず)をパターニングするために使用され得る。次いで、パターニングされたホールは、ハード・マスクに伝達され得、ハード・マスクは、次いで、材料2-221の層の中へパターンを伝達するために使用される。ハード・マスクは、材料2-221の層の中へのより大きいエッチング深さを可能にすることができ、より高いアスペクト比のサンプル・ウェルを形成するようになっている。 In some embodiments, the photoresist and ARC can be used to pattern a hard mask (eg, silicon nitride or oxide layer, not shown) on material 2-221. The patterned holes can then be transmitted to the hard mask, which is then used to transfer the pattern into the layer of material 2-221. Hard masks can allow for greater etching depth into the layer of material 2-221, forming sample wells with higher aspect ratios.

サンプル・ウェルがその中に形成される材料2-211のスタックを形成するために、異なる材料の複数の層が使用されるときに、上記に説明されているリフト・オフ・プロセス及びダイレクト・エッチング製作技法が、サンプル・ウェルを形成するために使用され得るということが認識されることとなる。例示的なスタックが、図2-11に示されている。いくつかの実施形態によれば、サンプル・ウェルの励起領域への励起エネルギーのカップリングを改善するために、又は、バルク試料の中への励起エネルギーの伝送又は再放射を低減させるために、材料のスタックがサンプル・ウェルを形成するために使用され得る。たとえば、吸収層3-942が、第1の層3-940の上に堆積させられ得る。第1の層は、金属又は金属合金からなることが可能であり、吸収層は、表面プラズモンを阻止する材料、たとえば、アモルファス・シリコン、TaN、TiN、又はCrからなることが可能である。また、いくつかの実施形態では、表面層3-944は、サンプル・ウェルを取り囲む表面を不動態化させる(たとえば、分子の付着を阻止する)ために堆積され得る。 The lift-off process and direct etching described above when multiple layers of different materials are used to form a stack of materials 2-211 into which the sample wells are formed. It will be recognized that fabrication techniques can be used to form sample wells. An exemplary stack is shown in Figure 2-11. According to some embodiments, the material is used to improve the coupling of the excitation energy into the excitation region of the sample well, or to reduce the transmission or re-radiation of the excitation energy into the bulk sample. Stacks can be used to form sample wells. For example, the absorption layer 3-942 may be deposited on top of the first layer 3-940. The first layer can be made of metal or metal alloy, and the absorbent layer can be made of a material that blocks surface plasmons, such as amorphous silicon, TaN, TiN, or Cr. Also, in some embodiments, the surface layer 3-944 may be deposited to passivate the surface surrounding the sample well (eg, prevent molecular attachment).

ディボット3-216を含んでなるサンプル・ウェルの形成は、任意の適切な様式で行われ得る。いくつかの実施形態では、ディボットは、サンプル・ウェルに隣接して、エッチングによって、さらに、隣接する層3-235、及び/又は任意の1つ又は複数の介在層の中へ形成され得る。たとえば、材料2-221の層の中にサンプル・ウェルを形成した後に、その層2-221は、図3-12に示されているように、ディボットをパターニングするためのエッチ・マスクとして使用され得る。たとえば、基板は、選択的な異方性の反応性イオン・エッチを受けることが可能であり、ディボット3-216が、隣接する層3-235の中へエッチングされ得るようになっている。たとえば、材料2-221が金属製であり、隣接する層3-235が酸化ケイ素である実施形態では、CHF又はCFからなるフィード・ガスを有する反応性イオン・プラズマ・エッチが使用され、サンプル・ウェルの下方の露出された酸化ケイ素を優先的に除去し、ディボット3-216を形成することが可能である。本明細書で使用されているように、「酸化ケイ素」は、一般的に、SiOを表しており、たとえば、二酸化ケイ素を含むことが可能である。 The formation of sample wells comprising Dibot 3-216 can be performed in any suitable manner. In some embodiments, the dibot may be formed adjacent to the sample well by etching and further into the adjacent layer 3-235 and / or any one or more intervening layers. For example, after forming a sample well in a layer of material 2-221, that layer 2-221 is used as an etch mask for patterning the divot, as shown in FIG. 3-12. obtain. For example, the substrate is capable of undergoing selective anisotropic reactive ion etching, allowing Dibot 3-216 to be etched into adjacent layers 3-235. For example, in embodiments where the material 2-221 is made of metal and the adjacent layer 3-235 is silicon oxide, reactive ion plasma etching with a feed gas consisting of CHF 3 or CF 4 is used. It is possible to preferentially remove the exposed silicon oxide below the sample well to form the dibot 3-216. As used herein, "silicon oxide" generally represents SiO x and can include, for example, silicon dioxide.

いくつかの実施形態では、エッチの間のプラズマの中の条件(たとえば、基板に対するバイアス及び圧力)は、ディボット3-216のエッチ・プロファイルを決定するように制御され得る。たとえば、低い圧力(たとえば、約100mTorr未満)及び高いDCバイアス(たとえば、約20Vより大きい)において、エッチングは、高度に異方性であり、図面に示されているように、実質的に真っ直ぐで垂直になっているディボットの側壁部を形成することが可能である。より高い圧力及びより低いバイアスにおいて、エッチングは、より等方性であり、テーパが付けられ及び/又は湾曲しているディボットの側壁部を生み出すことが可能である。いくつかの実施形態では、ウェット・エッチが、ディボットを形成するために使用され得、それは、実質的に等方性であり、サンプル・ウェルの側壁部まで、又は、サンプル・ウェルの側壁部を越えて、材料2-221の下に横方向に延在し得るおおよそ球形のディボットを形成することが可能である。 In some embodiments, the conditions in the plasma during the etch (eg, bias and pressure on the substrate) can be controlled to determine the etch profile of the dibot 3-216. For example, at low pressures (eg, less than about 100 mTorr) and high DC bias (eg, greater than about 20V), the etching is highly anisotropic and is substantially straight, as shown in the drawings. It is possible to form a side wall of a vertical dibot. At higher pressures and lower biases, etching is more isotropic and is capable of producing tapered and / or curved divot sidewalls. In some embodiments, a wet etch can be used to form a divot, which is substantially isotropic and extends to the sidewall of the sample well, or to the sidewall of the sample well. Beyond, it is possible to form an approximately spherical divot that can extend laterally beneath material 2-221.

図3-13Aから図3-13Cは、サンプル・ウェル2-211よりも小さい横断方向の寸法を有するディボット3-216(たとえば、図3-7Bに示されているもののようなディボット)を形成するために使用され得るプロセス工程を示している。いくつかの実施形態では、サンプル・ウェルを形成した後に、コンフォーマルな犠牲層3-960が、サンプル・ウェルを含む領域の上に堆積され得る。いくつかの実施形態によれば、犠牲層3-960は、たとえば、化学蒸着(CVD)、プラズマ強化CVD、又は原子層堆積(ALD)など、蒸着プロセスによって堆積させられ得る。次いで、犠牲層は、犠牲層3-960に対して選択的な第1の異方性エッチを使用してエッチ・バックされ、水平方向の表面から層を除去し、図3-13Bに示されているように、サンプル・ウェルの壁部の上に側壁部コーティング3-962を残すことが可能である。エッチ・バックは、選択的であり、いくつかの実施形態では、材料2-221及び隣接する層3-235の上で止まることが可能であり、又は、いくつかの実施形態では、タイミングを選んで行われる非選択的なエッチであることが可能である。 3-13A through 3-13C form dibots 3-216 (eg, dibots such as those shown in FIG. 3-7B) having smaller transverse dimensions than sample wells 2-211. Shows the process steps that can be used for. In some embodiments, after forming the sample wells, a conformal sacrificial layer 3-960 may be deposited on the area containing the sample wells. According to some embodiments, the sacrificial layer 3-960 can be deposited by a vapor deposition process, such as, for example, chemical vapor deposition (CVD), plasma-enhanced CVD, or atomic layer deposition (ALD). The sacrificial layer is then etched back using a first anisotropic etch selective for the sacrificial layer 3-960, removing the layer from the horizontal surface and shown in FIG. 3-13B. As such, it is possible to leave a sidewall coating 3-962 on the wall of the sample well. The etch back is selective and in some embodiments it is possible to stop on material 2-221 and adjacent layers 3-235, or in some embodiments timing is chosen. It is possible that it is a non-selective etch performed in.

隣接する層3-235に対して選択的な第2の異方性エッチが、図3-13Cに示されているように、隣接する層の中へディボット3-216をエッチングするために実行され得る。次いで、犠牲側壁部コーティング3-962が、随意的に、選択的なウェット・エッチ又はドライ・エッチによって除去され得る。側壁部コーティングの除去は、ディボット3-216よりも大きい横断方向の寸法を有するようにサンプル・ウェルを開口する。 A second anisotropic etch selective for the adjacent layer 3-235 is performed to etch the dibot 3-216 into the adjacent layer, as shown in FIG. 3-13C. obtain. The sacrificial sidewall coating 3-962 can then optionally be removed by selective wet or dry etch. Removal of the sidewall coating opens the sample wells to have a larger transverse dimension than Divot 3-216.

いくつかの実施形態によれば、犠牲層3-960は、隣接する層3-235と同じ材料からなることが可能である。そのような実施形態では、第2のエッチは、ディボットが隣接する層3-235の中へエッチングされるときに、側壁部コーティング3-962のうちの少なくともいくつかを除去することが可能である。側壁部コーティングのこのエッチ・バックは、いくつかの実施形態では、テーパが付けられているディボットの側壁部を形成することが可能である。 According to some embodiments, the sacrificial layer 3-960 can be made of the same material as the adjacent layer 3-235. In such an embodiment, the second etch is capable of removing at least some of the side wall coatings 3-962 when the divot is etched into the adjacent layer 3-235. .. This etch back of the sidewall coating is, in some embodiments, capable of forming the sidewall of the tapered divot.

いくつかの実施形態では、犠牲層3-960は、サンプル・ウェルの側壁部を不動態化する(たとえば、サンプル・ウェルの側壁部におけるサンプルの付着を低減させる)ために使用される材料から形成され得、又は、その材料の層を含むことが可能である。次いで、層3-960のうちの少なくともいくつかは、ディボットの形成の後に、サンプル・ウェルの壁部の上に残され得る。 In some embodiments, the sacrificial layer 3-960 is formed from a material used to passivate the sidewalls of the sample well (eg, reduce sample adhesion on the sidewalls of the sample well). It is possible, or it is possible to include a layer of the material. At least some of layers 3-960 can then be left on the walls of the sample wells after the formation of the dibot.

いくつかの実施形態によれば、側壁部コーティング3-962の形成は、ディボットの形成の後に起こる。そのような実施形態では、層3-960が、ディボットの側壁部をコーティングしている。そのようなプロセスは、ディボットの側壁部を不動態化するために、及び、ディボットの中心にサンプルを局所化するために使用され得る。 According to some embodiments, the formation of the sidewall coating 3-962 occurs after the formation of the divot. In such an embodiment, layer 3-960 coats the sidewalls of the divot. Such a process can be used to passivate the sidewalls of the divot and to localize the sample to the center of the divot.

サンプル・ウェル2-211のベース、及び、不動態化層3-280に、接着性物質3-211を堆積させることに関連付けられるプロセス工程が、図3-14に示されている。いくつかの実施形態によれば、サンプル・ウェルは、サンプル・ウェルの壁部の上に第1の不動態化層3-280を含むことが可能である。第1の不動態化層は、たとえば、図3-13B又は図3-8に関連して上記に説明されているように形成され得る。いくつかの実施形態では、第1の不動態化層3-280は、任意の適切な堆積プロセス及びエッチ・バックによって形成され得る。いくつかの実施形態では、第1の不動態化層は、サンプル・ウェルがその中に形成される材料3-230を酸化させることによって形成され得る。たとえば、サンプル・ウェルは、アルミニウムから形成され得、アルミニウムは酸化させられ、サンプル・ウェルの側壁部の上にアルミナのコーティングを生成させることが可能である。 The process steps associated with depositing the adhesive material 3-2111 on the base of sample well 2-211 and the passivation layer 3-280 are shown in FIG. 3-14. According to some embodiments, the sample well can include a first passivation layer 3-280 over the wall of the sample well. The first passivation layer can be formed, for example, as described above in connection with FIG. 3-13B or FIG. 3-8. In some embodiments, the first passivation layer 3-280 can be formed by any suitable deposition process and etch back. In some embodiments, the first passivation layer can be formed by oxidizing the material 3-230 into which the sample wells are formed. For example, the sample wells can be formed from aluminum, which can be oxidized to form a coating of alumina on the sidewalls of the sample wells.

接着性物質3-980又は接着性物質前駆体(たとえば、接着性物質に優先的に結合する材料)は、図3-14Aに示されているように、異方性の物理的な堆積プロセス、たとえば、蒸着を使用して、基板の上に堆積され得る。接着性物質又は接着性物質前駆体は、図3-14Bに示されているように、サンプル・ウェルのベースに接着性物質層3-211を形成することが可能であり、サンプル・ウェルがその中に形成される材料2-221の上側表面をコーティングすることが可能である。図3-14Cに示されている、その後の角度付きの指向性堆積(シャドウ堆積又はシャドウ・エバポレーション・プロセスと称される場合もある)が、接着性物質層3-211を被覆することなく、材料2-221の上側表面の上に第2の不動態化層3-280を堆積させるために使用され得る。シャドウ堆積プロセスの間に、基板が、基板に対して垂直の軸線の周りに回転させられ得、第2の不動態化層2-280が、サンプル・ウェルの上側リムの周りにより均一に堆積するようになっている。結果として生じる構造体が、いくつかの実施形態によれば、図3-14Dに示されている。第2の不動態化層を堆積させることの代替として、平坦化エッチ(たとえば、CMP工程)が、材料2-221の上側表面から接着性物質を除去するために使用され得る。 The adhesive substance 3-980 or the adhesive substance precursor (eg, the material that preferentially binds to the adhesive substance) is an anisotropic physical deposition process, as shown in FIG. 3-14A. For example, vapor deposition can be used to deposit on a substrate. The adhesive material or the adhesive material precursor can form an adhesive material layer 3-2111 at the base of the sample well, as shown in FIG. 3-14B, which is the sample well. It is possible to coat the upper surface of the material 2-221 formed therein. Subsequent angled directional deposits (sometimes referred to as shadow deposits or shadow evaporation processes), shown in FIG. 3-14C, do not cover the adhesive layer 3-211. , Can be used to deposit a second passivation layer 3-280 on the upper surface of material 2-221. During the shadow deposition process, the substrate can be rotated around an axis perpendicular to the substrate, and the second passivation layer 2-280 deposits more evenly around the upper rim of the sample well. It has become like. The resulting structure is shown in Figure 3-14D, according to some embodiments. As an alternative to depositing a second passivation layer, a flattening etch (eg, a CMP step) can be used to remove the adhesive material from the upper surface of material 2-221.

いくつかの実施形態によれば、接着性物質層3-211は、図3-15に示されているように、テーパが付けられているサンプル・ウェルのベースにおいて、中央に堆積させられ得る。たとえば、接着性物質又は接着性物質前駆体は、図3-14Aに示されているように、上記に説明されているように形成された、テーパが付けられているサンプル・ウェルの中に、一方向に堆積させられ得る。サンプル・ウェルの壁部は、接着性物質層3-211の堆積の前又は後の酸化プロセスによって不動態化され得る。材料2-221の表面の上に残っている接着性物質又は前駆体は、図3-14Dに関連して説明されているように不動態化され得る。いくつかの実施形態では、材料2-221の上側表面の上の接着性物質は、化学的で機械的なポリッシング工程によって除去され得る。サンプル・ウェルのベースにおいて、接着性物質層又は接着性物質層前駆体を中央に形成することによって、サンプルからのエミッションに対する有害な効果(たとえば、サンプル壁部からのサンプル放射線の抑制又は消光、サンプル・ウェルの周りに形成されたエネルギー・カップリング構造体に対してサンプルが中央に位置付けされていないことに起因する、サンプルからの好ましくない放射線分布、サンプルに関する発光寿命時間に対する悪影響)が低減され得る。 According to some embodiments, the adhesive layer 3-211 can be centrally deposited at the base of the tapered sample well, as shown in FIG. 3-15. For example, the adhesive or adhesive precursor is contained in a tapered sample well formed as described above, as shown in FIG. 3-14A. Can be deposited in one direction. The walls of the sample wells can be passivated by an oxidation process before or after the deposition of adhesive layer 3-2111. Adhesives or precursors remaining on the surface of material 2-221 can be passivated as described in connection with FIG. 3-14D. In some embodiments, the adhesive material on the upper surface of material 2-221 can be removed by a chemical and mechanical polishing step. By centrally forming an adhesive layer or adhesive layer precursor at the base of the sample well, a detrimental effect on emissions from the sample (eg, suppression or quenching of sample radiation from the sample wall, sample). Unfavorable radiation distribution from the sample due to the sample not being centered to the energy coupling structure formed around the well, adverse effects on the emission lifetime of the sample) can be reduced. ..

いくつかの実施形態では、サンプル・ウェル及びディボットを形成するために使用されるリフト・オフ・パターニング、エッチング、及び堆積プロセスは、センサ・チップの上に集積されたCMOS回路を形成するために使用されるCMOSプロセスと互換性があることが可能である。したがって、センサは、従来のCMOS設備及び製作技法を使用して製作され得るが、いくつかの実施形態では、特注の又は専門の製作設備も使用され得る。 In some embodiments, the lift-off patterning, etching, and deposition processes used to form sample wells and divots are used to form an integrated CMOS circuit on a sensor chip. It is possible that it is compatible with the CMOS process. Thus, sensors can be manufactured using conventional CMOS equipment and fabrication techniques, but in some embodiments custom or specialized fabrication equipment can also be used.

上記に説明されているプロセス工程の変形例が、サンプル・ウェルの代替的な実施形態を形成するために使用され得る。たとえば、図3-7A又は図3-7Bに示されているものなどのような、テーパが付けられているサンプル・ウェルが、図3-14Cに示されている角度付きの堆積プロセスを使用して形成され得る。図3-7Bのサンプル・ウェルに関して、堆積の角度は、堆積プロセスの間に変化させられ得る。そのような実施形態に関して、実質的に真っ直ぐで垂直になっている側壁部を有するサンプル・ウェルが最初に形成され、次いで、追加的な材料2-221が、角度付きの堆積によって堆積され、サンプル・ウェルの側壁部にテーパを付けることが可能である。 Modifications of the process steps described above can be used to form alternative embodiments of sample wells. Tapered sample wells, such as those shown in Figure 3-7A or Figure 3-7B, use the angled deposition process shown in Figure 3-14C. Can be formed. For the sample wells in Figure 3-7B, the angle of deposition can be varied during the deposition process. For such embodiments, sample wells with substantially straight and vertical sidewalls are first formed, then additional material 2-221 is deposited by angled deposition and sampled. -It is possible to taper the side wall of the well.

B.励起エネルギーのサンプル・ウェルへのカップリング
図2-1,2-3に示すように、励起源2-250からの励起エネルギー2-251は、装置2-120の部品及びアッセイ・チップ2-110の部品を用いてサンプル・ウェル2-211に導かれる。このセクションでは、励起エネルギー2-251のサンプル・ウェル2-211へのカップリングを促進し得るアッセイ・チップ2-110の部品について記載する。
B. Coupling of Excitation Energy to Sample Wells As shown in Figures 2-1, 2-3, the excitation energy 2-251 from the excitation source 2-250 is the component of device 2-120 and the assay chip 2-110. Guided to sample wells 2-211 using the components of. This section describes the components of assay chip 2-110 that can facilitate the coupling of excitation energies 2-251 to sample wells 2-211.

励起供給源からサンプル・ウェルへのエネルギーのカップリングは、サンプル・ウェルの中に、及び/又は、サンプル・ウェルに隣接して、励起カップリング構造体を形成することによって改善され、又は、影響を及ぼされ得る。励起カップリング構造体は、いくつかの実施形態では、サンプル・ウェルの周りに製作されるマイクロ・スケール構造体もしくはナノ・スケール構造体からなることが可能であり、又は、いくつかの実施形態では、サンプル・ウェルにおいて形成される構造体もしくは粒子からなることが可能である。励起カップリング構造体は、いくつかの実施形態では、サンプルの放射励起に影響を及ぼすことが可能であり、いくつかの実施形態では、サンプルの非放射励起に影響を及ぼすことが可能である。さまざまな実施形態では、放射励起カップリング構造体は、サンプル・ウェルの励起領域の中の励起エネルギーの強度を増加させることが可能である。非放射励起カップリング構造体は、励起供給源(それは、放射又は非放射であることが可能である)からサンプルへの非放射エネルギー伝達経路を改善及び/又は変更することが可能である。 Energy coupling from the excitation source to the sample well is improved or affected by forming an excitation coupling structure within and / or adjacent to the sample well. Can be affected. Excited coupling structures can consist of micro-scale or nano-scale structures made around sample wells in some embodiments, or in some embodiments. , Can consist of structures or particles formed in the sample wells. The excitation coupling structure can affect the radiative excitation of the sample in some embodiments and can affect the non-radiative excitation of the sample in some embodiments. In various embodiments, the radiated excitation coupling structure is capable of increasing the intensity of the excitation energy within the excitation region of the sample well. The non-radiatively excited coupling structure is capable of improving and / or altering the non-radiant energy transfer path from the excited source (which can be radiant or non-radiative) to the sample.

C.放射励起カップリング構造体
複数の異なるタイプの放射励起カップリング構造体が存在しており、それは、励起供給源からサンプル・ウェルの中の励起領域への励起エネルギーのカップリングに影響を及ぼすために使用され得る。いくつかの放射カップリング構造体は、導体(たとえば、金属層を含む)から形成され得、また、サンプル・ウェルの近く及び/又はサンプルウェル内の励起エネルギーに局所的に影響を及ぼす(たとえば、電磁界を局所的に変更する)表面プラズモン振動をサポートする。いくつかのケースでは、表面プラズモン構造体が、サンプル・ウェルの励起領域の中の励起エネルギーを2倍以上増強することが可能である。いくつかの放射カップリング構造体は、励起場の位相及び/又は振幅を変更し、サンプル・ウェルの中の励起エネルギーを増強することが可能である。放射励起カップリング構造体のさまざまな実施形態が、この章において説明されている。
C. Radiation Excited Coupling Structures There are several different types of radiation excited coupling structures to affect the coupling of excitation energy from the excitation source to the excitation region in the sample well. Can be used. Some radiated coupling structures can be formed from conductors (eg, including metal layers) and also locally affect the excitation energy near and / or within the sample wells. Supports surface plasmon vibration (which locally modifies the electromagnetic field). In some cases, the surface plasmon structure is capable of increasing the excitation energy in the excitation region of the sample well more than twice. Some radiative coupling structures are capable of altering the phase and / or amplitude of the excitation field to enhance the excitation energy in the sample wells. Various embodiments of the radiated excitation coupling structure are described in this chapter.

図4-1Aは、サンプル・ウェルの中への励起エネルギーのカップリングを増強するために使用され得る表面プラズモン構造体4-120の単に1つの例を示している。図面は、表面プラズモン構造体4-120の周りの領域の平面図を示しており、構造体の周りの電界強度の数値シミュレーションの結果を表している。図面は、サンプル・ウェル(図示せず)に極めて接近して位置付けされている鋭い頂点を有する、3つの三角形の特徴からなる表面プラズモン構造体を示している。いくつかの実施形態によれば、表面プラズモン構造体は、金属又は導体(たとえば、以下の金属又は金属合金:Al、Au、Ag、Ti、TiNのうちのいずれか1つ又はそれらの組み合わせのパターニングされた薄い膜)からなることが可能である。膜の厚さは、いくつかの実施形態では、おおよそ10nmからおおよそ100nmの間であることが可能であるが、他の実施形態では、他の厚さも使用され得る。いくつかの実施形態では、表面プラズモン構造体は、サンプル・ウェルに極めて接近して位置付けされている鋭い特徴4-110を含むことが可能である(たとえば、約100nm以内)。 FIG. 4-1A shows just one example of a surface plasmon structure 4-120 that can be used to enhance the coupling of excitation energy into the sample wells. The drawings show a plan view of the region around the surface plasmon structure 4-120 and represent the results of a numerical simulation of the electric field strength around the structure. The drawing shows a surface plasmon structure consisting of three triangular features with sharp vertices located very close to the sample well (not shown). According to some embodiments, the surface plasmon structure is patterned with a metal or conductor (eg, any one of the following metals or metal alloys: Al, Au, Ag, Ti, TiN, or a combination thereof: It is possible to consist of a thin film). The thickness of the membrane can be between about 10 nm and about 100 nm in some embodiments, but in other embodiments other thicknesses may be used. In some embodiments, the surface plasmon structure is capable of containing sharp features 4-110 that are located very close to the sample well (eg, within about 100 nm).

図4-1Bは、点線において取られた、図4-1Aの表面プラズモン構造体の断面立面図を示している。シミュレーションは、表面プラズモン構造体の三角形の頂点に隣接する励起エネルギーの局所化された高強度領域4-505を示している。このシミュレーションに関して、表面プラズモン構造体4-120は、誘電体層4-135(二酸化ケイ素)の上に位置付けされていた。表面プラズモン構造体は、導波路のエバネセント場からのエネルギーをタップし、サンプル・ウェルにおける強度を増強する。 FIG. 4-1B shows a cross-sectional elevation view of the surface plasmon structure of FIG. 4-1A taken along the dotted line. The simulation shows a localized high intensity region 4-505 of the excitation energy adjacent to the vertices of the triangle of the surface plasmon structure. For this simulation, the surface plasmon structure 4-120 was positioned on the dielectric layer 4-135 (silicon dioxide). The surface plasmon structure taps the energy from the evanescent field of the waveguide to increase the strength in the sample well.

いくつかの実施形態では、表面プラズモン構造体による励起エネルギーの増強は、深いサンプル・ウェル2-211が必要とされない程度にまで局所化され得る。たとえば、高強度領域4-505が、おおよそ100nmの直径を有するように形成され、領域の外側の強度の約80%よりも大きいピーク強度値を備える場合には、深いサンプル・ウェルは必要とされない可能性がある。高強度領域4-505の中のサンプルだけが、検出の目的のために、かなりのエミッションに寄与するであろう。 In some embodiments, the enhancement of excitation energy by the surface plasmon structure can be localized to the extent that deep sample wells 2-211 are not required. For example, deep sample wells are not required if the high intensity region 4-505 is formed to have a diameter of approximately 100 nm and has a peak intensity value greater than about 80% of the intensity outside the region. there is a possibility. Only samples within the high intensity region 4-505 will contribute significant emissions for detection purposes.

入射電磁界が表面プラズモン構造体と相互作用するときには、表面波電流が構造体の中に発生させられる。構造体の形状は、これらの表面プラズモンの強度及び分布に影響を及ぼすことが可能である。これらの局所化された電流は、たとえば、図4-1Bの高強度領域4-505によって示されているように、表面プラズモン構造体の直ぐ付近の入射電磁界と相互作用し、それをかなり変更し、それを増大させることが可能である。いくつかの実施形態では、表面プラズモン構造体の近くでエネルギーを放出するエミッター(たとえば、蛍光性タグ)は、構造体によって変更されるそのエミッションを有することが可能であり、エミッターからの遠視野放射線パターンを変更するようになっている。 When the incident electromagnetic field interacts with the surface plasmon structure, a surface wave current is generated in the structure. The shape of the structure can affect the strength and distribution of these surface plasmons. These localized currents interact with and significantly alter the incident electromagnetic field in the immediate vicinity of the surface plasmon structure, for example, as shown by the high intensity region 4-505 in FIG. 4-1B. And it is possible to increase it. In some embodiments, an emitter that emits energy near a surface plasmon structure (eg, a fluorescent tag) can have its emissions modified by the structure, and far-field radiation from the emitter. The pattern is changed.

表面プラズモン構造体4-122の別の実施形態が、図4-1Cの平面図に示されている。図示されているちょうネクタイ構造体は、サンプル・ウェル2-211に隣接して位置付けされている2つの三角形の金属製の構造体からなることが可能である。構造体は、たとえば、サンプル・ウェルの下方に、及び/又は、サンプル・ウェルの励起領域に隣接して、パターニングされ得る。いくつかの実施形態では、サンプル・ウェルと表面プラズモン構造体の鋭い特徴4-125との間にギャップ4-127が存在することが可能である。ギャップ4-127は、いくつかの実施形態によれば、おおよそ10nmからおおよそ200nmの間であることが可能である。いくつかの実施形態では、ギャップ4-127は、おおよそ10nmからおおよそ100nmの間であることが可能である。鋭い特徴4-125は、図面に示されているように、表面プラズモン構造体の縁部の中のポイント又は鋭い曲げからなることが可能である。鋭い特徴は、任意の適切な形状を有することが可能である。いくつかの実施形態では、鋭い特徴4-125の曲げ半径は、入射励起エネルギーに関連付けられるおおよそ5つの波長よりも小さいことが可能である。いくつかの実施形態では、鋭い特徴4-125の曲げ半径は、入射励起エネルギーに関連付けられるおおよそ2つの波長よりも小さいことが可能である。いくつかの実施形態では、鋭い特徴4-125の曲げ半径は、入射励起エネルギーによって励起される表面プラズモン波に関連付けられるおおよそ5つの波長よりも小さいことが可能である。いくつかの実施形態では、鋭い特徴4-125の曲げ半径は、入射励起エネルギーによって励起される表面プラズモン波に関連付けられるおおよそ2つの波長よりも小さいことが可能である。 Another embodiment of the surface plasmon structure 4-122 is shown in the plan view of FIG. 4-1C. The bow tie structure shown can consist of two triangular metal structures located adjacent to sample wells 2-211. The structure can be patterned, for example, below the sample well and / or adjacent to the excited region of the sample well. In some embodiments, it is possible that a gap 4-127 is present between the sample well and the sharp feature 4-125 of the surface plasmon structure. The gap 4-127 can be between approximately 10 nm and approximately 200 nm, according to some embodiments. In some embodiments, the gap 4-127 can be between approximately 10 nm and approximately 100 nm. Sharp features 4-125 can consist of points or sharp bends within the edges of the surface plasmon structure, as shown in the drawings. Sharp features can have any suitable shape. In some embodiments, the bend radius of the sharp feature 4-125 can be less than approximately five wavelengths associated with incident excitation energies. In some embodiments, the bend radius of the sharp feature 4-125 can be less than approximately two wavelengths associated with the incident excitation energy. In some embodiments, the bend radius of the sharp feature 4-125 can be less than approximately five wavelengths associated with surface plasmon waves excited by incident excitation energies. In some embodiments, the bend radius of the sharp feature 4-125 can be less than approximately two wavelengths associated with a surface plasmon wave excited by incident excitation energies.

いくつかの実施形態によれば、表面プラズモン構造体4-122は、図4-1Dの立面図に図示されているように、サンプル・ウェル2-211の中にパターニングされ得る。いくつかの実施形態では、サンプル・ウェルの中の表面プラズモン構造体は、図面に示されているように、サンプル・ウェルの側壁部の上にパターニングされている1つ又は複数のフィンガー(たとえば、金属製のフィンガー)からなることが可能である。図4-1Eは、サンプル・ウェルの中の側壁部の上に形成された表面プラズモン構造体5-122を示すサンプル・ウェル2-211の平面図を示している。いくつかの実施形態では、これらの表面プラズモン構造体4-122の下側端部が、鋭い特徴又は曲げを形成し、そこで、電磁界が増強されることとなる。表面プラズモン構造体4-122は、サンプル・ウェルのベースまで延在してもよいし、又は延在しなくてもよい。 According to some embodiments, the surface plasmon structure 4-122 can be patterned in sample wells 2-211, as illustrated in the elevation of FIG. 4-1D. In some embodiments, the surface plasmon structure within the sample well is patterned with one or more fingers (eg, for example) on the sidewall of the sample well, as shown in the drawing. It can consist of metal fingers). FIG. 4-1E shows a plan view of the sample well 2-211 showing the surface plasmon structure 5-122 formed on the side wall portion in the sample well. In some embodiments, the lower ends of these surface plasmon structures 4-122 form sharp features or bends, where the electromagnetic fields are enhanced. The surface plasmon structure 4-122 may or may not extend to the base of the sample well.

いくつかの実施形態では、表面プラズモン構造体4-122は、励起エネルギー及び/又はサンプル・ウェルから放出されるエネルギーの分極に影響を及ぼすように配置され得る。たとえば、図4-1Eに示されているようなパターンは、線形のもしくは楕円形の励起分極の好適な配向、及び/又は、サンプル・ウェルの中のエミッターからの線形のもしくは楕円形の分極の好適な配向に影響を及ぼすために使用され得る。 In some embodiments, the surface plasmon structure 4-122 may be arranged to affect the polarization of the excitation energy and / or the energy emitted from the sample well. For example, a pattern as shown in FIG. 4-1E is a preferred orientation of linear or elliptical excitation polarization and / or linear or elliptical polarization from an emitter in a sample well. It can be used to influence the preferred orientation.

表面プラズモン構造体は、図4-1Aから図4-1Eに示されているもの以外の形状でパターニングされ得る。たとえば、表面プラズモン構造体は、いくつかの実施形態によれば、図4-2Aに示されているように、規則的な又は周期的な構造体としてパターニングされ得る。たとえば、表面プラズモン構造体は、サンプル・ウェル2-211がその中に形成されている材料2-221の下側表面の上の突出する特徴4-210のアレイとしてパターニングされ得る。周期的な表面プラズモン構造体は、たとえば、グレーチング、グリッド、格子、円形のグレーチング、スパイラル・グレーチング、楕円形のグレーチング、又は、任意の他の適切な構造体など、規則的なアレイで形成され得る。表面プラズモン構造体の突出部4-210同士の間に実質的に均一なスペーシングが存在することが可能である。いくつかの実施形態では、スペーシングは、おおよそ40nmからおおよそ250nmの間の任意の値を有することが可能である。いくつかの実施形態によれば、突出部は、おおよそ20nmからおおよそ100nmの間の高さhを有することが可能である。いくつかの実施形態では、スペーシングsは、不均一であること場合があり、又は、チャープされる場合がある(chirped)(より大きい半径方向の距離において減少する値を有する)。いくつかの実施形態では、表面プラズモン構造体の突出部5-210は、フレネル・ゾーン・プレートとしてパターニングされ得る。いくつかの実施形態によれば、4-210の表面プラズモン構造体は、透明な層及び/又は誘電体層3-235に隣接して形成され得る。いくつかの実施形態では、突出部4-210の間の空隙(スペーシング)は周期的であってもよく、他の実施形態では突出部4-210は非周期的であってもよい。 The surface plasmon structure can be patterned in a shape other than that shown in FIGS. 4-1A to 4-1E. For example, the surface plasmon structure can be patterned as a regular or periodic structure, as shown in FIG. 4-2A, according to some embodiments. For example, the surface plasmon structure can be patterned as an array of protruding features 4-210 on the underside surface of material 2-221 in which sample wells 2-211 are formed. Periodic surface plasmon structures can be formed in regular arrays such as gratings, grids, grids, circular gratings, spiral gratings, oval gratings, or any other suitable structure. .. It is possible that there is a substantially uniform spacing between the protrusions 4-210 of the surface plasmon structure. In some embodiments, the spacing can have any value between approximately 40 nm and approximately 250 nm. According to some embodiments, the protrusion can have a height h between about 20 nm and about 100 nm. In some embodiments, the spacing s may be non-uniform or chirped (has a decreasing value over a larger radial distance). In some embodiments, the protrusions 5-210 of the surface plasmon structure can be patterned as Fresnel zone plates. According to some embodiments, the surface plasmon structure of 4-210 can be formed adjacent to a transparent layer and / or a dielectric layer 3-235. In some embodiments, the gap (spacing) between the protrusions 4-210 may be periodic, and in other embodiments the protrusions 4-210 may be aperiodic.

いくつかの実施形態では、表面プラズモン構造体4-212は、図4-2Bに示されているように、サンプル・ウェルがその中に形成されている材料2-221から間隔を置いて配置され得る。たとえば、表面プラズモン構造体4-212と材料4-230との間に介在誘電体層4-247が存在することが可能である。いくつかの実施形態によれば、表面プラズモン構造体4-212は、図面に示されているように、サンプル・ウェルのディボット3-216に隣接して位置付けされ得る。たとえば、表面プラズモン構造体4-212は、図4-2Bに示されているように、ディボット3-216の側壁部に隣接して位置付けされ得る。 In some embodiments, the surface plasmon structure 4-212 is spaced from the material 2-221 in which the sample wells are formed, as shown in FIG. 4-2B. obtain. For example, it is possible that an intervening dielectric layer 4-247 exists between the surface plasmon structure 4-212 and the material 4-230. According to some embodiments, the surface plasmon structure 4-212 may be positioned adjacent to the sample well dibot 3-216, as shown in the drawings. For example, the surface plasmon structure 4-212 may be positioned adjacent to the sidewall of the Divot 3-216, as shown in FIG. 4-2B.

図4-2Cは、同心円状の円形のグレーチングとして形成されている表面プラズモン構造体4-214を図示している。構造体4-214は、いくつかの実施形態によれば、同心円状の導電性リング4-215からなることが可能である。リングは、規則的なスペーシングsによって分離され得、図4-2Aに関連して説明されているように、高さhを有することが可能である。いくつかの実施形態によれば、随意的なディボットを備えるサンプル・ウェル4-210が、リングの中央に位置付けされ得る。円形のグレーチングは、サンプル・ウェルのベースに隣接してパターニングされ得る。 FIG. 4-2C illustrates the surface plasmon structure 4-214 formed as concentric circular gratings. Structure 4-214 can consist of concentric conductive rings 4-215, according to some embodiments. The rings can be separated by regular spacing s and can have a height h as described in connection with FIG. 4-2A. According to some embodiments, a sample well 4-210 with a voluntary dibot can be located in the center of the ring. The circular grating can be patterned adjacent to the base of the sample well.

表面プラズモン構造体の周期性は、いくつかの実施形態によれば、共鳴構造体を形成するように選択され得る。たとえば、表面プラズモン構造体のスペーシングsは、励起エネルギーによって構造体の中に発生させられる表面プラズモン波のおおよそ2分の1の波長となるように選択され得る。共鳴構造体として形成されているときには、表面プラズモン構造体は、周期的な表面プラズモン構造体の方向に沿って、励起エネルギーを蓄積及び共鳴させることが可能である。そのような共鳴挙動は、図4-2Dに示されているように、サンプル・ウェルの中の、又は、サンプル・ウェルに隣接する、電磁エネルギーを増大させることが可能である。表面プラズモン構造体のスペーシングはいくつかの実施形態では周期的であってもよいが、他の実施形態では非周期的であってもよい。非周期的なスペーシングの使用は、電磁場の増大が励起エネルギーの波長及びこれに伴うエミッション・エネルギーの波長のために特別にデザインされたものとすることを可能にする。図4-2Dは、サンプル・ウェルのベースにおいて、及び、周期的な表面プラズモン構造体の周りで、数値的にシミュレートされた電磁界結果を表している。表面プラズモン構造体4-216は、サンプル・ウェルがその中に形成されている材料2-221に隣接して位置付けされており、また、サンプル・ウェル2-211のベースに隣接している。表面プラズモン構造体は、サンプル・ウェルから離れた領域及びシミュレートされた領域の外側の領域において、規則的又は不規則的なスペーシング・インターバルで繰り返すグレーチング又は円形のグレーチングの形態であることが可能である。たとえば、3回から50回の間で繰り返された表面プラズモン構造体4-216のグレーチング突出部が存在することが可能である。高い強度の領域4-240が、サンプル・ウェル2-211のベースにおいて見られ得る。この領域の中の強度は、表面プラズモン構造体の直ぐ下方の取り囲む領域の上で2倍以上に増強され得る。 The periodicity of the surface plasmon structure can be selected to form a resonant structure, according to some embodiments. For example, the spacing s of the surface plasmon structure may be selected to be approximately half the wavelength of the surface plasmon wave generated in the structure by the excitation energy. When formed as a resonance structure, the surface plasmon structure is capable of accumulating and resonating excitation energy along the direction of the periodic surface plasmon structure. Such resonance behavior can increase electromagnetic energy in or adjacent to the sample well, as shown in FIG. 4-2D. The spacing of the surface plasmon structure may be periodic in some embodiments, but may be aperiodic in other embodiments. The use of aperiodic spacing allows the increase in electromagnetic fields to be specially designed for the wavelength of excitation energy and the associated wavelength of emission energy. FIG. 4-2D shows numerically simulated electromagnetic field results at the base of the sample well and around the periodic surface plasmon structure. The surface plasmon structure 4-216 is positioned adjacent to the material 2-221 in which the sample well is formed and is adjacent to the base of the sample well 2-211. The surface plasmon structure can be in the form of repeating or circular gratings at regular or irregular spacing intervals in areas away from the sample wells and outside the simulated areas. Is. For example, it is possible that there is a grating overhang of the surface plasmon structure 4-216 that is repeated between 3 and 50 times. High intensity regions 4-240 can be seen at the base of sample wells 2-211. The strength within this region can be increased more than double on the surrounding region just below the surface plasmon structure.

図4-2Eは、共鳴表面プラズモン構造体4-218の代替的な実施形態を立面図で示している。いくつかの実施形態によれば、表面プラズモン構造体は、周期的又は非周期的なグレーチング又はグリッド・パターンとして形成され得、また、複数の層4-247の中にパターニングされ得る。サンプル・ウェル2-211は、いくつかの実施形態によれば、複数の層4-247を通して、及び、共鳴表面プラズモン構造体4-218の中に、パターニングされ得る。いくつかの実施形態では、共鳴表面プラズモン構造体は、図4-2Fの平面図に示されているように、別個の導電性エレメント4-222からなることが可能である。いくつかの実施形態では、共鳴表面プラズモン構造体は、図5-2Gに示されているように、連続的な格子パターン4-250からなることが可能である。誘電体充填材4-252が、導電性材料4-250のボイドの中に位置付けされ得、サンプル・ウェル2-211が、ボイドとともに位置付けされ得る。 FIG. 4-2E shows in elevation an alternative embodiment of the resonant surface plasmon structure 4-218. According to some embodiments, the surface plasmon structure can be formed as a periodic or aperiodic grating or grid pattern and can be patterned in multiple layers 4-247. Sample wells 2-211, according to some embodiments, can be patterned through multiple layers 4-247 and within the resonant surface plasmon structure 4-218. In some embodiments, the resonant surface plasmon structure can consist of a separate conductive element 4-222, as shown in the plan view of FIG. 4-2F. In some embodiments, the resonant surface plasmon structure can consist of a continuous lattice pattern 4-250, as shown in FIG. 5-2G. The dielectric filler 4-252 can be positioned within the voids of the conductive material 4-250 and the sample wells 2-211 can be positioned with the voids.

サンプル・ウェルの中へのカップリングを増強するために、又は、サンプル・ウェルの中のサンプルからのエミッションに影響を及ぼすために使用され得る、さまざまな異なる表面プラズモン構造体が存在している。図4-2Hは、表面プラズモン構造体のさらに代替的な実施形態を平面図で示している。構造体の立面図が、図4-2Iに示されている。いくつかの実施形態によれば、表面プラズモン構造体は、サンプル・ウェル2-211の周りに分散されたディスクのアレイからなることが可能である。いくつかの実施形態では、導電性ディスク4-260を使用する代わりに、表面プラズモン構造体は、導電層からなることが可能であり、分散されたホールのパターンが、導電層を通して形成される。そのような構造体は、「ナノ・アンテナ」と称され得る。 There are a variety of different surface plasmon structures that can be used to enhance coupling into the sample wells or to influence emissions from the samples in the sample wells. FIG. 4-2H shows a further alternative embodiment of the surface plasmon structure in plan view. An elevation view of the structure is shown in FIG. 4-2I. According to some embodiments, the surface plasmon structure can consist of an array of disks dispersed around sample wells 2-211. In some embodiments, instead of using a conductive disk 4-260, the surface plasmon structure can consist of a conductive layer, and a pattern of dispersed holes is formed through the conductive layer. Such a structure may be referred to as a "nano antenna".

さまざまな異なるプロセスが、サンプル・ウェルに隣接して表面プラズモン構造体をパターニングするために使用され得る。図4-3Aから図4-5Eは、いくつかの実施形態によれば、サンプル・ウェルに隣接して表面プラズモン構造体を形成するために使用され得るプロセス工程に関連付けられる構造体を示している。ここで図4-3Aを参照すると、表面プラズモン構造体を形成するためのプロセスは、マスキング層4-330の上の反射防止コーティング(ARC)4-320の上にレジスト層4-310を形成することからなることが可能である。層は、いくつかの実施形態によれば、透明な誘電体層3-235の上に配設され得る。レジスト層4-310は、リソグラフィーによってパターニングされ得るフォトレジスト又は電子-ビーム・レジストもしくはイオン-ビーム・レジストからなることが可能である。マスキング層4-330は、いくつかの実施形態によれば、無機材料(たとえば、シリコンもしくはシリカ窒化物、又は、任意の他の適切な材料)から形成されたハード・マスクからなることが可能である。 A variety of different processes can be used to pattern surface plasmon structures adjacent to sample wells. 4-3A through 4-5E show structures associated with process steps that can be used to form surface plasmon structures adjacent to sample wells, according to some embodiments. .. Referring here to FIG. 4-3A, the process for forming a surface plasmon structure forms a resist layer 4-310 on an antireflection coating (ARC) 4-320 on a masking layer 4-330. It is possible to consist of things. The layer may be disposed on top of the transparent dielectric layer 3-235, according to some embodiments. The resist layer 4-310 can consist of a photoresist or electron-beam resist or ion-beam resist that can be patterned by lithography. The masking layer 4-330 can consist of a hard mask made of an inorganic material (eg, silicon or silica nitride, or any other suitable material), according to some embodiments. be.

いくつかの実施形態では、フォトリソグラフィック・プロセスは、図4-3Bに示されているように、レジスト4-310をパターニングするために使用され得る。選択されるパターンは、所望の表面プラズモン構造体を形成するために使用されることとなる突出部又はホールのレイアウトからなることが可能である。レジスト4-310の現像の後に、ARCの領域は、露出されることとなり、パターンが、ARC層4-320の中へ、及び、次いで、マスキング層4-330の中へ、エッチングされ得る。レジスト及びARCは、基板から剥がされ得、結果として生じる構造体が、図4-3Cに示されているように出現することが可能である。次いで、マスキング層4-330は、エッチ・マスクとして使用され得、パターンが、図4-3Dに示されているように、選択的な異方性エッチを介して、下層にある誘電体層3-235の中へ伝達され得るようになっている。 In some embodiments, a photolithographic process can be used to pattern resist 4-310, as shown in FIG. 4-3B. The pattern selected can consist of a layout of protrusions or holes that will be used to form the desired surface plasmon structure. After development of resist 4-310, the area of ARC will be exposed and the pattern can be etched into ARC layer 4-320 and then into masking layer 4-330. The resist and ARC can be stripped from the substrate and the resulting structure can appear as shown in FIG. 4-3C. The masking layer 4-330 can then be used as an etch mask and the pattern is the underlying dielectric layer 3 via selective anisotropic etching, as shown in FIG. 4-3D. It can be transmitted into -235.

次いで、導電性材料2-221、又は、導体からなる材料の層が、図4-3Eに図示されているように、領域の上に堆積させられ得る。任意の適切な導電性材料が、それが材料2-221とは別々の層として堆積されるかどうかにかかわりなく、表面プラズモン構造体を形成するために使用され得る。たとえば、いくつかのケースでは、第1の導電性材料は、表面プラズモン構造体がその中に形成されている材料2-221のベース層として堆積させられ得る。表面プラズモン構造体を形成するために使用され得る材料の例は、それに限定されないが、Au、Al、Ti、TiN、Ag、Cu、及び、合金、又は、それらの組み合わせ層を含む。 A layer of conductive material 2-221, or a material consisting of a conductor, can then be deposited over the region, as illustrated in FIG. 4-3E. Any suitable conductive material can be used to form a surface plasmon structure, whether or not it is deposited as a separate layer from material 2-221. For example, in some cases, the first conductive material may be deposited as the base layer of material 2-221 in which the surface plasmon structure is formed. Examples of materials that can be used to form surface plasmon structures include, but are not limited to, Au, Al, Ti, TiN, Ag, Cu, and alloys, or a combination layer thereof.

材料2-221、又は、材料の層は、それに限定されないが、物理的な堆積プロセス又は化学蒸着プロセスを含む、任意の適切な堆積プロセスによって堆積させられ得る。いくつかの実施形態では、材料2-221は、おおよそ80nmからおおよそ300nmの間の厚さを有することが可能である。いくつかの実施形態では、材料2-221は、(たとえば、CMPプロセスを使用して)平坦化され得るが、平坦化は必要ではない。サンプル・ウェルは、サンプル・ウェルを製作することに関連して本明細書で説明されている任意の適切なプロセスを使用して、材料2-221の中に形成され得る。 Material 2-221, or a layer of material, can be deposited by any suitable deposition process, including but not limited to physical deposition processes or chemical vapor deposition processes. In some embodiments, the material 2-221 is capable of having a thickness between approximately 80 nm and approximately 300 nm. In some embodiments, material 2-221 can be flattened (eg, using a CMP process), but flattening is not required. Sample wells can be formed in material 2-221 using any suitable process described herein in connection with making sample wells.

本発明者は、図4-3Aから図4-3Eに示されている工程にしたがって表面プラズモン構造体を形成することは、表面プラズモン構造体に対するサンプル・ウェルの正確なアライメントを必要とする可能性があるということを認識した。たとえば、図4-2Cに示されているように、同心円状のグレーチングからなる表面プラズモン構造体は、表面プラズモン構造体4-214の中心に対するサンプル・ウェル2-211の正確なアライメントを必要とする可能性がある。そのような正確なアライメントに関連付けられる製作の困難性を回避するために、図4-4Aから図4-5Eに示されている自己整合プロセスを用いてもよい。 We have found that forming a surface plasmon structure according to the steps shown in FIGS. 4-3A to 4-3E may require precise alignment of the sample wells to the surface plasmon structure. I realized that there is. For example, as shown in FIG. 4-2C, a surface plasmon structure consisting of concentric gratings requires accurate alignment of sample wells 2-211 with respect to the center of the surface plasmon structure 4-214. there is a possibility. To avoid the manufacturing difficulties associated with such precise alignment, the self-alignment process shown in FIGS. 4-4A-4E may be used.

ここで図4-4Aを参照すると、表面プラズモン構造体と、表面プラズモン構造体に自己整合されるサンプル・ウェルとを形成するためのプロセスは、透明な誘電体層2-235の上にマスキング層4-410を形成することからなることが可能である。マスキング層は、いくつかの実施形態によれば、シリコン又はシリカ窒化物などのような、無機材料から形成されたハード・マスクからなることが可能である。マスキング層4-410の厚さは、サンプル・ウェル2-212の所望の高さにおおよそ等しいことが可能である。たとえば、マスキング層の厚さは、いくつかの実施形態によれば、おおよそ50nmからおおよそ200nmの間であることが可能であるが、他の実施形態では、他の厚さも使用され得る。 Referring here to FIG. 4-4A, the process for forming the surface plasmon structure and the sample well self-aligned with the surface plasmon structure is a masking layer on top of the transparent dielectric layer 2-235. It can consist of forming 4-410. The masking layer can consist of a hard mask made of an inorganic material, such as silicon or silica nitride, according to some embodiments. The thickness of the masking layer 4-410 can be approximately equal to the desired height of the sample well 2-212. For example, the thickness of the masking layer can be between approximately 50 nm and approximately 200 nm according to some embodiments, but in other embodiments other thicknesses may be used.

マスキング層4-410は、誘電体層2-235の中にパターニングされることとなる表面プラズモン構造体の所望のパターンを有するボイド4-430を生成させるためにパターニングされ得る。マスキング層4-410のパターニングは、任意の適切なリソグラフィー・プロセス(たとえば、フォトリソグラフィー、電子-ビーム・リソグラフィー、イオン-ビーム・リソグラフィー、EUVリソグラフィー、X線リソグラフィー)によって行われ得る。結果として生じる構造体は、図4-4Bに示されているように出現することが可能である。構造体は、中央ピラー4-420を含むことが可能であり、中央ピラー4-420は、その後に、自己整合されたサンプル・ウェルを形成するために使用されることとなる。 The masking layer 4-410 can be patterned to generate a void 4-430 having the desired pattern of surface plasmon structure to be patterned in the dielectric layer 2-235. The patterning of the masking layer 4-410 can be performed by any suitable lithography process (eg, photolithography, electron-beam lithography, ion-beam lithography, EUV lithography, X-ray lithography). The resulting structure can appear as shown in Figure 4-4B. The structure can include central pillars 4-420, which will then be used to form self-aligned sample wells.

次いで、レジスト4-440(たとえば、フォトレジスト)は、図4-4Cに示されているように、パターニングされたマスキング層4-410の上にパターニングされ得る。レジスト4-440をパターニングするためのアライメント(たとえば、基板に対するマスクのアライメント)は、高度に正確である必要はなく、単に、中央ピラー4-420を被覆するために、及び、表面プラズモン構造体を形成するために使用されることとなるボイド4-430を被覆しないために、レジスト4-440を必要とする。 The resist 4-440 (eg, photoresist) can then be patterned onto the patterned masking layer 4-410, as shown in FIG. 4-4C. The alignment for patterning resist 4-440 (eg, the alignment of the mask with respect to the substrate) does not have to be highly accurate, just to cover the central pillar 4-420 and to the surface plasmon structure. Resist 4-440 is required to not coat the void 4-430 that will be used to form.

次いで、選択的な異方性エッチが、いくつかの実施形態によれば、図4-4Dに示されているように、誘電体層2-235をエッチングするために、及び、誘電体の中へ表面プラズモン構造体のパターンを伝達するために、使用され得る。次いで、選択的な等方性エッチが、マスキング層4-410の露出された部分を除去するために使用され得る。等方性エッチは、たとえば、ウェット・エッチであることが可能であるが、いくつかの実施形態では、等方性ドライ・エッチも使用され得る。レジスト4-440が中央ピラー4-420を覆うので、中央ピラーは、図4-4Eに示されているように、エッチングされることとならず、基板の上にあるままになることとなる。次いで、レジスト4-440が、図4-4Fに示されているように、基板から剥がされ、ピラー4-420を露出させることが可能である。 Selective anisotropic etching is then performed to etch the dielectric layer 2-235 and in the dielectric, as shown in FIG. 4-4D, according to some embodiments. It can be used to convey the pattern of surface plasmon structures to. A selective isotropic etch can then be used to remove the exposed portion of the masking layer 4-410. The isotropic etch can be, for example, a wet etch, but in some embodiments, an isotropic dry etch can also be used. Since the resist 4-440 covers the central pillar 4-420, the central pillar will not be etched and will remain on the substrate, as shown in FIG. 4-4E. The resist 4-440 can then be stripped from the substrate to expose pillars 4-420, as shown in FIG. 4-4F.

次いで、いくつかの実施形態によれば、金属導電性材料2-221、又は、導電性材料を含む材料のスタックが、図4-4Gに図示されているように、領域の上に堆積させられ得る。次いで、中央ピラー4-420、及び、ピラーの上に堆積された材料のキャップが、ピラーの選択的なウェット・エッチによって除去され、キャップをリフト・オフすることが可能である。中央ピラーの除去は、下層にある表面プラズモン構造体4-450に自己整合されているサンプル・ウェルを残す。 Then, according to some embodiments, a metal conductive material 2-221, or a stack of materials containing the conductive material, is then deposited onto the region as illustrated in FIG. 4-4G. obtain. The central pillar 4-420 and the cap of material deposited on the pillar are then removed by selective wet etching of the pillar and the cap can be lifted off. Removal of the central pillar leaves sample wells self-aligned with the underlying surface plasmon structure 4-450.

代替的なプロセスが、表面プラズモン構造体に自己整合されるサンプル・ウェルを形成するために使用され得、それは、図4-5Aから図4-5Eに示されている。いくつかの実施形態によれば、1つ又は複数の導電層4-510、4-520は、図4-5Aに示されているように、任意の適切なリソグラフィー・プロセスを使用して、透明な誘電体層2-235の上にパターニングされ得る。いくつかの実施形態では、第1の層4-510は、アルミニウムからなることが可能であり、第2の層4-520は、窒化チタンからなることが可能であるが、さまざまな実施形態では、他の材料の組み合わせも使用され得る。1つ又は複数の層の合計厚さは、いくつかの実施形態によれば、サンプル・ウェルの所望の高さにおおよそ均等であることが可能である。パターニングは、サンプル・ウェル2-211と、1つ又は複数の金属層の中のサンプル・ウェルに隣接するボイド4-525とを形成することが可能である。ボイドは、所望の表面プラズモン構造体のパターンの中に配置され得る。 An alternative process can be used to form a sample well that is self-aligned with the surface plasmon structure, which is shown in FIGS. 4-5A-4-5E. According to some embodiments, one or more conductive layers 4-510, 4-520 are transparent using any suitable lithographic process, as shown in FIG. 4-5A. Can be patterned on the dielectric layer 2-235. In some embodiments, the first layer 4-510 can be made of aluminum and the second layer 4-520 can be made of titanium nitride, but in various embodiments. , Other material combinations may also be used. The total thickness of one or more layers can be approximately equal to the desired height of the sample wells, according to some embodiments. Patterning can form sample wells 2-211 and voids 4-525 adjacent to sample wells in one or more metal layers. Voids can be placed within the pattern of the desired surface plasmon structure.

いくつかの実施形態では、誘電体層2-235は、図4-5Bに示されているように、表面プラズモン構造体及びサンプル・ウェル2-211のパターンを誘電体層の中へ伝達するようにエッチングされ得る。誘電体の中へのエッチ深さは、いくつかの実施形態によれば、おおよそ20nmからおおよそ150nmの間であることが可能である。レジスト4-440は、図4-5Cに示されているように、サンプル・ウェルを被覆するようにパターニングされ得る。レジストをパターニングするためのアライメントは、高度に正確である必要はなく、表面プラズモン構造体を形成するために使用されることとなる誘電体層2-235のエッチングされた隣接する領域を被覆することなく、サンプル・ウェルを被覆することだけを必要とする。 In some embodiments, the dielectric layer 2-235 is such that the pattern of the surface plasmon structure and sample well 2-211 is transmitted into the dielectric layer, as shown in FIG. 4-5B. Can be etched into. The etch depth into the dielectric can be between approximately 20 nm and approximately 150 nm, according to some embodiments. Resist 4-440 can be patterned to cover the sample wells, as shown in FIG. 4-5C. The alignment for patterning the resist does not have to be highly accurate and covers the etched adjacent regions of the dielectric layer 2-235 that will be used to form the surface plasmon structure. No need to cover the sample wells.

図4-5Dに図示されているように、導電性材料4-512、又は、導体を含む材料の層が、任意の適切な堆積プロセスを使用して、領域の上に堆積させられ得る。材料4-512は、誘電体層のエッチングされた領域を充填することが可能であり、また、1つ又は複数の層4-510、4-520の上に延在することが可能である。次いで、レジスト4-440、及び、レジストを被覆する材料が、リフト・オフ・プロセスにしたがって除去され得る。図4-5Eに示されている、結果として生じる構造体は、取り囲む表面プラズモン構造体に自己整合されているサンプル・ウェルを残す。サンプル・ウェルは、ディボット3-216を含む。 As illustrated in FIG. 4-5D, a layer of conductive material 4-512, or material containing conductors, can be deposited onto the region using any suitable deposition process. Material 4-512 can fill the etched areas of the dielectric layer and can extend over one or more layers 4-510, 4-520. The resist 4-440 and the material covering the resist can then be removed according to a lift-off process. The resulting structure, shown in FIG. 4-5E, leaves sample wells self-aligned with the surrounding surface plasmon structure. Sample wells include Divot 3-216.

いくつかの実施形態では、図4-5Aから図4-5Eに示されているプロセスは、ディボット3-216を有さないサンプル・ウェルを形成するために使用され得る。たとえば、レジスト4-440は、誘電体層2-235がエッチングされる前に、サンプル・ウェル2-211の上にパターニングされ得る。次いで、誘電体層2-235が、エッチングされ得、それは、表面プラズモン構造体のパターンを誘電体層に伝達することとなるが、ディボットは形成しない。次いで、プロセスは、図4-5D及び図4-5Eに図示されているように進行し、ディボットを有さない自己整合されたサンプル・ウェルを生成させることが可能である。 In some embodiments, the process shown in FIGS. 4-5A-4-5E can be used to form sample wells without Divot 3-216. For example, resist 4-440 may be patterned onto sample wells 2-211 before the dielectric layer 2-235 is etched. The dielectric layer 2-235 can then be etched, which will transfer the pattern of the surface plasmon structure to the dielectric layer, but will not form a dibot. The process can then proceed as illustrated in FIGS. 4-5D and 4-5E to produce self-aligned sample wells without divot.

表面プラズモン構造体に加えて、又は、表面プラズモン構造体の代替として、他の構造体が、サンプル・ウェル2-211の付近にパターニングされ、サンプル・ウェルの中の励起エネルギーを増加させることが可能である。たとえば、いくつかの構造体は、入射励起場の位相及び/又は振幅を変更することが可能であり、サンプル・ウェルの中の励起エネルギーの強度を増加させるようになっている。図4-6Aは、薄い損失性膜4-610を示しており、薄い損失性膜5-610は、入射励起エネルギーの位相及び振幅を変更するために、ならびに、サンプル・ウェルの中の電磁放射線の強度を増加させるために使用され得る。 In addition to or as an alternative to the surface plasmon structure, other structures can be patterned near sample wells 2-211 to increase the excitation energy in the sample wells. Is. For example, some structures are capable of altering the phase and / or amplitude of the incident excitation field, increasing the intensity of the excitation energy in the sample wells. FIG. 4-6A shows a thin lossy film 4-610, in which the thin lossy film 5-610 is used to change the phase and amplitude of the incident excitation energy, as well as the electromagnetic radiation in the sample wells. Can be used to increase the strength of.

いくつかの実施形態によれば、薄い損失性膜は、励起エネルギーの強め合いの干渉を生成させ、サンプル・ウェルの励起領域の中の場の増強を結果として生じさせることが可能である。図4-6Bは、サンプル・ウェルへ入射する励起エネルギーの数値シミュレーションを示しており、そこでは、薄い損失性膜4-610がサンプル・ウェルに直接隣接して形成されている。シミュレーションに関して、サンプル・ウェルは、おおよそ80nmの直径を有しており、また、おおよそ200nmの厚さの金の金属製の層の中に形成されている。サンプル・ウェルは、SCNからなり、サンプル・ウェルを通る励起エネルギーの伝播を抑制する。薄い損失性膜4-610は、おおよそ10nmの厚さであり、ゲルマニウムから形成されており、二酸化ケイ素からなる下層にある透明な誘電体を覆っている。薄い損失性膜は、サンプル・ウェルのエントランス・アパーチャを横切って延在している。シミュレーションは、励起エネルギーの強度がサンプル・ウェルのエントランス・アパーチャにおいて最高値であるということを示している。この明るい領域4-620の中の励起エネルギーの強度は、サンプル・ウェルの左及び右に対する強度の値の2倍より大きい。 According to some embodiments, the thin lossy membrane can generate intensifying interference of excitation energies, resulting in field enhancement in the excitation region of the sample well. FIG. 4-6B shows a numerical simulation of the excitation energy incident on the sample well, where a thin lossy film 4-610 is formed directly adjacent to the sample well. For simulation, the sample wells have a diameter of approximately 80 nm and are formed in a metal layer of gold approximately 200 nm thick. The sample well consists of SCN and suppresses the propagation of excitation energy through the sample well. The thin lossy film 4-610 is approximately 10 nm thick, is made of germanium, and covers the underlying transparent dielectric made of silicon dioxide. A thin lossy membrane extends across the entrance aperture of the sample well. Simulations show that the intensity of the excitation energy is the highest at the entrance aperture of the sample well. The intensity of the excitation energy in this bright region 4-620 is greater than twice the intensity value for the left and right of the sample well.

薄い損失性膜は、任意の適切な材料から作製され得る。たとえば、薄い損失性膜は、屈折率nがその材料に関する吸光係数kとおおよそ同じ桁数となっている、材料から作製され得る。いくつかの実施形態では、薄い損失性膜は、屈折率nがその材料の吸光係数kの値から約2桁の差の中にある、材料から作製され得る。可視波長におけるそのような材料の非限定的な例は、ゲルマニウム及びシリコンである。 The thin lossy membrane can be made from any suitable material. For example, a thin lossy film can be made from a material having a refractive index n approximately the same as the extinction coefficient k for the material. In some embodiments, the thin loss film can be made from a material whose index of refraction n is within about two orders of magnitude from the value of the material's extinction coefficient k. Non-limiting examples of such materials at visible wavelengths are germanium and silicon.

薄い損失性膜は、任意の適切な厚さであることが可能であり、それは、1つ又は複数の励起供給源に関連付けられる、1つ又は複数の特性波長に依存することが可能である。いくつかの実施形態では、薄い損失性膜は、おおよそ1nmからおおよそ45nmの間の厚さであることが可能である。他の実施形態では、薄い損失性膜は、おおよそ15nmからおおよそ45nmの間の厚さであることが可能である。さらなる他の実施形態では、薄い損失性膜は、おおよそ1nmからおおよそ20nmの間の厚さであることが可能である。 The thin lossy membrane can be of any suitable thickness, which can depend on one or more characteristic wavelengths associated with one or more excitation sources. In some embodiments, the thin lossy membrane can be between approximately 1 nm and approximately 45 nm in thickness. In other embodiments, the thin lossy membrane can be between approximately 15 nm and approximately 45 nm in thickness. In yet another embodiment, the thin lossy membrane can be between approximately 1 nm and approximately 20 nm in thickness.

サンプル・ウェルがその中に形成されている材料2-221からの反射率に対する薄い損失性膜の影響、薄い損失性膜の中の励起エネルギー損失、及び、材料2-221の中の励起エネルギー損失が、図4-6Cのグラフに示されている。グラフの中にプロットされている1つの曲線は、反射率曲線4-634を表しており、また、薄い損失性膜の厚さが0nmから100nmへ変化するにつれて、材料2-221及び薄い損失性膜4-610からの反射率がどのように変化するかということを示している。反射率は、シミュレートされた実施形態によれば、約25nmにおいて最小値に到達している。反射率最小は、励起エネルギーの特性波長、ならびに、薄い損失性膜及び材料2-221に関して使用される材料に応じて、異なる厚さで起こることとなる。いくつかの実施形態では、薄い損失性膜の厚さは、反射率がおおよそその最小値となるように選択される。 The effect of the thin loss film on the reflectance from the material 2-221 in which the sample well is formed, the excitation energy loss in the thin loss film, and the excitation energy loss in the material 2-221. Is shown in the graph of FIG. 4-6C. One curve plotted in the graph represents the reflectance curve 4-634, and material 2-221 and thin loss as the thickness of the thin loss film changes from 0 nm to 100 nm. It shows how the reflectance from film 4-610 changes. The reflectance reaches a minimum at about 25 nm, according to the simulated embodiment. The minimum reflectance will occur at different thicknesses depending on the characteristic wavelength of the excitation energy and the material used for the thin lossy film and material 2-221. In some embodiments, the thickness of the thin lossy membrane is selected so that the reflectance is approximately its minimum.

いくつかの実施形態では、薄い損失性膜4-610は、図4-6Dに示されているように、サンプル・ウェル2-211及び材料2-221から間隔を置いて配置され得る。たとえば、薄い誘電体層4-620(たとえば、酸化ケイ素SiO)が、薄い損失性膜の上に形成され得、また、サンプル・ウェル2-211は、誘電体層4-620に隣接して形成され得る。誘電体層4-620の厚さは、いくつかの実施形態によれば、おおよそ10nmからおおよそ150nmの間であることが可能であるが、いくつかの実施形態では、他の厚さも使用され得る。 In some embodiments, the thin loss film 4-610 may be spaced from sample wells 2-211 and material 2-221 as shown in FIG. 4-6D. For example, a thin dielectric layer 4-620 (eg, silicon oxide SiO x ) can be formed on a thin lossy film, and sample wells 2-211 are adjacent to the dielectric layer 4-620. Can be formed. The thickness of the dielectric layer 4-620 can be between about 10 nm and about 150 nm according to some embodiments, but in some embodiments other thicknesses may be used. ..

単一の層として示されているが、薄い損失性膜は、2つ以上の材料の複数の層からなることが可能である。いくつかの実施形態では、薄い損失性膜4-610及び誘電体層4-620の交互層からなる多層スタックが、図4-6Eに示されているように、サンプル・ウェル2-211に隣接して形成され得る。層のスタックの中の薄い損失性膜4-610の厚さは、おおよそ5nmからおおよそ100nmの間であることが可能であり、スタックの中の誘電体層4-620の厚さは、いくつかの実施形態によれば、おおよそ5nmからおおよそ100nmの間であることが可能である。いくつかの実施形態では、多層スタックは、二酸化ケイ素の層(厚さ4.3nm)、シリコンの層(厚さ14.35nm)、及び、ゲルマニウムの層(厚さ6.46nm)からなることが可能であるが、他の実施形態では、他の厚さも使用され得る。いくつかの実施形態では、多層スタックは、二酸化ケイ素の層(おおよそ4.2nmの厚さ)、シリコンの層(おおよそ14.4nmの厚さ)、及び、ゲルマニウムの層(おおよそ6.5nmの厚さ)からなることが可能であるが、他の実施形態では、他の厚さも使用され得る。 Although shown as a single layer, a thin lossy membrane can consist of multiple layers of two or more materials. In some embodiments, a multi-layer stack consisting of alternating layers of thin lossy film 4-610 and dielectric layer 4-620 is flanked by sample wells 2-211, as shown in FIG. 4-6E. Can be formed. The thickness of the thin loss film 4-610 in the stack of layers can be between approximately 5 nm and approximately 100 nm, and the thickness of the dielectric layer 4-620 in the stack is several. According to the embodiment, it is possible to be between about 5 nm and about 100 nm. In some embodiments, the multi-layer stack may consist of a layer of silicon dioxide (thickness 4.3 nm), a layer of silicon (thickness 14.35 nm), and a layer of germanium (thickness 6.46 nm). Although possible, in other embodiments, other thicknesses may be used. In some embodiments, the multi-layer stack comprises a layer of silicon dioxide (approximately 4.2 nm thick), a layer of silicon (approximately 14.4 nm thick), and a layer of germanium (approximately 6.5 nm thick). Although it is possible to consist of, in other embodiments, other thicknesses may be used.

薄い損失性膜は、入射放射線に対して少なくともいくらかの損失を示す任意の適切な材料から製作され得る。いくつかの実施形態では、薄い損失性膜は、たとえばシリコン及びゲルマニウムなど、半導体材料からなることが可能であるが、他の材料も使用され得る。いくつかの実施形態では、薄い損失性膜は、無機材料又は金属からなることが可能である。いくつかの実施形態では、薄い損失性膜は、合金又は化合物半導体からなることが可能である。たとえば、薄い損失性膜は、Si(57.4重量%)、Ge(25.8重量%)、及びSiO(16.8重量%)を含む合金からなることが可能であるが、他の実施形態では、他の比率及び組成も使用され得る。 Thin lossy membranes can be made from any suitable material that exhibits at least some loss to incident radiation. In some embodiments, the thin loss film can consist of semiconductor materials such as silicon and germanium, but other materials can also be used. In some embodiments, the thin lossy membrane can consist of an inorganic material or metal. In some embodiments, the thin lossy membrane can consist of an alloy or compound semiconductor. For example, a thin lossy membrane can be made of an alloy containing Si (57.4% by weight), Ge (25.8% by weight), and SiO 2 (16.8% by weight), but other In embodiments, other ratios and compositions may also be used.

いくつかの実施形態によれば、薄い損失性膜は、たとえば、物理的な堆積プロセス、化学蒸着プロセス、スピン・オン・プロセス、又は、それらの組み合わせなど、任意の適切なブランケット堆積プロセスを使用して、基板の上に形成され得る。いくつかの実施形態では、薄い損失性膜は、堆積の後に処置され、たとえば、焼かれ、アニーリングされ、及び/又は、イオン注入を受けることが可能である。 According to some embodiments, the thin lossy membrane uses any suitable blanket deposition process, such as, for example, a physical deposition process, a chemical vapor deposition process, a spin-on process, or a combination thereof. Can be formed on a substrate. In some embodiments, the thin lossy membrane can be treated after deposition, for example, burned, annealed, and / or subject to ion implantation.

他の位相/振幅を変更する構造体が、追加的に又は代替的に使用され、サンプル・ウェルの中の励起エネルギーを増強することが可能である。いくつかの実施形態によれば、及び、図4-7Aに示されているように、反射スタック4-705は、サンプル・ウェル2-211から間隔を置いて配置され得る。いくつかの実施形態では、反射スタックは、交互の屈折率を有する材料の誘電体スタックからなることが可能である。たとえば、第1の誘電体層4-710は、第1の屈折率を有することが可能であり、第2の誘電体層4-720は、第1の屈折率とは異なる第2の屈折率を有することが可能である。反射スタック4-705は、いくつかの実施形態では、励起エネルギーに関して高い反射性を示すことが可能であり、また、サンプル・ウェルの中のエミッターからの放射エミッションに関して低い反射性を示すことが可能である。たとえば、反射スタック4-705は、励起エネルギーに関しておおよそ80%よりも大きい反射性を示すことが可能であり、また、サンプルからのエミッションに関しておおよそ40%よりも低い反射性を示すことが可能であるが、いくつかの実施形態では、他の反射性の値も使用され得る。励起エネルギーを送信する誘電体層4-730が、反射スタックとサンプル・ウェルとの間に位置付けされ得る。 Other phase / amplitude changing structures can be used in addition or alternatives to enhance the excitation energy in the sample wells. According to some embodiments, and as shown in FIG. 4-7A, the reflection stack 4-705 may be spaced from the sample wells 2-2111. In some embodiments, the reflective stack can consist of a dielectric stack of materials with alternating refractive indexes. For example, the first dielectric layer 4-710 can have a first refractive index, and the second dielectric layer 4-720 has a second refractive index different from the first refractive index. It is possible to have. The reflection stack 4-705 is capable of exhibiting high reflectivity with respect to excitation energy and low reflectivity with respect to radiated emissions from emitters in sample wells in some embodiments. Is. For example, the reflection stack 4-705 can exhibit greater than approximately 80% reflectivity with respect to excitation energy and can exhibit less than approximately 40% reflectivity with respect to emissions from the sample. However, in some embodiments, other reflectivity values may also be used. The dielectric layer 4-730 that transmits the excitation energy can be positioned between the reflection stack and the sample well.

いくつかの実施形態によれば、図4-7Aに示されている反射スタック4-705は、サンプル・ウェル2-211がその中に形成されている材料2-221とともに、共振器を形成することが可能である。たとえば、反射スタックは、誘電材料4-730の中の励起エネルギーの波長の2分の1又はその整数倍におおよそ等しい距離だけ、材料2-221から間隔を置いて配置され得る。共振器を形成することによって、励起エネルギーは、反射スタックを通過し、共鳴し、材料2-221と反射スタック4-705との間のスペースの中で増大することが可能である。これは、サンプル・ウェル2-211の中の励起強度を増加させることが可能である。たとえば、強度は、いくつかの実施形態では2倍以上、いくつかの実施形態では5倍以上、さらに、いくつかの実施形態では10倍以上、共鳴構造体の中で増加することが可能である。 According to some embodiments, the reflection stack 4-705 shown in FIG. 4-7A forms a resonator with the material 2-221 in which the sample wells 2-211 are formed. It is possible. For example, the reflection stack may be spaced from material 2-221 by a distance approximately equal to half or an integral multiple of the wavelength of the excitation energy in the dielectric material 4-730. By forming the resonator, the excitation energy can pass through the reflection stack, resonate, and increase in the space between the material 2-221 and the reflection stack 4-705. It is possible to increase the excitation intensity in sample wells 2-211. For example, the intensity can be increased in the resonant structure by a factor of 2 or more in some embodiments, a factor of 5 or more in some embodiments, and a factor of 10 or more in some embodiments. ..

追加的な構造体が、図4-7B及び図4-7Cに示されているように、サンプル・ウェルの付近に追加され得る。いくつかの実施形態によれば、誘電体層4-730の第2の屈折率よりも高い第1の屈折率を有する誘電体プラグ4-740が、図4-7Bに示されているように、サンプル・ウェル2-211に隣接して形成され得る。プラグは、サンプル・ウェルの直径におおよそ等しい直径を有するシリンダーの形状をしていることが可能であるが、他の形状及びサイズも使用され得る。そのより高い屈折率に起因して、誘電体プラグ4-740は、励起放射線を濃縮し、サンプル・ウェルに向けて励起エネルギーをガイドすることが可能である。 Additional structures may be added near the sample wells, as shown in FIGS. 4-7B and 4-7C. According to some embodiments, the dielectric plug 4-740 having a first index of refraction higher than the second index of refraction of the dielectric layer 4-730 is as shown in FIG. 4-7B. , Can be formed adjacent to sample wells 2-211. The plug can be in the shape of a cylinder with a diameter approximately equal to the diameter of the sample well, but other shapes and sizes can also be used. Due to its higher index of refraction, the dielectric plug 4-740 is capable of concentrating the excitation radiation and guiding the excitation energy towards the sample wells.

プラグ4-740などのような誘電体構造体は、いくつかの実施形態によれば、反射スタック4-705の有無にかかわらず使用され得る。そのような誘電体構造体は、誘電体共鳴アンテナと称され得る。誘電体共鳴アンテナは、たとえば、円筒形状の、長方形、正方形、多角形、台形、又はピラミッド形など、任意の適切な形状を有することが可能である。 Dielectric structures such as plug 4-740 can be used with or without reflective stack 4-705, according to some embodiments. Such a dielectric structure may be referred to as a dielectric resonance antenna. The dielectric resonance antenna can have any suitable shape, for example, cylindrical, rectangular, square, polygonal, trapezoidal, or pyramidal.

図4-7C及び図4-7Dは、いくつかの実施形態によれば、サンプル・ウェル2-211の付近に形成され得るフォトニック・バンドギャップ(PBG)構造体を示している。フォトニック・バンドギャップ構造体は、光学コントラスト構造体4-750の規則的なアレイ又は格子からなることが可能である。光学コントラスト構造体は、いくつかの実施形態によれば、取り囲む誘電材料の屈折率とは異なる屈折率を有する誘電材料からなることが可能である。いくつかの実施形態では、光学コントラスト構造体4-750は、取り囲む媒体とは異なる損失値を有することが可能である。いくつかの実施形態では、サンプル・ウェル2-211は、図4-7Dに示されているような格子の中の欠陥に位置付けされ得る。さまざまな実施形態によれば、フォトニック格子の中の欠陥は、欠陥の領域の中に光子を閉じ込めることが可能であり、それは、サンプル・ウェルにおける励起エネルギーの強度を増強させることが可能である。フォトニック・バンドギャップ構造体に起因する閉じ込めは、基板の表面の横断方向に実質的に2次元になっていることが可能である。反射スタック4-705と組み合わせられるときには、閉じ込めは、サンプル・ウェルにおいて3次元になっていることが可能である。いくつかの実施形態では、フォトニック・バンドギャップ構造体は、反射スタックなしで使用され得る。 4-7C and 4-7D show a photonic band gap (PBG) structure that can be formed in the vicinity of sample wells 2-211, according to some embodiments. The photonic bandgap structure can consist of a regular array or grid of optical contrast structures 4-750. The optical contrast structure can consist of a dielectric material having a refractive index different from that of the surrounding dielectric material, according to some embodiments. In some embodiments, the optical contrast structure 4-750 can have a different loss value than the surrounding medium. In some embodiments, sample wells 2-211 can be positioned as defects in the grid as shown in FIG. 4-7D. According to various embodiments, defects in the photonic lattice are capable of confining photons within the region of the defect, which can enhance the intensity of the excitation energy in the sample wells. .. The confinement resulting from the photonic bandgap structure can be substantially two-dimensional in the transverse direction of the surface of the substrate. When combined with the reflection stack 4-705, the confinement can be three-dimensional in the sample well. In some embodiments, the photonic bandgap structure can be used without a reflective stack.

図4-6Aから図4-7Dに示されている励起カップリング構造体を製作するためのさまざまな方法が考えられてきた。薄い平面的な膜(たとえば、交互の屈折率の誘電体膜)を必要とする構造体は、いくつかの実施形態によれば、平面的な堆積プロセスによって形成され得る。平面的な堆積プロセスは、物理的な堆積(たとえば、電子ビーム・エバポレーション又はスパッタリング)又は化学蒸着プロセスからなることが可能である。図4-7Bに示されている誘電体共鳴アンテナ4-740、又は、図4-7Cに示されている光学コントラスト構造体4-750などのような、3次元の形状に形成された別個の埋め込まれている誘電体を必要とする構造体は、基板の中へパターンをエッチングするためにリソグラフィック・パターニング及びエッチング・プロセスを使用して、ならびに、たとえば、その後の誘電体層の堆積、及び、基板の平坦化を使用して、形成され得る。また、考えられるのは、サンプル・ウェル2-211の付近に誘電体共鳴アンテナ及びフォトニック・バンドギャップ構造体を形成するための自己アライメント・プロセッシング技法である。 Various methods have been considered for making the excited coupling structures shown in FIGS. 4-6A through 4-7D. Structures that require thin planar films (eg, dielectric films with alternating refractive indexes) can be formed by a planar deposition process, according to some embodiments. The planar deposition process can consist of physical deposition (eg, electron beam evaporation or sputtering) or chemical vapor deposition process. Separately formed in a three-dimensional shape, such as the dielectric resonance antenna 4-740 shown in FIG. 4-7B, or the optical contrast structure 4-750 shown in FIG. 4-7C. Structures that require an embedded dielectric use a lithography patterning and etching process to etch the pattern into the substrate, and, for example, subsequent deposition of the dielectric layer, and , Can be formed using substrate flattening. Also conceivable is a self-alignment processing technique for forming a dielectric resonance antenna and a photonic bandgap structure near sample wells 2-211.

図4-8Aから図4-8Gは、図4-7Cに図示されているようなフォトニック・バンドギャップ構造体及び自己整合されたサンプル・ウェルを形成するために使用され得る、単に1つの自己アライメント・プロセスに関するプロセス工程に関連付けられる構造体を示している。いくつかの実施形態によれば、反射スタック4-705が、最初に、図4-8Aに図示されているように、誘電体層3-235の上の基板の上に形成され得る。次いで、第2の誘電体層4-730が、反射スタックの上に堆積させられ得る。誘電体層4-730の厚さは、材料の中の励起エネルギーの波長の約2分の1又はその整数倍におおよそ等しいことが可能である。次いで、図4-4Aから図4-4Eに関連して説明されているプロセス工程が、誘電体層4-730の上のピラー4-420、及び、フォトニック・バンドギャップ構造体に関してエッチングされた特徴4-810のパターンを形成するために実施され得る。エッチングされた特徴は、誘電体層4-730の中へ、及び、随意的に、反射スタック4-705の中へ、延在することが可能である。結果として生じる構造体は、図4-8Aに示されているように出現することが可能である。 4-8A through 4-8G are just one self that can be used to form a photonic bandgap structure and self-aligned sample wells as illustrated in FIG. 4-7C. It shows the structure associated with the process process for the alignment process. According to some embodiments, the reflective stack 4-705 can first be formed on a substrate on the dielectric layer 3-235, as illustrated in FIG. 4-8A. A second dielectric layer 4-730 can then be deposited on the reflective stack. The thickness of the dielectric layer 4-730 can be approximately equal to about half the wavelength of the excitation energy in the material or an integral multiple thereof. The process steps described in connection with FIGS. 4-4A to 44E were then etched with respect to the pillars 4-420 on the dielectric layer 4-730 and the photonic bandgap structure. It can be performed to form the pattern of feature 4-810. The etched features can extend into the dielectric layer 4-730 and optionally into the reflective stack 4-705. The resulting structure can appear as shown in FIG. 4-8A.

ピラー4-420を被覆するレジスト4-440が、基板から剥がされ得、また、コンフォーマルな堆積が、図4-8Bに示されているように行われ、エッチングされた特徴を充填材料4-820によって充填することが可能である。充填材料4-820は、いくつかの実施形態によれば、ピラー4-420を形成するために使用されるのと同じ材料であることが可能である。たとえば、充填材料4-820及びピラー4-420は、窒化ケイ素から形成され得、誘電体層4-730は、たとえばSiOなど、酸化物からなることが可能である。 The resist 4-440 covering the pillars 4-420 can be stripped from the substrate and conformal deposition is performed as shown in FIG. 4-8B, filling the material 4- with etched features. It can be filled by 820. Filling material 4-820 can be the same material used to form pillars 4-420, according to some embodiments. For example, the filling material 4-820 and pillar 4-420 can be formed from silicon nitride, and the dielectric layer 4-730 can be made of an oxide, for example SiO 2 .

次いで、異方性エッチが、充填材料4-820をエッチ・バックするために実施され得る。充填材料は、いくつかの実施形態によれば、エッチ・バックされ、誘電体層4-730の表面を露出させ、図4-8Cに示されているような構造体を結果として生じさせることが可能である。エッチは、ピラー4-830を残すことが可能であり、ピラー4-830は、オリジナルのピラー4-420と、充填材料4-820から残る側壁部4-822とからなる。 Anisotropic etching can then be performed to etch back the packing material 4-820. The filler may be etched back, according to some embodiments, to expose the surface of the dielectric layer 4-730, resulting in a structure as shown in FIG. 4-8C. It is possible. The etch can leave pillars 4-830, which consist of the original pillars 4-420 and the sidewalls 4-822 remaining from the filling material 4-820.

次いで、レジスト4-440が、図4-8Dに示されているように、基板の上にパターニングされ得る。たとえば、レジストが、基板の上にコーティングされ得、ホールが、レジストの中にパターニングされ得、レジストが、ピラー4-830の周りのレジストの中の領域を切り開くために現像され得る。ピラーに対するホールのアライメントは、高度に正確である必要はなく、誘電体層4-730の中に埋め込まれている下層にあるフォトニック・バンドギャップ構造体を露出させることなく、ピラー4-830を露出させることだけを必要とする。 Resist 4-440 can then be patterned onto the substrate as shown in FIG. 4-8D. For example, the resist can be coated on the substrate, holes can be patterned in the resist, and the resist can be developed to cut open areas in the resist around pillars 4-830. The alignment of the holes with respect to the pillars does not have to be highly accurate and the pillars 4-830 do not expose the underlying photonic bandgap structure embedded within the dielectric layer 4-730. It only needs to be exposed.

ピラー4-830が露出された後に、等方性エッチが、ピラーの横断方向の寸法を低減させるために使用され得る。いくつかの実施形態によれば、結果として生じるピラー形状は、図4-8Eに示されているように出現することが可能である。次いで、レジスト4-440は、基板から剥がされ得、材料2-221、又は、材料の層が、領域の上に堆積させられ得る。いくつかの実施形態では、材料2-221は、CMPプロセスを使用してエッチ・バックされ、図4-8Fに示されているように領域を平坦化することが可能である。その後に、選択的なドライ・エッチ又はウェット・エッチが、図4-8Gに図示されているように、残りのピラー構造体を除去するために使用され、サンプル・ウェル2-211を残すことが可能である。図面によって示されているように、サンプル・ウェル2-211は、誘電体層4-730の中にパターニングされているフォトニック・バンドギャップ構造体に自己整合されている。 After the pillars 4-830 are exposed, an isotropic etch can be used to reduce the transverse dimensions of the pillars. According to some embodiments, the resulting pillar shape can appear as shown in FIG. 4-8E. The resist 4-440 can then be stripped from the substrate and material 2-221, or a layer of material, can be deposited onto the region. In some embodiments, material 2-221 is etched back using a CMP process and is capable of flattening the region as shown in FIG. 4-8F. A selective dry or wet etch may then be used to remove the remaining pillar structures, leaving sample wells 2-211, as illustrated in FIG. 4-8G. It is possible. As shown in the drawings, the sample wells 2-211 are self-aligned with the photonic bandgap structure patterned in the dielectric layer 4-730.

代替的なプロセスとして、充填材料4-820は、ピラー4-420を形成するために使用される材料とは異なる材料からなることが可能である。このプロセスでは、図4-8D及び図4-8Eに関連付けられる工程は、省略される可能性がある。図4-8Fに示されているように、材料2-221の堆積及び平坦化の後に、選択的エッチが行われ、ピラー4-420を除去することが可能である。これは、サンプル・ウェル2-211をライニングする充填材料4-820の側壁部を残すことが可能である。 As an alternative process, the filling material 4-820 can consist of a different material than the material used to form pillars 4-420. In this process, the steps associated with FIGS. 4-8D and 4-8E may be omitted. As shown in FIG. 4-8F, after deposition and flattening of material 2-221, selective etching is performed to remove pillars 4-420. It is possible to leave the sidewalls of the filling material 4-820 lining the sample wells 2-211.

D.非放射励起カップリング構造体
本発明は、サンプル・ウェルの中のサンプルとの励起エネルギーの非放射カップリングに関する構造体を与える。非放射カップリング構造体の単に1つの実施形態が、図4-9Aに示されている。いくつかの実施形態によれば、非放射カップリング構造体は、サンプル・ウェル2-211に直接隣接して形成される半導体層4-910からなることが可能である。半導体層4-910は、いくつかの実施形態では、有機半導体であることが可能であり、又は、いくつかの実施形態では、無機半導体であることが可能である。いくつかの実施形態では、ディボット3-216は、半導体層の中に形成されてもよいし、又は形成されなくてもよい。半導体層4-910は、いくつかの実施形態によれば、おおよそ5nmからおおよそ100nmの間の厚さを有することが可能であるが、いくつかの実施形態では、他の厚さも使用され得る。いくつかの実施形態によれば、励起供給源からの励起エネルギー又は光子4-930が、半導体層4-910に衝突し、エキシトン4-920を作り出すことが可能である。エキシトンは、サンプル・ウェルの表面まで拡散することが可能であり、そこで、それらは、非放射で再結合し、サンプル・ウェルの壁部に隣接するサンプルにエネルギーを伝達することが可能である。
D. Non-radiatively Excited Coupling Structure The present invention provides a non-radiatively excited coupling structure for excitation energy with a sample in a sample well. Only one embodiment of the non-radiative coupling structure is shown in FIG. 4-9A. According to some embodiments, the non-radiative coupling structure can consist of semiconductor layers 4-910 formed directly adjacent to sample wells 2-211. The semiconductor layer 4-910 can be an organic semiconductor in some embodiments, or can be an inorganic semiconductor in some embodiments. In some embodiments, the dibot 3-216 may or may not be formed in the semiconductor layer. The semiconductor layer 4-910 can have a thickness between about 5 nm and about 100 nm according to some embodiments, but in some embodiments other thicknesses can be used. According to some embodiments, the excitation energy or photon 4-930 from the excitation source can collide with the semiconductor layer 4-910 to create Exiton 4-920. Exciton can diffuse to the surface of the sample well, where they can recombine non-radiatively and transfer energy to the sample adjacent to the wall of the sample well.

図4-9Bは、別の実施形態を示しており、その別の実施形態では、半導体層4-912が使用され、励起エネルギーからのエネルギーをサンプルに非放射で伝達することが可能である。いくつかの実施形態では、半導体層4-912は、図面に示されているように、サンプル・ウェルの底部に、又は、サンプル・ウェル2-211のディボットの中に形成され得る。半導体層4-912は、いくつかの実施形態によれば、サンプル・ウェルのベースにおいて接着性物質を堆積させるためのプロセス工程に関連して本明細書で説明されているような指向性堆積プロセスを使用することによって、サンプル・ウェルの中に形成され得る。半導体層4-912は、いくつかの実施形態によれば、おおよそ5nmからおおよそ100nmの間の厚さを有することが可能であるが、他の実施形態では、他の厚さも使用され得る。入射放射線が、半導体層の中にエキシトンを発生させることが可能であり、それは、次いで、サンプル・ウェル2-211の底部表面へ拡散することが可能である。次いで、エキシトンは、サンプル・ウェルの中のサンプルにエネルギーを非放射で伝達することが可能である。 FIG. 4-9B shows another embodiment in which the semiconductor layer 4-912 is used and it is possible to transfer energy from the excitation energy to the sample non-radiatively. In some embodiments, the semiconductor layer 4-912 can be formed at the bottom of the sample wells or in the divot of the sample wells 2-211, as shown in the drawings. The semiconductor layer 4-912, according to some embodiments, is a directional deposition process as described herein in connection with a process step for depositing an adhesive material at the base of a sample well. Can be formed in the sample wells by using. The semiconductor layer 4-912 can have a thickness between about 5 nm and about 100 nm, according to some embodiments, but in other embodiments, other thicknesses may be used. Incident radiation can generate excitons in the semiconductor layer, which can then diffuse to the bottom surface of sample wells 2-2111. Exciton can then transfer energy non-radiatively to the sample in the sample well.

また、本発明は、励起エネルギーをサンプルに伝達するための複数の非放射経路を与える。いくつかの実施形態によれば、及び、図4-9Cに示されているように、エネルギー伝達粒子4-940が、サンプル・ウェルの中に堆積させられ得る。エネルギー伝達粒子は、いくつかの実施形態では、量子ドットからなることが可能であり、又は、いくつかの実施形態では、分子からなることが可能である。いくつかの実施形態では、エネルギー伝達粒子4-940は、リンキング分子を通して、サンプル・ウェルの表面に官能基化され得る。薄い半導体層4-910が、サンプル・ウェルに隣接して、又は、サンプル・ウェルの中に形成され得、エキシトンは、図面に示されているように、半導体層へ入射する励起エネルギーから半導体層の中に発生させられ得る。エキシトンは、サンプル・ウェルの表面へ拡散し、エネルギー伝達粒子4-940にエネルギーを非放射で伝達することが可能である。次いで、エネルギー伝達粒子4-940は、サンプル・ウェルの中のサンプル3-101にエネルギーを非放射で伝達することが可能である。 The invention also provides multiple non-radiative paths for transferring excitation energy to the sample. According to some embodiments, and as shown in FIG. 4-9C, energy transfer particles 4-940 can be deposited in the sample wells. The energy transfer particles can consist of quantum dots in some embodiments, or molecules in some embodiments. In some embodiments, the energy transfer particles 4-940 can be functionalized on the surface of the sample well through linking molecules. A thin semiconductor layer 4-910 can be formed adjacent to or in the sample well, and the exciton is a semiconductor layer from the excitation energy incident on the semiconductor layer, as shown in the drawings. Can be generated in. Exciton can diffuse to the surface of the sample well and transfer energy non-radiatively to the energy transfer particles 4-940. The energy transfer particles 4-940 can then non-radiatively transfer energy to sample 3-101 in the sample well.

いくつかの実施形態によれば、サンプル・ウェルの中に2つ以上のエネルギー伝達粒子4-940が存在することが可能である。たとえば、エネルギー伝達粒子4-942の層が、図4-9Cに示されているサンプル・ウェルなどのような、サンプル・ウェルの中に堆積させられ得る。 According to some embodiments, it is possible that more than one energy transfer particle 4-940 is present in the sample well. For example, a layer of energy transfer particles 4-942 can be deposited in a sample well, such as the sample well shown in FIG. 4-9C.

いくつかの実施形態では、エネルギー伝達粒子は、入射励起エネルギーを吸収し、次いで、吸収された励起エネルギーの波長とは異なる波長で、放射エネルギーを再放出することが可能である。次いで、再放出されたエネルギーは、サンプル・ウェルの中のサンプルを励起するために使用され得る。図4-9Eは、ダウン・コンバートするエネルギー伝達粒子に関連付けられるスペクトル・グラフを表している。いくつかの実施形態によれば、ダウン・コンバートするエネルギー伝達粒子は、量子ドットからなり、量子ドットは、短波長放射線(より高いエネルギー)を吸収し、1つ又は複数のより長い波長放射線(より低いエネルギー)を放出することが可能である。例示的な吸収曲線4-952が、6nmから7nmの間の半径を有する量子ドットに関して、点線としてグラフの中に示されている。量子ドットは、曲線4-954によって図示されている放射線の第1のバンド、曲線4-956によって図示されている放射線の第2のバンド、及び、曲線4-958によって図示されている放射線の第3のバンドを放出することが可能である。 In some embodiments, the energy transfer particles can absorb the incident excitation energy and then re-emit the radiant energy at a wavelength different from the wavelength of the absorbed excitation energy. The re-emitted energy can then be used to excite the sample in the sample well. FIG. 4-9E represents a spectral graph associated with down-converting energy transfer particles. According to some embodiments, the energy transfer particles to be down-converted consist of quantum dots, which absorb short wavelength radiation (higher energy) and one or more longer wavelength radiation (more). It is possible to release low energy). An exemplary absorption curve 4-952 is shown in the graph as a dotted line for quantum dots with radii between 6 nm and 7 nm. The quantum dots are the first band of radiation illustrated by curve 4-954, the second band of radiation illustrated by curve 4-956, and the second band of radiation illustrated by curve 4.958. It is possible to emit 3 bands.

いくつかの実施形態では、エネルギー伝達粒子は、励起供給源からのエネルギーをアップ・コンバートすることが可能である。図4-9Fは、エネルギー伝達粒子からのアップ・コンバートに関連付けられるスペクトルを示している。いくつかの実施形態によれば、量子ドットは、おおよそ980nmにおいて放射線によって励起され、次いで、グラフの中に図示されているような3つのスペクトル・バンドのうちの1つの中へ再放出することが可能である。第1のバンドは、おおよそ483nmに中心を合わせられ得、第2のバンドは、おおよそ538nmに中心を合わせられ得、第3のバンドは、おおよそ642nmに中心を合わせられ得る。量子ドットから再放出される光子は、量子ドットを励起するために使用される放射線の光子よりも高エネルギーである。したがって、励起供給源からのエネルギーは、アップ・コンバートされる。放出されるスペクトル・バンドのうちの1つ又は複数は、サンプル・ウェルの中の1つ又は複数のサンプルを励起するために使用され得る。 In some embodiments, the energy transfer particles are capable of up-converting energy from the excitation source. FIG. 4-9F shows the spectrum associated with the up-conversion from the energy transfer particles. According to some embodiments, the quantum dots can be excited by radiation at approximately 980 nm and then re-emitted into one of the three spectral bands as illustrated in the graph. It is possible. The first band can be centered at approximately 483 nm, the second band can be centered at approximately 538 nm, and the third band can be centered at approximately 642 nm. The photons re-emitted from the quantum dots are of higher energy than the photons of radiation used to excite the quantum dots. Therefore, the energy from the excitation source is up-converted. One or more of the emitted spectral bands can be used to excite one or more samples in the sample wells.

E. エミッション・エネルギーをセンサーに向けて方向付けすること
アッセイ・チップ2-110は、ピクセル当たりに1つ又は複数のコンポーネントを含み、機器の上のセンサーによるエミッション・エネルギーの収集を改善することが可能である。そのようなコンポーネントは、エミッション・エネルギーをセンサーに向けて空間的に方向付けするように、及び、サンプル・ウェル2-211からのエミッション・エネルギーの方向性を増加させるように設計され得る。表面オプティクス及び遠視野オプティクスの両方が、エミッション・エネルギーをセンサーに向けて方向付けするために使用され得る。
E. Directional Emission Energy to Sensors Assay chip 2-110 contains one or more components per pixel and can improve the collection of emission energy by the sensor on the instrument. be. Such components may be designed to spatially direct the emissions energy towards the sensor and to increase the directionality of the emissions energy from sample wells 2-2111. Both surface optics and distant vision optics can be used to direct emission energy towards the sensor.

1. 表面オプティクス
ピクセルのサンプル・ウェルの近くに位置付けされているアッセイ・チップ2-110のピクセルの中のコンポーネントは、サンプルによって放出されるエミッション・エネルギーとカップリングするように構成され得る。そのようなコンポーネントは、アッセイ・チップの2つの層の間の界面において形成され得る。たとえば、いくつかのエミッション・エネルギー・カップリング・エレメントが、サンプル・ウェル層と、サンプル・ウェルが形成されている場所と反対側にサンプル・ウェル層に隣接する層との間の界面において形成され得る。いくつかの場合には、サンプル・ウェル層の下の層は、誘電体層であり、エミッション・エネルギー・カップリング・エレメントは、表面プラズモンをサポートすることが可能である。他の実施形態では、サンプル・ウェル層は、光学的に透明な材料に隣接する導電性材料であることが可能である。表面エネルギー・カップリング・エレメントは、表面光学的な構造体であることが可能であり、表面光学的な構造体は、サンプル・ウェルからの放射エミッションによって励起され、サンプル・ウェルからの放射エミッションと相互作用する。
1. 1. The components within the assay chip 2-110 pixels, located near the sample wells of the surface optics pixels, can be configured to couple with the emission energy emitted by the sample. Such components can be formed at the interface between the two layers of the assay chip. For example, some emission energy coupling elements are formed at the interface between the sample well layer and the layer adjacent to the sample well layer on the opposite side of where the sample well is formed. obtain. In some cases, the layer below the sample well layer is a dielectric layer and the emission energy coupling element is capable of supporting the surface plasmon. In another embodiment, the sample well layer can be a conductive material adjacent to an optically transparent material. The surface energy coupling element can be a surface optical structure, which is excited by radiated emissions from the sample wells and with radiated emissions from the sample wells. Interact.

グレーチング周期、特徴サイズ、又は、サンプル・ウェルからの距離などのような、表面光学的な構造体の特性寸法は、エミッション・エネルギー運動量ベクトルの平行な成分を表面プラズモンに関する表面波運動量ベクトルの中へ最大限にカップリングするために選択され得る。たとえば、エミッション・エネルギー運動量ベクトルの平行な成分は、いくつかの実施形態によれば構造体によって支持される表面プラズモンの表面波運動量ベクトルにマッチさせられ得る。いくつかの実施形態では、サンプル・ウェルから表面光学的な構造体の縁部又は特性特徴への距離dは、たとえば、表面に対して垂直であるか、又は表面に対して垂直方向から角度θだけ傾けられているなど、選択された方向に、サンプル・ウェルからのエミッション・エネルギーを方向付けするように選択され得る。たとえば、距離dは、表面に対して垂直にエミッションを方向付けするために表面プラズモン波長の整数であることが可能である。いくつかの実施形態では、距離dは、分数の表面プラズモン波長又はそのモジュロ(modulo)の波長となるように選択され得る。 The characteristic dimensions of the surface optical structure, such as grating period, feature size, or distance from the sample well, put parallel components of the emission energy momentum vector into the surface wave momentum vector for the surface plasmon. May be selected for maximum coupling. For example, the parallel components of the emission energy momentum vector can be matched to the surface wave momentum vector of the surface plasmon supported by the structure according to some embodiments. In some embodiments, the distance d from the sample well to the edge or characteristic feature of the surface optical structure is, for example, perpendicular to the surface or angle θ from the direction perpendicular to the surface. It can be selected to orient the emission energy from the sample well in a selected direction, such as being tilted only. For example, the distance d can be an integer of the surface plasmon wavelength to direct the emissions perpendicular to the surface. In some embodiments, the distance d can be selected to be the surface plasmon wavelength of the fraction or the wavelength of its modulo.

いくつかの実施形態によれば、表面光学的な構造体は、サンプル・ウェルからの放射エミッション・エネルギーを、サンプル・ウェル層に対して垂直の方向に方向付けすることが可能である。カップリングされているエネルギーは、狭くなった指向性の放射線パターンの中で垂直方向に方向付けされ得る。 According to some embodiments, the surface optical structure is capable of directing the radiated emission energy from the sample wells in a direction perpendicular to the sample well layer. The coupled energy can be oriented vertically in a narrowed directional radiation pattern.

表面光学的な構造体の例は、同心円状のグレーチングである。同心円状のグレーチング構造体は、アッセイ・チップのピクセルの中に形成され、ピクセルの1つ又は複数のセンサーに向けてエミッション・エネルギーを方向付けすることが可能である。同心円状のグレーチング構造体は、サンプル・ウェルの周りに形成され得る。同心円状の円形のグレーチング表面5-102の例が、表面プラズモン構造体として、図5-1に示されている。円形のグレーチングは、任意の適切な数のリングからなることが可能であり、図10-1に示されているリングの数(6個)が、非限定的な例である。円形のグレーチングは、導電層の表面から突出するリングからなることが可能である。たとえば、円形のグレーチングは、サンプル・ウェル層と、サンプル・ウェル層の下に形成された誘電体層の界面に形成され得る。サンプル・ウェル層は、導電性材料であることが可能であり、同心円状のグレーチングは、導電性材料と誘電体との間の界面においてグレーチング構造体をパターニングすることによって形成され得る。円形のグレーチングのリングは、規則的な周期的なスペーシングとなっていることが可能であり、又は、リング同士の間に非規則的な又は非周期的なスペーシングを有することが可能である。サンプル・ウェルは、円形のグレーチングの中心に位置付けされ、又は、円形のグレーチングの中心の近くに位置付けされ得る。いくつかの実施形態では、サンプル・ウェルは、円形のグレーチングの中心から外れて位置付けされ得、また、グレーチングの中心から特定の距離に位置決めされ得る。いくつかの実施形態では、グレーチング・タイプ・エミッション・カップリング・コンポーネントは、スパイラル・グレーチングからなることが可能である。スパイラル・グレーチング5-202の例が、図5-2に示されている。スパイラル・グレーチング5-202は、導電性膜の中のスパイラル・アパーチャからなることが可能である。スパイラル・グレーチングの任意の適切な寸法が、スパイラル・グレーチングを形成するために使用され得る。 An example of a surface optical structure is concentric grating. Concentric grating structures are formed within the pixels of the assay chip and are capable of directing emission energy towards one or more sensors in the pixel. Concentric grating structures can be formed around the sample wells. An example of a concentric circular grating surface 5-102 is shown in FIG. 5-1 as a surface plasmon structure. The circular grating can consist of any suitable number of rings, and the number of rings (6) shown in FIG. 10-1 is a non-limiting example. The circular grating can consist of a ring protruding from the surface of the conductive layer. For example, circular grating can be formed at the interface between the sample well layer and the dielectric layer formed beneath the sample well layer. The sample well layer can be a conductive material and concentric gratings can be formed by patterning the grating structure at the interface between the conductive material and the dielectric. Rings of circular grating can have regular periodic spacing, or can have irregular or aperiodic spacing between the rings. .. The sample well may be located at the center of the circular grating or near the center of the circular grating. In some embodiments, the sample wells can be positioned off the center of the circular grating and can also be positioned at a specific distance from the center of the grating. In some embodiments, the grating type emission coupling component can consist of spiral grating. An example of spiral grating 5-202 is shown in FIG. 5-2. The spiral grating 5-202 can consist of a spiral aperture in a conductive film. Any suitable dimension of spiral grating can be used to form the spiral grating.

図5-3は、サンプル・ウェル2-211からのエミッション・エネルギーに関する放射線パターン5-302を図示している。同心円状のグレーチング構造体2-223は、エミッション・エネルギーが、グレーチング構造体2-223がないときに形成される放射線パターンと比較して、より大きい方向性を有することを引き起こす。いくつかの実施形態では、エミッション・エネルギーは、金属層2-221に対して垂直に下向きに方向付けされている。 FIG. 5-3 illustrates the radiation pattern 5-302 with respect to the emission energy from sample wells 2-211. The concentric grating structure 2-223 causes the emission energy to have a greater directionality compared to the radiation pattern formed in the absence of the grating structure 2-223. In some embodiments, the emission energy is directed downwards perpendicular to the metal layer 2-221.

表面オプティクス又は表面プラズモン構造体の別の例は、ナノ・アンテナ構造体である。ナノ・アンテナ構造体は、サンプル・ウェルからのエミッション・エネルギーを空間的に方向付けするように設計され得る。いくつかの実施形態では、ナノ・アンテナ構造体に対するサンプル・ウェルの場所は、サンプル・ウェルからのエミッション・エネルギーを、1つ又は複数のセンサーに向けて特定の方向に方向付けするように選択される。ナノ・アンテナは、ナノ・スケール双極子アンテナ構造体からなることが可能であり、ナノ・スケール双極子アンテナ構造体は、エミッション・エネルギーによって励起されるときに、指向性の放射線パターンを作り出すように設計されている。ナノ・アンテナは、サンプル・ウェルの周りに分散され得る。指向性の放射線パターンが、アンテナの電磁界の総和から結果として生じ得る。いくつかの実施形態では、指向性の放射線パターンが、電磁界がサンプルから直接的に放出される状態で、アンテナの電磁界の総和から結果として生じ得る。いくつかの実施形態では、サンプルから直接的に放出される電磁界は、サンプル・ウェルとナノ・アンテナ構造体との間の表面プラズモンによって媒介され得る。 Another example of a surface optics or surface plasmon structure is a nano-antenna structure. The nano-antenna structure can be designed to spatially direct the emission energy from the sample wells. In some embodiments, the location of the sample wells relative to the nanoantenna structure is selected to direct the emission energy from the sample wells in a particular direction towards one or more sensors. To. The nano-antenna can consist of a nano-scale dipole antenna structure so that the nano-scale dipole antenna structure produces a directional radiation pattern when excited by emission energy. It is designed. The nano-antenna can be dispersed around the sample well. A directional radiation pattern can result from the sum of the electromagnetic fields of the antenna. In some embodiments, a directional radiation pattern can result from the sum of the electromagnetic fields of the antenna, with the electromagnetic fields emitted directly from the sample. In some embodiments, the electromagnetic field emitted directly from the sample can be mediated by surface plasmons between the sample well and the nanoantenna structure.

ナノ・アンテナ構造体を形成する個々のナノ・アンテナの寸法は、全体的なナノ・アンテナ構造体の組み合わせられた能力が特定の分布パターンを作り出すように選択され得る。たとえば、個々のナノ・アンテナの直径は、ナノ・アンテナ構造体の中で変化することが可能である。しかし、いくつかの場合には、直径は、ナノ・アンテナのセットの中で同じであることが可能である。他の実施形態では、数個の選択された直径が、全体的なナノ・アンテナ構造体の全体を通して使用され得る。いくつかのナノ・アンテナは、半径Rの円形の上に分散され得、いくつかは、円形から半径方向にシフトされ得る。いくつかのナノ・アンテナは、半径Rの円形の周りに等しく間隔を置いて配置され得(たとえば、均等な極角インクレメントに中心を合わせられている)、いくつかは、円形の周りの等しいスペーシングからシフトされ得る。いくつかの実施形態では、ナノ・アンテナは、サンプル・ウェルの周りにスパイラル構成で配置され得る。追加的に又は代替的に、サンプル・ウェルの周りのマトリックス・アレイ、十字形分布、及び星形分布などのような、ナノ・アンテナの他の構成が可能である。個々のナノ・アンテナは、正方形、長方形、十字形、三角形、ちょうネクタイ、環状のリング、五角形、六角形、多角形などのような、円形以外の形状であることが可能である。いくつかの実施形態では、アパーチャ又はディスクの周囲は、たとえば、(Ν/2)λなど、おおよそ分数波長の整数の倍数であることが可能である。 The dimensions of the individual nano-antennas that form the nano-antenna structure can be selected so that the combined capabilities of the overall nano-antenna structure produce a particular distribution pattern. For example, the diameter of an individual nano-antenna can vary within the nano-antenna structure. However, in some cases the diameter can be the same within a set of nano-antennas. In other embodiments, several selected diameters can be used throughout the overall nano-antenna structure. Some nano-antennas can be dispersed over a circle of radius R and some can be radially shifted from the circle. Some nano-antennas can be evenly spaced around a circle of radius R (eg, centered on a uniform polar inclusion), and some are equal around a circle. Can be shifted from spacing. In some embodiments, the nano-antenna can be placed in a spiral configuration around the sample well. Additional or alternative, other configurations of nano-antennas are possible, such as matrix arrays around sample wells, cross-shaped distributions, and star-shaped distributions. Individual nano-antagons can have non-circular shapes such as squares, rectangles, crosses, triangles, bow ties, annular rings, pentagons, hexagons, polygons, and so on. In some embodiments, the perimeter of the aperture or disk can be an approximately multiple of an integer of fractional wavelength, for example (Ν / 2) λ.

ナノ・アンテナ・アレイは、サンプルからのエミッション・エネルギーを濃縮された放射線ローブの中へ方向付けすることが可能である。サンプルがエネルギーを放出するときには、サンプル・ウェルからサンプル・ウェルの周りに分散されたナノ・アンテナへ伝播する表面プラズモンを励起することが可能である。次いで、表面プラズモンは、ナノ・アンテナにおける放射線モード又は双極子エミッターを励起することが可能であり、それは、サンプル・ウェル層の表面に対して垂直な放射線を放出する。ナノ・アンテナにおいて励起されるモード又は双極子の位相は、サンプル・ウェルからのナノ・アンテナの距離に依存することとなる。サンプル・ウェルと個々のナノ・アンテナとの間の距離を選択することは、ナノ・アンテナから放出される放射線の位相を制御する。ナノ・アンテナにおいて励起される空間的な放射線モードは、ナノ・アンテナの幾何学形状及び/又はサイズに依存することとなる。個々のナノ・アンテナのサイズ及び/又は幾何学形状を選択することは、ナノ・アンテナから放出される空間的な放射線モードを制御する。アレイの中のすべてのナノ・アンテナ、及び、いくつかの場合にはサンプル・ウェルからの寄与が、放射線パターンを形成する1つ又は複数の全体的な放射線ローブを決定することが可能である。理解され得るように、位相及び個々のナノ・アンテナから放出される空間的な放射線モードは、波長に依存することが可能であり、放射線パターンを形成する1つ又は複数の全体的な放射線ローブが、波長に依存することとなるようになっている。電磁界の数値シミュレーションが用いられ、異なる特性波長のエミッション・エネルギーに関する全体的な放射線ローブ・パターンを決定することが可能である。 The nano-antenna array can direct the emission energy from the sample into a concentrated radiation lobe. When the sample releases energy, it is possible to excite surface plasmons propagating from the sample wells to the nanoantennas dispersed around the sample wells. The surface plasmon can then excite a radiation mode or dipole emitter in the nanoantenna, which emits radiation perpendicular to the surface of the sample well layer. The mode or dipole phase excited in the nano-antenna will depend on the distance of the nano-antenna from the sample well. Choosing the distance between the sample wells and the individual nano-antennas controls the phase of the radiation emitted by the nano-antennas. The spatial radiation mode excited in the nano-antenna will depend on the geometry and / or size of the nano-antenna. Choosing the size and / or geometry of the individual nano-antennas controls the spatial radiation mode emitted by the nano-antennas. Contributions from all nano-antennas in the array, and in some cases sample wells, can determine one or more overall radiation lobes that form the radiation pattern. As can be understood, the phase and spatial radiation modes emitted from the individual nano-antennas can be wavelength dependent, with one or more global radiation lobes forming the radiation pattern. , It has become dependent on the wavelength. Numerical simulations of electromagnetic fields can be used to determine the overall radiation lobe pattern for emission energies of different characteristic wavelengths.

ナノ・アンテナは、導電性膜の中のホール又はアパーチャのアレイからなることが可能である。たとえば、ナノ・アンテナ構造体は、導電性サンプル・ウェル層と下層にある誘電体層との間の界面において形成され得る。ホールは、中心点を取り囲む同心円状の円形の中に分散されたホールのセットからなることが可能である。いくつかの実施形態では、サンプル・ウェルは、アレイの中心点に位置付けされているが、他の実施形態では、サンプル・ウェルは中心から外れていてもよい。それぞれの円形に分散されたホールのセットは、円形分布の周りに最小から最大へ配置されている異なる直径の収集からなることが可能である。ホール直径は、セット同士の間で異なることが可能であり(たとえば、1つのセットの中の最小ホールは、別のセットの中の最小ホールよりも大きいことが可能である)、最小ホールの場所は、円形のそれぞれのセットに関して、異なる極角で配向され得る。いくつかの実施形態では、円形に分散されたホールの1つから7つのセットが、ナノ・アンテナの中に存在することが可能である。他の実施形態では、7つよりも多いセットが存在することが可能である。いくつかの実施形態では、ホールは、円形でなくてもよく、任意の適切な形状であることが可能である。たとえば、ホールは、楕円、三角形、矩形などであることが可能である。他の実施形態では、ホールの分布は、円形でなくてもよく、スパイラル形状を生成させることが可能である。 The nano-antenna can consist of an array of holes or apertures in a conductive membrane. For example, the nano-antenna structure can be formed at the interface between the conductive sample well layer and the underlying dielectric layer. The holes can consist of a set of holes dispersed within a concentric circle surrounding the center point. In some embodiments, the sample well is located at the center point of the array, but in other embodiments, the sample well may be off-center. Each set of circularly dispersed holes can consist of collections of different diameters arranged from minimum to maximum around a circular distribution. The hole diameter can vary between sets (eg, the smallest hole in one set can be larger than the smallest hole in another set), and the location of the smallest hole. Can be oriented at different polar angles for each set of circles. In some embodiments, one to seven sets of circularly dispersed holes can be present within the nanoantenna. In other embodiments, it is possible that there are more than seven sets. In some embodiments, the holes do not have to be circular and can be of any suitable shape. For example, the hole can be an ellipse, a triangle, a rectangle, and so on. In other embodiments, the distribution of holes does not have to be circular and can generate a spiral shape.

図5-4A及び5-4Bは、導電層の中のホール又はアパーチャからなる例示的なナノ・アンテナ構造体を図示している。図5-4Aは、ホール5-122によって取り囲まれたサンプル・ウェル5-108を備えるアッセイ・チップの表面の上部平面図を示している。ナノ・アンテナ・ホールは、その中心がおおよそ半径Rの円形の周りにあるように分散されている。この非限定的な例では、ホール直径は、ホールの円形の周囲の周りでインクレメンタルに増加することによって変化している。図5-4Bは、線B-Bに沿って、図5-4Aに示されているアッセイ・チップの断面図を示している。サンプル・ウェル層5-116は、ナノ・アンテナ構造体の一部であるサンプル・ウェル5-108及びアパーチャ5-122を含むことが可能である。アッセイ・チップの層5-118はサンプル・ウェル層5-116の下に延びている。層5-118は誘電材料及び/又は光学的に透明な材料であることが可能である。 5-4A and 5-4B illustrate an exemplary nano-antenna structure consisting of holes or apertures in a conductive layer. FIG. 5-4A shows a top plan view of the surface of an assay chip comprising sample wells 5-108 surrounded by holes 5-122. The nano-antenna holes are distributed so that their centers are around a circle with a radius R approximately. In this non-limiting example, the hole diameter varies by increasing incrementally around the perimeter of the hole's circle. FIG. 5-4B shows a cross-sectional view of the assay chip shown in FIG. 5-4A along line BB. The sample well layer 5-116 can include sample wells 5-108 and apertures 5-122 that are part of the nanoantenna structure. The assay chip layer 5-118 extends beneath the sample well layer 5-116. Layers 5-118 can be a dielectric material and / or an optically transparent material.

いくつかの実施形態では、ナノ・アンテナ構造体は、複数のディスクからなることが可能である。ナノ・アンテナ構造体のディスクは、導電性材料の表面から突出する導電性ディスクとして形成され得る。導電性材料は、光学的に透明な材料に隣接していることが可能である。いくつかの実施形態では、ナノ・アンテナは、サンプル・ウェルの周りに分散され得る。いくつかの場合には、ナノ・アンテナは、おおむねサンプル・ウェルの周りに半径Rの円形に分散され得る。ナノ・アンテナ・アレイは、サンプル・ウェルの周りで、おおよそ追加的な異なる半径の円形の上に分散されたナノ・アンテナの複数のセットからなることが可能である。 In some embodiments, the nano-antenna structure can consist of multiple discs. The disk of the nano-antenna structure can be formed as a conductive disk protruding from the surface of the conductive material. The conductive material can be adjacent to an optically transparent material. In some embodiments, the nano-antenna can be dispersed around the sample well. In some cases, the nano-antennas may be distributed in a circle with radius R approximately around the sample wells. A nano-antenna array can consist of multiple sets of nano-antennas distributed around a sample well on a circle with approximately additional different radii.

図5-5A及び図5-5Bは、導電層から突出するディスクからなるナノ・アンテナ構造体の例示的な実施形態を図示している。図5-5Aは、ディスク5-224によって取り囲まれているサンプル・ウェル5-208を備えるアッセイ・チップの表面の上部平面概略図を示している。ナノ・アンテナディスクは、おおよそ半径Rの円形の周りに分散されている。この非限定的な例では、2つの直径が、ディスクに関して使用され、ディスクは、ナノ・アンテナの円形の周囲の周りで、これらの2つの直径の間で交互になる。図5-5Bは、線C-C’に沿って、図6-3Cに示されているアッセイ・チップの断面図を示している。サンプル・ウェル層5-216は、ナノ・アンテナ構造体の一部であるサンプル・ウェル5-208及びディスク5-224を含むことが可能である。ディスク5-224は、特定の距離だけサンプル・ウェル層5-216から突出している。いくつかの実施形態では、ディスクがサンプル・ウェル層から延在する距離は、ナノ・アンテナ構造体の中で変化することが可能である。アッセイ・チップの層5-218はサンプル・ウェル層5-216の下方に延びる。層5-218は誘電材料及び/又は光学的に透明な材料からなることが可能である。サンプル・ウェル層5-216及び突出するディスクは、導電性材料であることが可能である。 5-5A and 5-5B illustrate an exemplary embodiment of a nano-antenna structure consisting of disks protruding from a conductive layer. FIG. 5-5A shows a schematic top plan view of the surface of an assay chip with sample wells 5-208 surrounded by a disk 5-224. The nano-antenna discs are dispersed around a circle with a radius of approximately R. In this non-limiting example, two diameters are used with respect to the disc, which alternates between these two diameters around the circumference of the nano-antenna circle. FIG. 5-5B shows a cross-sectional view of the assay chip shown in FIG. 6-3C along line CC'. The sample well layer 5-216 can include sample wells 5-208 and disc 5-224 that are part of the nanoantenna structure. The disc 5-224 protrudes from the sample well layer 5-216 by a specific distance. In some embodiments, the distance the disk extends from the sample well layer can vary within the nanoantenna structure. The assay chip layer 5-218 extends below the sample well layer 5-216. Layer 5-218 can be made of a dielectric material and / or an optically transparent material. The sample well layer 5-216 and the protruding disc can be a conductive material.

2. 遠視野オプティクス
いくつかの実施形態では、表面オプティクスの直ぐ下の層は、任意の適切な厚さのスペーサー層2-225であることが可能であり、それは、任意の適切な誘電材料から作製され得る。スペーサー層は、たとえば、厚さが10μmであることが可能であり、二酸化ケイ素から作製され得る。代替的に、このスペーサー層は、48μm又は50μmであることが可能である。スペーサー層の下にあるのは、追加的なスペーサー層を備える1つ又は複数のレンズ層であることが可能である。たとえば、図5-6Aは、上側レンズ層5-601を図示しており、上側レンズ層5-601は、少なくとも1つの屈折レンズを含むことが可能である。いくつかの実施形態では、上側レンズ層は、サンプル・ウェル層2-221の下方5μmに位置付けされ得る。それぞれのサンプル・ウェルに関連付けられる1つ又は複数のレンズが存在することが可能である。いくつかの実施形態では、レンズ・アレイが使用され得る。いくつかの実施形態では、上側レンズ層5-601のそれぞれのレンズは、サンプル・ウェル2-211の下方に中心を合わせられており、たとえば、10.5μmよりも小さい半径を有することが可能である。上側レンズ層は、例として、及び、限定としてではなく、窒化ケイ素などのような任意の適切な誘電材料から作製され得る。
2. 2. Far-view optics In some embodiments, the layer immediately below the surface optics can be a spacer layer 2-225 of any suitable thickness, which is made from any suitable dielectric material. obtain. The spacer layer can be, for example, 10 μm thick and can be made from silicon dioxide. Alternatively, the spacer layer can be 48 μm or 50 μm. Underneath the spacer layer can be one or more lens layers with additional spacer layers. For example, FIG. 5-6A illustrates the upper lens layer 5-601, which can include at least one refracting lens. In some embodiments, the upper lens layer may be located 5 μm below the sample well layer 2-221. It is possible that there may be one or more lenses associated with each sample well. In some embodiments, a lens array may be used. In some embodiments, each lens of the upper lens layer 5-601 is centered below the sample well 2-211, and can have a radius less than, for example, 10.5 μm. be. The upper lens layer can be made from any suitable dielectric material, such as, but not limited to, silicon nitride.

上側レンズ層の直ぐ下の層は、任意の適切な誘電体から作製された構造的な及び/又は光学的な層5-605であることが可能である。この構造的な及び/又は光学的な層5-605は、溶融シリカの形態の二酸化ケイ素から作製され得る。構造的な層の直ぐ下の層は、下側レンズ層5-603であることが可能であり、下側レンズ層5-603は、少なくとも1つの追加的なレンズを含むことが可能である。いくつかの実施形態では、下側レンズ層5-603の中のそれぞれのレンズも、サンプル・ウェルの下方に中心を合わせられ得る。下側レンズ層5-603は、例として、及び、限定としてではなく、窒化ケイ素などのような任意の適切な誘電材料から作製され得る。上側レンズ層の上部から下側レンズ層の底部までの距離は、100~500μmであることが可能である。下側レンズ層の直ぐ下の層は、反射防止層を含むことが可能であり、反射防止層は、励起エネルギー及びエミッション・エネルギーの両方を通過させ、反射される光の量を低減させる。反射防止層の直ぐ下の層は、構造的コンポーネントを含むことが可能であり、チップが機器に整合し、機器の上に装着することを可能にする。チップ装着層の直ぐ下の層は、保護カバーを含み、ダストを含む、損傷及び汚染からシステムを保護することが可能である。 The layer immediately below the upper lens layer can be a structural and / or optical layer 5-605 made from any suitable dielectric. This structural and / or optical layer 5-605 can be made from silicon dioxide in the form of fused silica. The layer immediately below the structural layer can be the lower lens layer 5-603 and the lower lens layer 5-603 can include at least one additional lens. In some embodiments, each lens in the lower lens layer 5-603 can also be centered below the sample well. The lower lens layer 5-603 can be made from any suitable dielectric material, such as, but not limited to, silicon nitride. The distance from the top of the upper lens layer to the bottom of the lower lens layer can be 100-500 μm. The layer immediately below the lower lens layer can include an antireflection layer, which allows both excitation energy and emission energy to pass and reduces the amount of reflected light. The layer immediately below the antireflection layer can contain structural components, allowing the chip to align with the device and mount on top of the device. The layer immediately below the chip mounting layer contains a protective cover and is capable of protecting the system from damage and contamination, including dust.

図5-6Aは、屈折レンズを使用して2つのレンズ層を図示しているが、任意の適切なレンズが使用され得る。たとえば、フレネル・レンズ、マイクロレンズ、屈折レンズ対、及び/又はフラット・レンズが使用され得る。図5-6Bは、構造的な及び/又は光学的な層5-605によって分離されている上側レンズ層5-611及び下側レンズ層5-613の両方の中に、フレネル・レンズを使用した実施形態を図示している。 FIG. 5-6A illustrates the two lens layers using a refracting lens, but any suitable lens can be used. For example, Fresnel lenses, microlenses, refracting lens pairs, and / or flat lenses may be used. FIG. 5-6B uses Fresnel lenses in both the upper lens layer 5-611 and the lower lens layer 5-613 separated by structural and / or optical layers 5-605. The embodiment is illustrated.

いくつかの実施形態では、チップの中の上記に説明されている層同士の間の界面のいずれかは、反射防止コーティング又は反射防止層を含むことが可能である。上側レンズ層及び第2のレンズ層の両方は、サンプル・ウェルの下方に配置され、サンプル・ウェルのアレイから放出される発光を機器のリレー・レンズの中へ焦点を合わせることが可能である。 In some embodiments, any of the interfaces between the layers described above in the chip can include an antireflection coating or an antireflection layer. Both the upper lens layer and the second lens layer are located below the sample wells and can focus the emission emitted from the array of sample wells into the relay lens of the instrument.

IV. 機器コンポーネント
I. 機器の顕微鏡層
いくつかの実施形態では、機器は、顕微鏡層を含むことが可能であり、顕微鏡層は、図6-1に図示されているように、副層を含むことが可能である。とりわけ、顕微鏡層は、励起エネルギーをアッセイ・チップに向けて方向付けするように角度θだけ傾けられたポリクロイック・ミラー2-230を含む副層を含むことが可能である。このポリクロイック・ミラーは、実質的に誘電体であることが可能であり、アッセイ・チップの上のサンプル・ウェルの1つ又は複数の中のサンプルからのエミッション・エネルギーを実質的に透過させながら、励起エネルギーを反射する。随意的に、追加的な誘電体層を含む非点収差補正エレメント6-101が、ポリクロイック・ミラーの下に設けられ、同じ角度θであるが、ポリクロイック・ミラーの傾きの軸線に直交する軸線の周りに傾けられており、ポリクロイック・ミラーによってもたらされる非点収差に関する補正を提供することが可能である。図6-1では、非点収差補正エレメント6-101は、上部フィルターと同じ平面の中で傾けられているように図示されているが、説明図は、上部フィルターに対する傾きを表しており、それは、非点収差補正エレメント6-101の配向を限定することを決して意味していないということが認識されるべきである。また、この非点収差補正エレメント6-101は、追加的なフィルタリングを提供することが可能である。たとえば、非点収差補正エレメント6-101は、エミッション・エネルギーを透過させながら励起エネルギーをさらにフィルタリングする別のポリクロイック・ミラーであることが可能である。レンズ6-103が、非点収差補正エレメント6-101の下に設けられ、サンプル・ウェルからのエミッション・エネルギーを処理するのをさらに助けることが可能である。レンズ6-103は、たとえば、直径が25.4μmであることが可能であるが、任意の適切な直径が使用され得る。いくつかの実施形態では、レンズは、複数のレンズ・エレメントからなるリレー・レンズである。たとえば、リレー・レンズは、6つの別々のレンズ・エレメントを含むことが可能である。いくつかの実施形態では、リレー・レンズは、長さがおおよそ17.5mmであることが可能である。追加的なフィルタリング・エレメントが、レンズ6-103の前又は後に使用され、励起エネルギーをさらに拒絶し、それがセンサーに到達することを防止することが可能である。
IV. Equipment components I. Microscope layer of the instrument In some embodiments, the instrument can include a microscope layer, which can include sublayers, as illustrated in FIG. 6-1. In particular, the microscope layer can include a sublayer containing a polychromic mirror 2-230 tilted by an angle θ to direct the excitation energy towards the assay chip. This polychromic mirror can be substantially dielectric, while substantially transmitting emission energy from the sample in one or more of the sample wells on the assay chip. Reflects the excitation energy. Optionally, an astigmatism correction element 6-101 containing an additional dielectric layer is provided beneath the polychromic mirror and at the same angle θ, but on an axis orthogonal to the axis of inclination of the polychromic mirror. Tilted around, it is possible to provide corrections for astigmatism caused by polychromic mirrors. In FIG. 6-1 the astigmatism correction element 6-101 is shown to be tilted in the same plane as the top filter, but the explanatory view shows the tilt with respect to the top filter. It should be recognized that it does not mean to limit the orientation of the astigmatism correction element 6-101. The astigmatism correction element 6-101 can also provide additional filtering. For example, the astigmatism correction element 6-101 can be another polychromic mirror that further filters the excitation energy while allowing the emission energy to pass through. A lens 6-103 can be provided under the astigmatism correction element 6-101 to further assist in processing the emission energy from the sample wells. Lens 6-103 can be, for example, 25.4 μm in diameter, but any suitable diameter can be used. In some embodiments, the lens is a relay lens consisting of a plurality of lens elements. For example, a relay lens can include six separate lens elements. In some embodiments, the relay lens can be approximately 17.5 mm in length. Additional filtering elements can be used before or after lens 6-103 to further reject the excitation energy and prevent it from reaching the sensor.

A. センサー・チップ
サンプル・ウェルの中のサンプルから放出されるエミッション・エネルギーは、さまざまな方式で、ピクセルのセンサーに送信され得、そのいくつかの例が、詳細に下記に説明されている。いくつかの実施形態は、光学コンポーネント及び/又はプラズモニック・コンポーネントを使用し、特定の波長の光が、特定の波長の光を検出するように特化されたセンサーの領域又は一部分へ方向付けされる可能性を増加させることが可能である。センサーは、異なる波長のエミッション・エネルギーを同時に検出するための複数のサブ・センサーを含むことが可能である。
A. Emission energy emitted from the sample in the sensor chip sample well can be transmitted to the pixel's sensor in various ways, some examples of which are described in detail below. Some embodiments use optical and / or plasmonic components to direct light of a particular wavelength to a region or portion of a sensor specialized to detect light of a particular wavelength. It is possible to increase the possibility of The sensor can include multiple sub-sensors for simultaneously detecting emission energies of different wavelengths.

図6-2Aは、いくつかの実施形態によるセンサー・チップの単一のピクセルの概略ダイアグラムであり、そこでは、少なくとも1つのソーティング・エレメント6-127が使用され、特定の波長のエミッション・エネルギーをそれぞれのサブ・センサー6-111から6-114に方向付けする。エミッション・エネルギー2-253は、それがセンサー・チップのソーティング・エレメント6-127に到達するまで、サンプル・ウェルから、アッセイ・チップ及び機器の光学的なシステムを通って進む。ソーティング・エレメント6-127は、エミッション・エネルギー2-253の波長を空間的な自由度にカップリングし、それによって、その構成波長成分へとエミッション・エネルギーを分離し、それは、ソーティングされたエミッション・エネルギーと称される。図6-2Aは、エミッション・エネルギー2-253が、誘電材料6-129を通って4つのソーティングされたエミッション・エネルギー経路へとスプリットされており、4つの経路のそれぞれが、ピクセルのサブ・センサー6-111から6-114に関連付けられているということを概略的に図示している。このように、それぞれのサブ・センサーが、スペクトルの異なる部分に関連付けられ、センサー・チップのそれぞれのピクセルに関してスペクトロメーターを形成している。 FIG. 6-2A is a schematic diagram of a single pixel of a sensor chip according to some embodiments, in which at least one sorting element 6-127 is used to provide emission energy of a particular wavelength. Direct to each sub-sensor 6-111 to 6-114. Emission energy 2-253 travels from the sample well through the assay chip and the optical system of the instrument until it reaches the sorting element 6-127 of the sensor chip. Sorting element 6-127 couples the wavelengths of emission energy 2-253 to spatial degrees of freedom, thereby separating the emission energy into its constituent wavelength components, which is the sorted emission. It is called energy. In Figure 6-2A, the emission energy 2-253 is split through the dielectric material 6-129 into four sorted emission energy paths, each of which is a pixel sub-sensor. It is schematically illustrated that it is associated with 6-111 to 6-114. In this way, each sub-sensor is associated with a different part of the spectrum, forming a spectrometer for each pixel of the sensor chip.

任意の適切なソーティング・エレメント6-127が、エミッション・エネルギーの異なる波長を分離するために使用され得る。実施形態は、光学エレメント又はプラズモニック・エレメントを使用することが可能である。光学的なソーティング・エレメントの例は、それに限定されないが、ホログラフィック・グレーチング、位相マスク・グレーチング、振幅マスク・グレーチング、及び、オフセットされたフレネル・レンズを含む。プラズモニック・ソーティング・エレメントの例は、それに限定されないが、フェーズド・ナノ・アンテナ・アレイ及びプラズモニック準結晶を含む。 Any suitable sorting element 6-127 can be used to separate different wavelengths of emission energy. Embodiments can use optical elements or plasmonic elements. Examples of optical sorting elements include, but are not limited to, holographic gratings, phase mask gratings, amplitude mask gratings, and offset Fresnel lenses. Examples of plasmonic sorting elements include, but are not limited to, phased nano-antenna arrays and plasmonic quasicrystals.

図6-2Bは、いくつかの実施形態によるセンサー・チップの単一のピクセルの概略ダイアグラムであり、そこでは、フィルタリング・エレメント6-121から6-124が使用され、特定の波長のエミッション・エネルギーをそれぞれのサブ・センサーに方向付けし、他の波長のエミッション・エネルギーが他のサブ・センサーに到達することを防止する。エミッション・エネルギー2-253は、それがフィルタリング・エレメント6-121から6-124のうちの1つに到達するまで、サンプル・ウェルからアッセイ・チップ及び機器の光学的なシステムを通って進む。フィルタリング・エレメント6-121から6-124は、サブ・センサー6-11から6-114の特定のセグメントにそれぞれ関連付けられ得、また、フィルタリング・エレメント6-121から6-124は、エミッション・エネルギーを吸収することによって(図6-1Bには図示されていない)、及び/又は、エミッション・エネルギーを反射することによって、それぞれの波長のエミッション・エネルギーを送信するように、及び、他の波長のエミッション・エネルギーを拒絶するように、それぞれ構成されている。それぞれのフィルタリング・エレメントを通過した後に、フィルタリングされたエミッション・エネルギーは、誘電材料6-129を通って進み、ピクセルの対応するサブ・センサー6-111から6-114に衝突する。このように、それぞれのサブ・センサーは、スペクトルの異なる部分に関連付けられ、センサー・チップのそれぞれのピクセルに関するスペクトロメーターを形成している。 FIG. 6-2B is a schematic diagram of a single pixel of the sensor chip according to some embodiments, in which filtering elements 6-121 to 6-124 are used and the emission energy of a particular wavelength. To each sub-sensor to prevent emission energy of other wavelengths from reaching the other sub-sensor. Emission energy 2-253 travels from the sample well through the assay chip and the optical system of the instrument until it reaches one of the filtering elements 6-121 to 6-124. Filtering elements 6-121 to 6-124 may be associated with specific segments of sub-sensors 6-11 to 6-114, respectively, and filtering elements 6-121 to 6-124 may provide emission energy. By absorbing (not shown in FIG. 6-1B) and / or by reflecting the emission energy, the emission energy of each wavelength is transmitted, and the emission of other wavelengths. -Each is configured to reject energy. After passing through each filtering element, the filtered emission energy travels through the dielectric material 6-129 and collides with the corresponding sub-sensors 6-111 to 6-114 of the pixel. Thus, each sub-sensor is associated with a different portion of the spectrum, forming a spectrometer for each pixel of the sensor chip.

任意の適切なフィルタリング・エレメントが、エミッション・エネルギーの異なる波長を分離するために使用され得る。実施形態は、光学的なフィルタリング・エレメント又はプラズモニック・フィルタリング・エレメントを使用することが可能である。光学的なソーティング・エレメントの例は、それに限定されないが、反射性多層誘電体フィルター又は吸収性フィルターを含む。プラズモニック・ソーティング・エレメントの例は、それに限定されないが、特定の波長でエネルギーを送信するように設計された周波数選択性表面、及び、フォトニック・バンド-ギャップ結晶を含む。 Any suitable filtering element can be used to separate different wavelengths of emission energy. Embodiments can use optical filtering elements or plasmonic filtering elements. Examples of optical sorting elements include, but are not limited to, reflective multilayer dielectric filters or absorbent filters. Examples of plasmonic sorting elements include, but are not limited to, selectivity surfaces designed to transmit energy at specific wavelengths, and photonic band-gap crystals.

代替的に、又は、上述のソーティング・エレメント及びフィルタリング・エレメントに加えて、追加的なフィルタリング・エレメントが、それぞれのサブ・センサー6-11から6-114に隣接して設置され得る。追加的なフィルタリング・エレメントは、薄い損失性膜を含むことが可能であり、薄い損失性膜は、特定の波長のエミッション・エネルギーに関して、強め合いの干渉を生成させるように構成されている。薄い損失性膜は、単一の膜又は多層膜であることが可能である。薄い損失性膜は、任意の適切な材料から作製され得る。たとえば、薄い損失性膜は、屈折率nが吸光係数kとおおよそ同じ桁数となっている、材料から作製され得る。他の実施形態では、薄い損失性膜は、屈折率nがその材料の吸光係数kの値から約2桁の差の中にある、材料から作製され得る。可視波長におけるそのような材料の非限定的な例は、ゲルマニウム及びシリコンである。 Alternatively, or in addition to the sorting and filtering elements described above, additional filtering elements may be installed adjacent to the respective sub-sensors 6-11 to 6-114. Additional filtering elements can include a thin loss film, which is configured to generate intensifying interference with respect to the emission energy of a particular wavelength. The thin lossy membrane can be a single membrane or a multilayer membrane. The thin lossy membrane can be made from any suitable material. For example, a thin lossy film can be made from a material having a refractive index n approximately the same as the extinction coefficient k. In another embodiment, the thin loss film can be made from a material whose index of refraction n is within about two orders of magnitude from the value of the material's extinction coefficient k. Non-limiting examples of such materials at visible wavelengths are germanium and silicon.

薄い損失性膜は、任意の適切な厚さであることが可能である。いくつかの実施形態では、薄い損失性膜は、1~45nmの厚さであることが可能である。他の実施形態では、薄い損失性膜は、15~45nmの厚さであることが可能である。さらなる他の実施形態では、薄い損失性膜は、1~20nmの厚さであることが可能である。図6-3Aは、薄い損失性膜6-211から6-214が、それぞれのサブ・センサー6-11から6-114に関連付けられる波長によって少なくとも部分的に決定された異なる厚さをそれぞれ有している、実施形態を図示している。膜の厚さは、薄い損失性膜を選択的に通過しサブ・センサーに至ることとなる別個の波長を少なくとも部分的に決定する。図6-211に図示されているように、薄い損失性膜6-211は、厚さd1を有しており、薄い損失性膜6-212は、厚さd2を有しており、薄い損失性膜6-213は、厚さd3を有しており、薄い損失性膜6-214は、厚さd4を有している。それぞれの次に続く薄い損失性膜の厚さは、その前の薄い損失性膜よりも小さくなっており、d1>d2>d3>d4となるようになっている。 The thin lossy membrane can be of any suitable thickness. In some embodiments, the thin lossy membrane can be 1-45 nm thick. In other embodiments, the thin lossy membrane can be 15-45 nm thick. In yet another embodiment, the thin lossy membrane can be 1-20 nm thick. In FIG. 6-3A, the thin lossy films 6-211 to 6-214 each have a different thickness at least partially determined by the wavelength associated with each sub-sensor 6-11 to 6-114. The embodiment is illustrated. The thickness of the membrane determines, at least in part, the distinct wavelength that will selectively pass through the thin lossy membrane and reach the sub-sensor. As illustrated in FIG. 6-221, the thin lossy film 6-221 has a thickness d1 and the thin lossy film 6-212 has a thickness d2, resulting in a thin loss. The sex film 6-213 has a thickness d3 and the thin lossy film 6-214 has a thickness d4. The thickness of each subsequent thin lossy film is smaller than that of the previous thin lossy film, so that d1> d2> d3> d4.

追加的に又は代替的に、薄い損失性膜は、異なる特質を備える異なる材料から形成され得、異なる波長のエミッション・エネルギーが、それぞれのサブ・センサーにおいて強め合って干渉するようになっている。たとえば、屈折率n及び/又は吸光係数kは、特定の波長のエミッション・エネルギーの伝送を最適化するように選択され得る。図6-3Bは、同じ厚さを備える薄い損失性膜6-221から6-224を図示しているが、それぞれの薄い損失性膜は、異なる材料から形成されている。いくつかの実施形態では、所望の波長のエミッション・エネルギーが強め合って干渉し、膜を通して送信されるように、薄い損失性膜の材料及び薄い損失性膜の厚さの両方が選択され得る。 Additional or alternative, thin lossy membranes can be formed from different materials with different qualities so that emission energies of different wavelengths intensify and interfere with each other in each sub-sensor. For example, the index of refraction n and / or the extinction coefficient k may be selected to optimize the transmission of emission energy at a particular wavelength. FIG. 6-3B illustrates thin lossy films 6-221 to 6-224 with the same thickness, but each thin lossy film is made of a different material. In some embodiments, both the thin lossy membrane material and the thin lossy membrane thickness can be selected so that the emission energies of the desired wavelengths intensify and interfere with each other and are transmitted through the membrane.

図6-1は、回折エレメント及びレンズの組み合わせが波長によってエミッション・エネルギーをソーティングするために使用される、実施形態を図示している。センサー・チップの第1の層6-105は、ブレーズド位相グレーチングを含むことが可能である。ブレーズド・グレーチングは、たとえば、40度に実質的に等しい角度φでブレーズされ(blazed)得、ブレーズド・グレーチング(Λ)のライン・スペーシングは、1.25μmに実質的に等しいことが可能である。当業者は、異なるブレーズ角度及び周期性が、エミッション・エネルギーの異なる波長の光の分離を実現するために使用され得るということを理解することとなる。そのうえ、任意の適切な回折光学素子が、エミッション・エネルギーの異なる波長を分離するために使用され得る。たとえば、位相マスク、振幅マスク、ブレーズド・グレーチング、又は、オフセットされたフレネル・レンズが使用され得る。 FIG. 6-1 illustrates an embodiment in which a combination of diffractive elements and lenses is used to sort emission energy by wavelength. The first layer 6-105 of the sensor chip can include blazed phase gratings. Blazed gratings can be, for example, blaze at an angle φ that is substantially equal to 40 degrees, and the line spacing of the blazed grating (Λ) can be substantially equal to 1.25 μm. .. Those skilled in the art will appreciate that different blaze angles and periodicities can be used to achieve the separation of light of different wavelengths of emission energy. Moreover, any suitable diffractive optics can be used to separate wavelengths with different emission energies. For example, phase masks, amplitude masks, blazed gratings, or offset Fresnel lenses can be used.

センサー・チップ2-260の第2の層6-106は、1つ又は複数のフレネル・レンズを含むことが可能であり、1つ又は複数のフレネル・レンズは、第1の層6-105の下に配設され、エミッション・エネルギーをさらにソーティングし、エミッション・エネルギーをセンサー6-107に方向付けする。そのうえ、任意の適切なレンズ・エレメントが、エミッション・エネルギーの異なる波長をさらに分離するために使用され得る。たとえば、屈折レンズが、フレネル・レンズの代わりに使用され得る。 The second layer 6-106 of the sensor chip 2-260 may include one or more Fresnel lenses, and the one or more Fresnel lenses may include one or more Fresnel lenses of the first layer 6-105. Arranged below, it further sorts the emission energy and directs the emission energy to the sensor 6-107. Moreover, any suitable lens element can be used to further separate wavelengths with different emission energies. For example, a refracting lens can be used in place of a Fresnel lens.

図6-1のさまざまなコンポーネントは、任意の適切な距離に間隔を離して配置され得る。たとえば、センサーの表面は、フレネル・レンズ層6-106の下に、5μmの距離に位置付けされ得る。顕微鏡層のレンズ6-103の中心からフレネル・レンズ層6-106までの距離は、50.6mmであることが可能である。ブレーズド位相グレーチング6-105は、センサーの表面の上に、おおよそ100μmの距離に位置付けされ得る。代替的に、アッセイ・チップの底部からグレーチング6-105の上部までの距離は、おおよそ53mmであることが可能である。センサー層の幅は、おおよそ10mmであることが可能である。 The various components of FIG. 6-1 can be spaced apart at any suitable distance. For example, the surface of the sensor may be located at a distance of 5 μm under the Fresnel lens layer 6-106. The distance from the center of the lens 6-103 of the microscope layer to the Fresnel lens layer 6-106 can be 50.6 mm. The blazed phase grating 6-105 can be located at a distance of approximately 100 μm above the surface of the sensor. Alternatively, the distance from the bottom of the assay chip to the top of the grating 6-105 can be approximately 53 mm. The width of the sensor layer can be approximately 10 mm.

アッセイ・チップ及び機器のさまざまな層は、上記に説明されている順序である必要はない。いくつかの実施形態では、フォーカシング・エレメント及び/又はソーティング・エレメント、ならびに、機器のイメージング・オプティクスは、逆の順序であることが可能である。たとえば、ブレーズド位相グレーチング6-105は、フレネル・レンズ層6-106の後に設置され得る。代替的に、フォーカシング・エレメント及び/又はソーティング・エレメントならびにイメージング・オプティクスは、単一の回折光学素子(DOE)の中へ組み込まれ得る。加えて、アッセイ・チップ及び機器のさまざまなコンポーネントが混ぜ合わせられ得、たとえば、イメージング・オプティクスが、フォーカシング・エレメント及び/又はソーティング・エレメントの上方及び下方の両方に起こり得るようになっている。 The various layers of the assay chip and instrument do not have to be in the order described above. In some embodiments, the focusing element and / or the sorting element, as well as the imaging optics of the instrument, can be in reverse order. For example, the blazed phase grating 6-105 may be installed after the Fresnel lens layer 6-106. Alternatively, the focusing element and / or the sorting element and the imaging optics can be integrated into a single diffractive optical element (DOE). In addition, various components of the assay chip and instrument can be mixed, for example, imaging optics can occur both above and below the focusing element and / or the sorting element.

空気とシステムの層との間の界面を含む、システムの中の上記に説明されている層同士の間の界面のいずれかは、反射防止コーティングを含むことが可能である。
B. 機器の光学的なブロックの実施形態
いくつかの実施形態では、機器1-120の光学的なブロックは、上記に説明されている光学的なコンポーネントのうちのいくつか又はすべてを含むことが可能である。光学的なブロックは、図6-4に配置されているような光学的なコンポーネントを提供することが可能である。上記に説明されているコンポーネントに加えて、光学的なブロックは、第1のファイバー・コネクター6-401及び第2のファイバー・コネクター6-402を含むことが可能であり、第1のファイバー・コネクター6-401には、励起エネルギーの第1の波長を担持する第1の光ファイバーが接続することが可能であり、第2のファイバー・コネクター6-402には、励起エネルギーの第2の波長を担持する第2の光ファイバーが接続することが可能である。例として、及び、限定としてではなく、励起エネルギーの第1の励起波長は、630~640nmであることが可能である。光ファイバー・コネクターは、FCコネクター又はLCコネクターなどのような、任意の適切な従来のコネクターであることが可能である。2つの異なる波長が入力された場合には、波長は、ダイクロイック・ミラー又はポリクロイック・ミラーなどのような、波長コンバイナー6-403によって組み合わせられ得る。第2の励起波長は、515~535nmであることが可能である。入力励起エネルギーは、直線偏光などのような、任意の適切な偏光であることが可能である。いくつかの実施形態では、励起エネルギーを担持するファイバーは、偏光保持ファイバーであることが可能である。随意的に、励起フィルター、及び、光ファイバー・トゥー・フリー・スペース・カップラーなどのような偏光子が、光ファイバー入力の後に使用され、励起エネルギーの特性をさらにフィルタリング又は修正することが可能である。
Any of the interfaces between the layers described above in the system, including the interface between the air and the layers of the system, can include an antireflection coating.
B. Embodiments of Optical Blocks of Equipment In some embodiments, the optical blocks of equipment 1-120 can include some or all of the optical components described above. be. Optical blocks can provide optical components such as those located in Figure 6-4. In addition to the components described above, the optical block can include a first fiber connector 6-401 and a second fiber connector 6-402, a first fiber connector. A first optical fiber carrying a first wavelength of excitation energy can be connected to 6-401, and a second wavelength of excitation energy is carried to a second fiber connector 6-402. A second optical fiber can be connected. By way of example, and without limitation, the first excitation wavelength of the excitation energy can be 630-640 nm. The fiber optic connector can be any suitable conventional connector, such as an FC connector or an LC connector. If two different wavelengths are input, the wavelengths can be combined by a wavelength combiner 6-403, such as a dichroic mirror or a polychromic mirror. The second excitation wavelength can be 515-535 nm. The input excitation energy can be any suitable polarization, such as linear polarization. In some embodiments, the fiber carrying the excitation energy can be a polarization retaining fiber. Optionally, an excitation filter and a polarizing element such as a fiber optic to free space coupler can be used after the fiber optic input to further filter or modify the properties of the excitation energy.

光学的なブロックは、1つ又は複数の金属ハウジングを含み、ビーム・シェイピングなどのような光学的なプロセッシングのためのレンズ及び他の光学的なコンポーネントを保持することが可能である。図6-4は、4つの金属ハウジング6-405から6-408を図示しており、それぞれが、レンズ及び/又は他の光学的なコンポーネントを保持している。励起エネルギーをコリメートし、焦点を合わせるために使用される任意の数のレンズが存在することが可能である。1つ又は複数のミラー6-411及び6-412は、励起エネルギーをアッセイ・チップ2-110に向けてガイドするために、金属ハウジングのいくつかの間に位置している。図6-4では、第1のミラー6-411は、第2のハウジング6-406から第3のハウジング6-407へ励起エネルギーを方向付けしており、第2のミラー6-412は、第4のハウジング6-408からポリクロイック誘電体ミラー2-230へ励起エネルギーを反射する。ポリクロイック誘電体ミラー2-230は、励起エネルギーを非点収差補正フィルター6-601に向けて方向付けする。 The optical block includes one or more metal housings and is capable of holding lenses and other optical components for optical processing such as beam shaping. FIG. 6-4 illustrates four metal housings 6-405 to 6-408, each holding a lens and / or other optical component. It is possible that there can be any number of lenses used to collimate and focus the excitation energy. One or more mirrors 6-411 and 6-421 are located between some of the metal housings to guide the excitation energy towards assay chip 2-110. In FIG. 6-4, the first mirror 6-411 directs the excitation energy from the second housing 6-406 to the third housing 6-407, and the second mirror 6-412 is the second. Excitation energy is reflected from the housing 6-408 of No. 4 to the polychromic dielectric mirror 2-230. The polychromic dielectric mirror 2-230 directs the excitation energy towards the astigmatism correction filter 6-601.

いくつかの実施形態では、円偏光が、サンプル・ウェルの中へ方向付けされ、発光性マーカが同様の強度で発光を放出することを引き起こすことが可能である。4分の1波長プレートが、それがアッセイ・チップに到達する前に、直線偏光を円偏光に伝達するために使用され得る。ポリクロイック誘電体ミラー2-230は、励起エネルギーを4分の1波長プレート6-415に方向付けする。図6-4に図示されているように、4分の1波長プレート6-415は、非点収差補正フィルター6-101とアッセイ・チップ2-110との間に配設され得る。次いで、円偏光された励起エネルギーは、アッセイ・チップの上の複数のピクセルに向けて方向付けされる。ピクセルに向けて方向付けされない励起エネルギーは、ビーム・ダンプ・コンポーネント6-417によって吸収され得る。1つ又は複数のサンプル・ウェルの内側のサンプルに到達する励起エネルギーは、サンプルがエミッション・エネルギーを放出することを引き起こすこととなり、エミッション・エネルギーは、センサー2-260に向けて方向付けされる。エミッション・エネルギーは、偏光オプティクス、非点収差補正エレメント6-101、ポリクロイック・ミラー2-230、及びリレー・レンズ6-103などのような、光学的なコンポーネントを通過することが可能である。ポリクロイック・ミラーは、フィルターとしての役割を果たし、それは、例として、ノッチ・フィルター、スパイク・フィルター、又はカット・オフ・フィルターであることが可能である。リレー・レンズ6-103は、センサーに向けてエミッション・エネルギーをイメージすることが可能である。次いで、エミッション・エネルギーの一部分が、センサー2-260の上方に位置している、1つ又は複数のエミッション・フィルター6-421及び6-422を通過することが可能であり、1つ又は複数のエミッション・フィルター6-421及び6-422は、エミッション・エネルギーをさらにフィルタリングすることが可能である。いくつかの実施形態では、エミッション・フィルターは、入射エミッション・エネルギー伝播方向に対してある角度で傾けられ得、フィルターの伝送特性をチューニングするように、及び/又は、後方反射によって引き起こされる干渉を低減させるようになっている。上部フィルター6-421が角度θで傾けられている場合には、底部フィルター6-422は、同じ角度θであるが、上部フィルターの傾きの軸線に直交する軸線の周りに傾けられており、エミッション放射線ビーム経路の中に非点収差が確実に生じないようにすることが可能である。 In some embodiments, circular polarization can be directed into the sample well, causing the luminescent marker to emit luminescence with similar intensities. A quarter wavelength plate can be used to transfer linearly polarized light to circularly polarized light before it reaches the assay chip. The polychromic dielectric mirror 2-230 directs the excitation energy to the quarter wavelength plate 6-415. As illustrated in FIG. 6-4, the quarter wavelength plate 6-415 may be disposed between the astigmatism correction filter 6-101 and the assay chip 2-110. The circularly polarized excitation energy is then directed towards multiple pixels on the assay chip. Excitation energies that are not directed towards the pixel can be absorbed by the beam dump component 6-417. The excitation energy that reaches the sample inside one or more sample wells will cause the sample to emit emission energy, which is directed towards sensor 2-260. Emission energy can pass through optical components such as polarization optics, astigmatism correction elements 6-101, polychromic mirrors 2-230, and relay lenses 6-103. The polychromic mirror acts as a filter, which can be, for example, a notch filter, a spike filter, or a cut-off filter. The relay lens 6-103 can image the emission energy toward the sensor. A portion of the emission energy can then pass through one or more emission filters 6-421 and 6-422 located above the sensor 2-260 and one or more. Emission filters 6-421 and 6-422 are capable of further filtering emission energy. In some embodiments, the emissions filter can be tilted at an angle with respect to the incident emission energy propagation direction to tune the transmission characteristics of the filter and / or reduce the interference caused by back reflections. It is designed to let you. If the top filter 6-421 is tilted at an angle θ, the bottom filter 6-422 is tilted at the same angle θ but around an axis orthogonal to the axis of tilt of the top filter and emits. It is possible to ensure that astigmatism does not occur in the radiation beam path.

C. センサー
本開示は、センサー、センサー動作、及び信号処理方法のさまざまな実施形態を提供する。いくつかの実施形態によれば、センサー・チップ2-260のピクセルにおけるセンサー2-122は、サンプル・ウェルの中の1つ又は複数のタグからのエミッション・エネルギーを受け取ることができ、かつ、受け取られたエミッション・エネルギーを表す1つ又は複数の電気信号を作り出すことができる、任意の適切なセンサーからなることが可能である。いくつかの実施形態では、センサーは、少なくとも1つのフォトディテクター(たとえば、半導体基板の中に形成されたp-n接合)からなることが可能である。図7-1A及び図7-1Bは、センサー・チップのピクセル2-100の中に製作され得るセンサーの1つの実施形態を示している。
C. Sensors The present disclosure provides various embodiments of sensors, sensor operations, and signal processing methods. According to some embodiments, the sensor 2-122 in the pixels of the sensor chip 2-260 can and receives emission energy from one or more tags in the sample well. It can consist of any suitable sensor capable of producing one or more electrical signals representing the emitted emissions energy. In some embodiments, the sensor can consist of at least one photodetector (eg, a pn junction formed within a semiconductor substrate). 7-1A and 7-1B show one embodiment of a sensor that can be made within pixel 2-100 of the sensor chip.

いくつかの実施形態によれば、センサー2-122は、センサー・チップのそれぞれのピクセル2-100において形成され得る。センサーは、アッセイ・チップのサンプル・ウェル2-211に関連付けられ得る。センサーの上方に、1つ又は複数の透明な層7-110が存在することが可能であり、サンプル・ウェルからのエミッションが、ほとんど減衰なしにセンサーまで進むことができるようになっている。センサー2-122は、いくつかの実施形態によれば、ピクセルのベースにおいて、半導体基板7-120の中に形成され得、サンプル・ウェルの、アッセイ・チップ(図示せず)と同じ側に位置付けされ得る。 According to some embodiments, the sensor 2-122 can be formed at each pixel 2-100 of the sensor chip. The sensor may be associated with sample wells 2-211 of the assay chip. It is possible that one or more transparent layers 7-110 may be present above the sensor, allowing emissions from the sample wells to travel to the sensor with little attenuation. Sensors 2-122 can be formed in the semiconductor substrate 7-120 at the pixel base, according to some embodiments, and are positioned on the same side of the sample well as the assay chip (not shown). Can be done.

センサーは、1つ又は複数の半導体接合フォトディテクター・セグメントからなることが可能である。それぞれの半導体接合は、第1の導電タイプのウェルからなることが可能である。たとえば、それぞれの半導体接合は、図面に示されているように、p-タイプの基板の中に形成されたn-タイプのウェルからなることが可能である。いくつかの実施形態によれば、センサー2-122は、図7-1Bの平面図に示されているように、ブルズ・アイ検出器7-162として配置され得る。第1のフォトディテクター7-124は、センサーの中央に位置付けされ得、第2の環状のフォトディテクター7-122は、中央フォトディテクターを取り囲むことが可能である。ウェルへの電気的接触が、第1の又はその後のメタライゼーション・レベルにおいて形成される導電性トレース7-134を通して、及び、導電性ビア7-132を通して、作製され得る。ビアの接触領域において、高度にドープされた半導体材料7-126の領域が存在することが可能である。いくつかの実施形態では、フィールド酸化物7-115が、フォトディテクター同士の間の表面に形成され得、及び、それぞれのフォトディテクターの一部分を被覆することが可能である。いくつかの実施形態では、センサー2-122に隣接してピクセルの中に形成された追加的な半導体デバイス7-125(たとえば、トランジスター、増幅器など)が存在することが可能である。ピクセルの中に追加的なメタライゼーション・レベル7-138、7-136が存在することが可能である。 The sensor can consist of one or more semiconductor junction photodetector segments. Each semiconductor junction can consist of a first conductive type well. For example, each semiconductor junction can consist of n-type wells formed within a p-type substrate, as shown in the drawings. According to some embodiments, the sensor 2-122 can be arranged as a bullseye detector 7-162, as shown in the plan view of FIG. 7-1B. The first photodetector 7-124 may be located in the center of the sensor and the second annular photodetector 7-122 may surround the central photodetector. Electrical contact to the wells can be made through the conductive traces 7-134 formed at the first or subsequent level of metallization and through the conductive vias 7-132. It is possible that there is a region of the highly doped semiconductor material 7-126 in the contact region of the via. In some embodiments, the field oxide 7-115 can be formed on the surface between the photodetectors and can cover a portion of each photodetector. In some embodiments, it is possible that there are additional semiconductor devices 7-125 (eg, transistors, amplifiers, etc.) formed within the pixel adjacent to the sensor 2-122. It is possible that there are additional metallization levels 7-138, 7-136 within the pixel.

いくつかの実施形態では、メタライゼーション・レベル7-136は、ピクセルの大部分を横切って延在することが可能であり、また、フォトディテクター7-124の上方に中心を併せている開口部を有することが可能であり、サンプル・ウェルからのエミッションがセンサーに到達することができるようになっている。いくつかのケースでは、メタライゼーション・レベル7-136は、基準電位又はグランド平面としての役割を果たすことが可能であり、追加的に、光学的なブロックとしての役割を果たし、少なくともいくらかの背景放射線(たとえば、励起供給源又は周囲環境からの放射線)がセンサー2-260に到達することを防止することが可能である。 In some embodiments, the metallization level 7-136 can extend across most of the pixels and also has a centered opening above the photodetector 7-124. It is possible to have and allow emissions from the sample well to reach the sensor. In some cases, metallization levels 7-136 can serve as a reference potential or ground plane, and additionally serve as an optical block, at least some background radiation. It is possible to prevent (eg, radiation from an excitation source or ambient environment) from reaching sensor 2-260.

図7-1A及び図7-1Bに示されているように、センサー2-122は、空間的に及び電気的に互いから分離されている複数のフォトディテクター・セグメント7-122、7-124へとさらに分割され得る。いくつかの実施形態では、センサー2-122のセグメントは、反対にドープされた半導体材料の領域からなることが可能である。たとえば、第1のセンサー・セグメントに関する第1の電荷蓄積ウェル7-124が、基板の第1の領域をドーピングすることによって形成され、第1のウェルの中に第1の導電タイプ(たとえば、n-タイプ)を有することが可能である。基板は、p-タイプであることが可能である。第2のセンサー・セグメントに関する第2の電荷蓄積ウェル7-122が、基板の第2の領域をドーピングすることによって形成され、第2のウェルの中に第1の導電タイプを有することが可能である。第1及び第2のウェルは、基板のp-タイプ領域によって分離され得る。 As shown in FIGS. 7-1A and 7-1B, sensors 2-122 go to multiple photodetector segments 7-122, 7-124 that are spatially and electrically separated from each other. And can be further divided. In some embodiments, the segment of sensor 2-122 can consist of a region of conversely doped semiconductor material. For example, a first charge storage well 7-124 for the first sensor segment is formed by doping a first region of the substrate and into the first well a first conductive type (eg, n). -It is possible to have a type). The substrate can be p-type. A second charge storage well 7-122 for the second sensor segment can be formed by doping a second region of the substrate and have a first conductive type within the second well. be. The first and second wells can be separated by the p-type region of the substrate.

センサー2-122の複数のセグメントが、ブルズ・アイ・レイアウト以外の任意の適切な方式で配置され得、センサーの中に3つ以上のセグメントが存在することが可能である。たとえば、いくつかの実施形態では、複数のフォトディテクター・セグメント7-142が、横方向に互いから分離され、図7-1Cに示されているように、ストライプ・センサー7-164を形成することが可能である。いくつかの実施形態では、クワッド(又はクワドラント)・センサー7-166が、図7-1Dに示されているように、セグメント7-144をクワッド・パターンで配置することによって形成され得る。いくつかの実施形態では、円弧形セグメント7-146が、図7-1Eに示されているように、ブルズ・アイ・パターンと組み合わせて形成され、円弧形にセグメント化されたセンサー7-168を形成することが可能である。別のセンサー構成は、パイ・ピース・セクションからなることが可能であり、パイ・ピース・セクションは、円形の別々のセクションで配置されている個々のセンサーを含むことが可能である。いくつかのケースでは、センサー・セグメントは、サンプル・ウェル2-211の周りに対称的に配置され、又は、サンプル・ウェルの周りに非対称的に配置され得る。センサー・セグメントの配置は、先述の配置だけに限定されず、センサー・セグメントの任意の適切な分布が使用され得る。 Multiple segments of sensors 2-122 may be arranged in any suitable manner other than the bullseye layout, and it is possible that there are three or more segments within the sensor. For example, in some embodiments, multiple photodetector segments 7-142 are laterally separated from each other to form a striped sensor 7-164 as shown in FIG. 7-1C. Is possible. In some embodiments, the quad (or quadrant) sensor 7-166 may be formed by arranging the segments 7-144 in a quad pattern, as shown in FIG. 7-1D. In some embodiments, the arc segment 7-146 is formed in combination with the bullseye pattern and segmented into an arc, as shown in FIG. 7-1E. It is possible to form 168. Another sensor configuration can consist of a pie piece section, which can include individual sensors arranged in separate circular sections. In some cases, the sensor segments may be placed symmetrically around the sample wells 2-211, or asymmetrically around the sample wells. The placement of the sensor segments is not limited to the above-mentioned placement, and any suitable distribution of the sensor segments may be used.

本発明者は、クワドラント・センサー7-166、パイ・セクター・センサー、又は、同様のセクター・センサーが、より好適に、他のセンサー構成よりも小さいピクセル・サイズまで縮小することが可能であるということが見出された。クワドラント及びセクター検出器は、より少ない、検出される複数の波長に関するピクセル面積及びアクティブ・センサー面積しか消費しないことが可能である。センサーは、さまざまな幾何学的構成で配置され得る。いくつかの例では、センサーは、正方形の構成又は六角形の構成で配置されている。 The inventor says that the quadrant sensor 7-166, pie sector sensor, or similar sector sensor can more preferably be reduced to a smaller pixel size than other sensor configurations. Was found. Quadrant and sector detectors can consume less pixel area and active sensor area for multiple wavelengths detected. Sensors can be arranged in a variety of geometric configurations. In some examples, the sensors are arranged in a square or hexagonal configuration.

本開示のセンサーは、独立して(又は、個別に)アドレス可能であり得る。個別にアドレス可能なセンサーは、信号を検出することができ、他のセンサーから独立した出力を提供することができる。個別にアドレス可能なセンサーは、個別に読み取り可能であり得る。 The sensors of the present disclosure may be addressable independently (or individually). Individually addressable sensors can detect the signal and provide an output independent of other sensors. Individually addressable sensors can be individually readable.

いくつかの実施形態では、スタックされたセンサー7-169が、図7-1Fに示されているように、複数の分離されているセンサー・セグメント7-148を垂直方向のスタックに製作することによって形成され得る。たとえば、セグメントは、上下に位置付けされ得、スタックされたセグメント同士の間に、絶縁層が存在してもよいし、又は存在しなくてもよい。それぞれの垂直方向の層が、特定のエネルギーのエミッション・エネルギーを吸収するように、及び、異なるエネルギーでエミッションを通過させるように構成され得る。たとえば、第1の検出器は、より短い波長の放射線(たとえば、サンプルからの約500nm以下の青色波長の放射線)を吸収及び検出することが可能である。第1の検出器は、サンプルからの緑色及び赤色の波長エミッションを通過させることが可能である。第2の検出器は、(たとえば、約500nmから約600nmの間の)緑色波長の放射線を吸収及び検出し、赤色エミッションを通過させることが可能である。第3の検出器は、赤色エミッションを吸収及び検出することが可能である。いくつかの実施形態では、反射膜7-149が、スタックの中に組み込まれ、選択された波長帯域の光を反射させ、セグメントを通して戻すことが可能である。たとえば、膜は、第2のセグメントによって吸収されなかった緑色波長の放射線を反射させることが可能であり、第2のセグメントを通して戻し、その検出効率を増加させることが可能である。 In some embodiments, the stacked sensors 7-169 are made by making a plurality of isolated sensor segments 7-148 into a vertical stack, as shown in FIG. 7-1F. Can be formed. For example, the segments may be positioned one above the other, with or without an insulating layer between the stacked segments. Each vertical layer can be configured to absorb emissions of a particular energy and to pass emissions at different energies. For example, the first detector is capable of absorbing and detecting shorter wavelength radiation (eg, radiation with a blue wavelength of about 500 nm or less from a sample). The first detector is capable of passing green and red wavelength emissions from the sample. The second detector is capable of absorbing and detecting green wavelength radiation (eg, between about 500 nm and about 600 nm) and allowing it to pass red emissions. The third detector is capable of absorbing and detecting red emissions. In some embodiments, a reflective film 7-149 is incorporated into the stack and is capable of reflecting light in the selected wavelength band and returning it through the segment. For example, the membrane can reflect radiation of green wavelength that was not absorbed by the second segment and can be returned through the second segment to increase its detection efficiency.

垂直方向にスタックされたセンサー・セグメントを備えるいくつかの実施形態では、エミッション・カップリング・コンポーネントが、サンプル・ウェルに含まれずに、エミッション波長に依存するサンプル・エミッションの別個の空間的な分布パターンを作り出すことが可能である。スペクトル的に異なるエミッションの判別は、いくつかの実施形態によれば、そのスタックされたセグメントからの信号の比率を分析することによって、垂直方向に-スタックされたセンサー7-169を用いて実現され得る。 In some embodiments with vertically stacked sensor segments, the emissions coupling component is not included in the sample wells and is a separate spatial distribution pattern of sample emissions that depends on the emission wavelength. It is possible to create. Discrimination of spectrally different emissions is achieved using vertically-stacked sensors 7-169 by analyzing the proportion of signals from the stacked segments, according to some embodiments. obtain.

いくつかの実施形態では、センサー2-122のセグメントは、シリコンから形成されているが、任意の適切な半導体(たとえば、Ge、GaAs、SiGe、InPなど)も使用され得る。いくつかの実施形態では、センサー・セグメントは、有機の光導電性膜からなることが可能である。他の実施形態では、量子ドット・フォトディテクターが、センサー・セグメントに関して使用され得る。量子ドット・フォトディテクターは、量子ドットのサイズに基づいて、異なるエミッション・エネルギーに応答することが可能である。いくつかの実施形態では、さまざまなサイズの複数の量子ドットが、サンプル・ウェルから受け取られる異なるエミッション・エネルギー又は波長同士の間を差別するために使用され得る。たとえば、第1のセグメントは、第1のサイズを有する量子ドットから形成され得、第2のセグメントは、第2のサイズを有する量子ドットから形成され得る。さまざまな実施形態では、センサー2-122は、従来のCMOSプロセスを使用して形成され得る。 In some embodiments, the segment of sensor 2-122 is formed from silicon, but any suitable semiconductor (eg, Ge, GaAs, SiGe, InP, etc.) may also be used. In some embodiments, the sensor segment can consist of an organic photoconducting film. In other embodiments, quantum dot photodetectors may be used for the sensor segment. Quantum dot photodetectors can respond to different emission energies based on the size of the quantum dots. In some embodiments, multiple quantum dots of various sizes can be used to discriminate between different emission energies or wavelengths received from the sample wells. For example, the first segment may be formed from quantum dots having a first size, and the second segment may be formed from quantum dots having a second size. In various embodiments, sensors 2-122 can be formed using conventional CMOS processes.

上記に説明されているように、エミッション・カップリング・コンポーネントは、いくつかの実施形態では、サンプル・ウェルに隣接して製作され得る。ソーティング・エレメント2-243は、サンプル・ウェル2-211の中のサンプルからのエミッションを変更し、エミッション波長に依存するサンプル・エミッションの別個の空間的な分布パターンを作り出すことが可能である。図7-2Aは、第1の空間的な分布パターン7-250の例を示しており、それは、第1の波長において第1のサンプルから作り出され得る。第1の空間的な分布パターン7-250は、たとえば図7-2Bに示すように、ブルズ・アイ・センサー7-162の中央セグメントに向けて方向付けされている顕著な中央ローブを有することが可能である。そのようなパターン7-250は、あらゆる適切な回折素子から作り出され得、そこでは、サンプルが約663nmの波長で放出する。センサーへ入射する投射されたパターン7-252は、図7-2Bに図示されているように出現することが可能である。 As described above, the emission coupling component may be made adjacent to the sample well in some embodiments. Sorting elements 2-243 can modify emissions from the samples in sample wells 2-211, creating a separate spatial distribution pattern of sample emissions that depends on the emission wavelength. FIG. 7-2A shows an example of the first spatial distribution pattern 7-250, which can be produced from the first sample at the first wavelength. The first spatial distribution pattern 7-250 may have a prominent central lobe oriented towards the central segment of the bullseye sensor 7-162, for example as shown in FIG. 7-2B. It is possible. Such a pattern 7-250 can be produced from any suitable diffractive element, where the sample emits at a wavelength of about 663 nm. The projected pattern 7-252 incident on the sensor can appear as illustrated in FIG. 7-2B.

図7-2Cは、空間的な分布パターン7-260を示しており、それは、いくつかの実施形態によれば、同じサンプル・ウェルから第2の波長で放出する第2のサンプルから作り出され得る。第2の空間的な分布パターン7-260は、放射線の2つのローブからなり、第1の空間的な分布パターン7-250とは異なることが可能である。第2の空間的な分布パターン7-260の投射されたパターン7-262は、いくつかの実施形態によれば、図7-2Dに示されているように出現することが可能である。第2の空間的な分布パターン7-260は、あらゆる適切な回折素子から作り出され得、そこでは、サンプルが約687nmの波長で放出する。 FIG. 7-2C shows a spatial distribution pattern 7-260, which, according to some embodiments, can be produced from a second sample emitting at a second wavelength from the same sample well. .. The second spatial distribution pattern 7-260 consists of two lobes of radiation and can be different from the first spatial distribution pattern 7-250. The projected pattern 7-262 of the second spatial distribution pattern 7-260 can appear as shown in FIG. 7-2D, according to some embodiments. A second spatial distribution pattern 7-260 can be produced from any suitable diffractive element, where the sample emits at a wavelength of about 687 nm.

センサー2-122のセグメントは、いくつかの実施形態によれば、特定のエミッション・エネルギーを検出するように配置され得る。たとえば、サンプル・ウェルに隣接するエミッション・カップリング構造体及びセンサーのセグメントは、特定のエミッション・エネルギー同士の間の信号差別化を増加させるように、組み合わせて設計され得る。エミッション・エネルギーは、センサー・チップとともに使用されることとなる選択されたタグに対応することが可能である。例として、ブルズ・アイ・センサー7-162は、サンプルから投射されたパターン7-260、7-262により良好にマッチするように、そのセグメントをサイズ決め及び/又は位置付けさせることが可能であり、より高い強度の領域が、センサーのアクティブ・セグメントの中に、より中央に収まるようになっている。代替的に又は追加的に、回折素子は、投射されたパターン7-260、7-262を変更するように設計され得、強い領域が、センサーのセグメントの中に、より中央に収まるようになっている。 The segments of sensors 2-122 may be arranged to detect specific emission energies, according to some embodiments. For example, emissions coupling structures and sensor segments adjacent to sample wells may be designed in combination to increase signal differentiation between specific emission energies. Emission energy can correspond to the selected tag that will be used with the sensor chip. As an example, the bullseye sensor 7-162 is capable of sizing and / or positioning its segment to better match the patterns 7-260, 7-262 projected from the sample. The higher intensity area is more centered within the active segment of the sensor. Alternatively or additionally, the diffractive element may be designed to alter the projected patterns 7-260, 7-262 so that the strong region fits more centrally within the sensor segment. ing.

センサー2-122は、2つのセグメントからなることが可能であるが、いくつかの実施形態では、サンプルからの3つ以上のスペクトル的に別個のエミッション・バンドを判別することが可能である。たとえば、それぞれのエミッション・バンドは、センサー・セグメントの上に別個の投射されたパターンを作り出し、センサー・セグメントからの信号の別個の組み合わせを生み出すことが可能である。信号の組み合わせは、エミッション・バンドを判別及び識別するために分析され得る。図7-2Eから図7-2Hは、4つの別個のエミッション・パターンに露出される2セグメントのセンサー2-122からの信号の数値シミュレーションからの結果を表している。見ることができるように、2つのセンサー・セグメントからの信号のそれぞれの組み合わせは別個であり、4つの波長においてエミッター同士の間を差別するために使用され得る。シミュレーションに関して、ブルズ・アイ・センサー7-162の外側の検出器セグメントはより大きい面積を有していたので、その検出器に関して、より多くの信号が集積された。追加的に、検出器同士の間の領域に衝突した光がキャリアを発生させ、キャリアは、いずれかの検出器セグメントに向けてドリフトし、両方のセグメントからの信号に寄与することが可能である。 Sensor 2-122 can consist of two segments, but in some embodiments it is possible to discriminate between three or more spectrally distinct emission bands from the sample. For example, each emission band can create a separate projected pattern on top of the sensor segment, creating a separate combination of signals from the sensor segment. The combination of signals can be analyzed to discriminate and identify emission bands. 7-2E to 7-2H represent the results from a numerical simulation of the signal from the two-segment sensors 2-122 exposed in four separate emission patterns. As can be seen, each combination of signals from the two sensor segments is separate and can be used to discriminate between emitters at four wavelengths. For the simulation, the detector segment outside the bullseye sensor 7-162 had a larger area, so more signals were accumulated for that detector. In addition, light colliding in the area between the detectors can generate carriers, which can drift towards one of the detector segments and contribute to the signal from both segments. ..

いくつかの実施形態では、ピクセル当たりN個のフォトディテクター・セグメントが存在することが可能であり、ここで、Nは、任意の整数値であることが可能である。いくつかの実施形態では、Nは、1以上であり、かつ、10以下であることが可能である。他の実施形態では、Nは、2以上であり、かつ、5以下であることが可能である。N個の検出器によって検出され得る判別可能なサンプル・エミッション(たとえば、異なる発光性タグからの別個のエミッション波長)の数Mは、N以上であることが可能である。M個のサンプル・エミッションの判別は、いくつかの実施形態によれば、それぞれのセンサー・セグメントからの信号の比率を評価することによって実現され得る。いくつかの実施形態では、受け取られる信号の比率、合計、及び/又は振幅が、サンプル・ウェルからのエミッションの特性波長を決定するために、測定及び分析され得る。 In some embodiments, it is possible that there are N photodetector segments per pixel, where N can be any integer value. In some embodiments, N can be greater than or equal to 1 and less than or equal to 10. In other embodiments, N can be 2 or more and 5 or less. The number M of discriminable sample emissions (eg, separate emission wavelengths from different luminescent tags) that can be detected by N detectors can be N or greater. The determination of M sample emissions can be achieved by assessing the proportion of signals from each sensor segment, according to some embodiments. In some embodiments, the ratio, sum, and / or amplitude of the received signal can be measured and analyzed to determine the characteristic wavelength of emissions from the sample wells.

いくつかの実施形態では、2つ以上のエミッターが、サンプル・ウェル2-211の中で、所与の時間ウィンドウの中で、異なる特性波長を放出することが可能である。センサー2-122は、異なる波長において、複数のエミッションからの信号を同時に検出し、データ・プロセッシングのために合計された信号を提供することが可能である。いくつかの実施形態では、マルチ波長エミッションは、センサー・セグメントからの信号値の別のセットとして区別可能であり得る(たとえば、図7-2Eから図7-2Hに示されているものとは異なる信号値)。信号値は、マルチ波長エミッションが起こったということを判別するために、及び、エミッションに関連付けられるエミッターの特定の組み合わせを特定するために、分析され得る。 In some embodiments, two or more emitters are capable of emitting different characteristic wavelengths within a given time window within sample wells 2-211. Sensors 2-122 can simultaneously detect signals from multiple emissions at different wavelengths and provide a summed signal for data processing. In some embodiments, multi-wavelength emissions may be distinguishable as another set of signal values from the sensor segment (eg, different from those shown in FIGS. 7-2E to 7-2H). Signal value). The signal value can be analyzed to determine that multi-wavelength emissions have occurred and to identify a particular combination of emitters associated with the emissions.

また、本発明者は、4つの同心円状のセグメントを有するブルズ・アイ・センサーを考えて分析した。セグメントからの信号が、図7-2G及び図7-2Hにそれぞれ関連付けられる同じエミッション条件に関して、図7-2I及び図7-2Jにプロットされている。また、4セグメントのブルズ・アイ・センサーは、サンプル・ウェルの中の特定のエミッターを特定するために分析され得る識別可能な信号を示している。 The present inventor also considered and analyzed a bullseye sensor having four concentric segments. Signals from the segments are plotted in FIGS. 7-2I and 7-2J with respect to the same emission conditions associated with FIGS. 7-2G and 7-2H, respectively. Also, the 4-segment bullseye sensor shows an identifiable signal that can be analyzed to identify a particular emitter in the sample well.

波長フィルタリングがそれぞれのセンサー・セグメントにおいて使用されるとき、又は、スペクトルの分離が高いときには、センサーのそれぞれのセグメントは、選択されたエミッション・バンドだけを実質的に検出することが可能である。たとえば、第1の波長は、第1のセグメントによって検出され得、第2の波長は、第2のセグメントによって検出され得、第3の波長は、第3のセグメントによって検出され得る。 When wavelength filtering is used in each sensor segment, or when spectral separation is high, each segment of the sensor is capable of substantially detecting only the selected emission band. For example, the first wavelength can be detected by the first segment, the second wavelength can be detected by the second segment, and the third wavelength can be detected by the third segment.

再び図7-1Aを参照すると、ピクセル2-100の中に追加的な電子回路7-125が存在することが可能であり、それは、センサー2-122のそれぞれのセグメントからの信号を収集及び読み出すために使用され得る。図7-3A及び図7-3Dは、いくつかの実施形態によれば、マルチ・セグメント・センサーと組み合わせて使用され得る回路を示している。例として、信号収集回路7-310は、それぞれのセンサー・セグメントに関する3つのトランジスターからなることが可能である。3つのトランジスターの配置が、いくつかの実施形態によれば、図7-3Bに示されている。それぞれのセグメントに関連付けられている電荷蓄積ノード7-311において、信号レベルは、リセット・トランジスターRSTによってリセットされ得、(電荷蓄積ノードにおける電荷の量によって決定される)セグメントに関する信号レベルは、リード・トランジスターRDによって読み出され得る。 With reference to FIG. 7-1A again, it is possible that there is an additional electronic circuit 7-125 within pixel 2-100, which collects and reads signals from each segment of sensor 2-122. Can be used for. 7-3A and 7-3D show circuits that can be used in combination with multi-segment sensors, according to some embodiments. As an example, the signal acquisition circuit 7-310 can consist of three transistors for each sensor segment. The arrangement of the three transistors is shown in FIG. 7-3B, according to some embodiments. At charge storage nodes 7-311 associated with each segment, the signal level can be reset by the reset transistor RST, and the signal level for the segment (determined by the amount of charge at the charge storage node) is read. It can be read by the transistor RD.

ピクセル回路は、いくつかの実施形態によれば、増幅及び相関したダブル・サンプリング回路7-320をさらに含むことが可能である。増幅及びダブル・サンプリング回路は、センサー・セグメントからの信号を増幅させるように構成されているトランジスターと、たとえば、エミッション・エネルギーがセンサーの上に存在しないときに(たとえば、サンプル・ウェルにおける励起エネルギーの印加の前に)、電荷蓄積ノードにおける電圧レベルをリセットするように、及び、ノードにおける背景信号又は「リセット」信号を読み出すように、及び、その後のエミッション信号を読み取るように構成されているトランジスターとからなることが可能である。 Pixel circuits can further include amplified and correlated double sampling circuits 7-320, according to some embodiments. Amplification and double sampling circuits are configured with transistors that are configured to amplify the signal from the sensor segment and, for example, when no emission energy is present on the sensor (eg, the excitation energy in the sample well). With a transistor configured to reset the voltage level at the charge storage node (before application) and to read the background or "reset" signal at the node, and to read the subsequent emission signal. It is possible to consist of.

いくつかの実施形態によれば、相関したダブル・サンプリングは、検出されるエミッション信号レベルから背景又はリセット信号レベルを差し引くことによって、背景雑音を低減させるために用いられる。センサーのそれぞれのセグメントに関連付けられる収集されたエミッション信号及び背景信号は、縦列ライン7-330の上に読み出され得る。いくつかの実施形態では、エミッション信号レベル及び背景信号は、共通の縦列ラインの上に時分割多重化される。それぞれのセンサー・セグメントに関して別々の縦列ラインが存在することが可能である。縦列ラインからの信号は、増幅回路7-340(それは、アクティブ・ピクセル・アレイの外側に位置付けされ得る)によってバッファリング及び/又は増幅され、さらなるプロセッシング及び分析のために提供され得る。いくつかの実施形態では、ダブル・サンプリングされた信号の引き算が、たとえば、システム・プロセッサーによって、チップ外で計算される。他の実施形態では、引き算は、チップの上で、又は、ベース機器の回路の中で行われ得る。 According to some embodiments, correlated double sampling is used to reduce background noise by subtracting the background or reset signal level from the detected emission signal level. The collected emission and background signals associated with each segment of the sensor can be read over parallel lines 7-330. In some embodiments, the emission signal level and background signal are time-division-multiplexed over a common column line. It is possible that there will be separate columns for each sensor segment. The signal from the parallel line can be buffered and / or amplified by amplification circuit 7-340, which can be located outside the active pixel array, and provided for further processing and analysis. In some embodiments, the subtraction of the double sampled signal is calculated off-chip, for example by a system processor. In other embodiments, the subtraction can be done on the chip or in the circuit of the base device.

相関したダブル・サンプリングのいくつかの実施形態は、サンプルに対して横列を選択することによって動作させられ得、横列に関連付けられるセンサーは、サンプリング期間にわたって集積された信号電荷を有し、信号レベルを含有する。信号レベルは、縦列ラインの上に同時に読み出され得る。集積された信号レベルをサンプリングした後に、選択された横列の中のすべてのピクセルがリセットされ、即座にサンプリングされ得る。このリセット・レベルは、次に集積される信号に相関させられ得、それは、リセットが解放された後に蓄積を開始し、同じ横列が再び選択されるときに、フレーム時間を後で集積することを終了する。いくつかの実施形態では、フレームのリセット値は、チップ外で保存され得、信号が集積を終了し、サンプリングされたときには、保存された相関したリセット値が差し引かれ得るようになっている。 Some embodiments of correlated double sampling can be operated by selecting a row for the sample, and the sensor associated with the row has the signal charge accumulated over the sampling period and the signal level. contains. The signal level can be read simultaneously on the parallel line. After sampling the integrated signal level, all pixels in the selected row are reset and can be sampled immediately. This reset level can be correlated with the next signal to be accumulated, which means that the accumulation will start after the reset is released and the frame time will be accumulated later when the same row is selected again. finish. In some embodiments, the frame reset value can be stored off-chip so that the stored correlated reset value can be deducted when the signal finishes accumulating and is sampled.

いくつかの実施形態では、3つ以上のセグメントを備えるセンサー2-122は、追加的な回路を必要とする可能性がある。図7-3Cは、クワッド・センサーに関連付けられる信号収集7-312、増幅7-320、及びダブル・サンプリング回路を示している。いくつかの実施形態によれば、2つ以上のセグメントからの信号が、図面に示されているように、ピクセルにおいて、共通の信号チャネルの上に時分割多重化され得る。時分割多重化された信号は、騒音消去のために、それぞれのセグメントに関してサンプリングされた背景信号を含むことが可能である。追加的に、2つ以上のセグメントからの信号は、共通の縦列ラインの上に時分割多重化され得る。 In some embodiments, the sensor 2-122 with three or more segments may require additional circuitry. FIG. 7-3C shows a signal acquisition 7-312, amplification 7-320, and double sampling circuit associated with a quad sensor. According to some embodiments, signals from more than one segment can be time-division-multiplexed in pixels over a common signal channel, as shown in the drawings. The time-division-multiplexed signal can include background signals sampled for each segment for noise elimination. Additionally, signals from more than one segment can be time-division-multiplexed over a common column line.

いくつかの実施形態によれば、時間的な信号取得技法が、1つ又は複数の励起供給源からの背景信号レベルを低減させるために、及び/又は、サンプルに関連付けられる異なるエミッターからの異なるエミッションを判別するために、使用され得る。図7-4Aは、いくつかの実施形態によれば、サンプルにタグを付けるために使用され得る2つの異なるエミッターからの蛍光エミッション及び減衰を示している。2つのエミッションは、目に見えて異なる時間減衰特性を有している。第1のエミッターからの第1の時間減衰曲線7-410は、ローダミンなどのような共通の蛍光分子に対応することが可能である。第2の時間減衰曲線7-420は、量子ドット又はリン光性エミッターなどのような、第2のエミッターの特性であることが可能である。両方のエミッターは、エミッターの初期励起の後のしばらくの間延在するエミッション減衰テールを示す。いくつかの実施形態では、エミッション減衰テールの間に適用される信号収集技法は、いくつかの実施形態では、励起供給源からの背景信号を低減させるために、及び、いくつかの実施形態では、エミッター同士の間を区別するために、タイミングを選んで行われ得る。 According to some embodiments, temporal signal acquisition techniques are used to reduce background signal levels from one or more excitation sources and / or different emissions from different emitters associated with the sample. Can be used to determine. FIG. 7-4A shows, according to some embodiments, fluorescence emissions and attenuation from two different emitters that can be used to tag the sample. The two emissions have visibly different time decay characteristics. The first time decay curve 7-410 from the first emitter can correspond to a common fluorescent molecule such as rhodamine. The second time decay curve 7-420 can be a characteristic of the second emitter, such as a quantum dot or phosphorescent emitter. Both emitters show an emission decay tail that extends for some time after the emitter's initial excitation. In some embodiments, the signal acquisition technique applied during the emission attenuation tail is, in some embodiments, to reduce the background signal from the excitation source, and in some embodiments, Timing can be chosen to distinguish between emitters.

いくつかの実施形態によれば、時間遅延サンプリングが、エミッション減衰テールの間に用いられ、励起供給源からの放射線に起因する背景信号を低減させることが可能である。図7-4B及び図7-4Cは、いくつかの実施形態による、時間遅延サンプリングを図示している。図7-4Bは、励起供給源からの励起エネルギーの励起パルス7-440、及び、サンプル・ウェルの中で励起されるサンプルから得られ得るその後のエミッション・パルス7-450の時間的な進展を示している。励起パルス7-440は、図7-4Cに示されているように、短い時間の期間にわたりドライブ信号7-442によって、励起供給源を駆動する結果として生じることが可能である。たとえば、ドライブ信号は、第1の時間tにおいて開始し、第2の時間tにおいて終了することが可能である。ドライブ信号の持続期間(t-t)は、いくつかの実施形態によれば、約1ピコ秒から約50ナノ秒の間であることが可能であるが、いくつかの実施形態では、より短い持続期間も使用され得る。 According to some embodiments, time-delayed sampling is used during the emission attenuation tail to reduce the background signal due to radiation from the excitation source. 7-4B and 7-4C illustrate time-delayed sampling according to some embodiments. FIG. 7-4B shows the temporal evolution of the excitation pulse 7-440 of the excitation energy from the excitation source and the subsequent emission pulse 7-450 that can be obtained from the sample excited in the sample well. Shows. The excitation pulse 7-440 can be generated as a result of driving the excitation source by the drive signal 7-442 over a short period of time, as shown in FIG. 7-4C. For example, the drive signal can start at the first time t1 and end at the second time t2. The duration of the drive signal (t 2 -t 1 ) can be between about 1 picosecond and about 50 nanoseconds, according to some embodiments, but in some embodiments. Shorter durations can also be used.

励起供給源のためのドライブ信号の終端に続く時間tにおいて、ピクセルにおけるセンサー3-260(又は、センサー・セグメント)は、時間tから時間tに延在する第2の時間インターバルの間に、電荷蓄積ノード7-311において電荷を蓄積するようにゲートでコントロールされ得る。第2の時間インターバルは、いくつかの実施形態によれば、約1ナノ秒から約50マイクロ秒の間であることが可能であるが、いくつかの実施形態では、他の持続期間も使用され得る。図7-4Bを参照して見ることができるように、電荷蓄積ノードは、放出するサンプルに起因して、次いで、励起供給源に起因して、より多くの信号電荷を収集することとなる。したがって、改善された信号対雑音比が得られ得る。 At time t3 following the end of the drive signal for the excitation source, the sensor 3-260 (or sensor segment) at the pixel is during a second time interval extending from time t3 to time t4. In addition, it may be controlled by a gate to store charge at the charge storage node 7-311. The second time interval can be between about 1 nanosecond and about 50 microseconds, according to some embodiments, but in some embodiments other durations are also used. obtain. As can be seen with reference to FIG. 7-4B, the charge storage node will collect more signal charge due to the ejecting sample and then due to the excitation source. Therefore, an improved signal-to-noise ratio can be obtained.

再び図7-4Aを参照すると、エミッターの異なる時間的なエミッション特性に起因して、センサーにおける対応する信号は、異なる時間においてピークとなることが可能である。いくつかの実施形態では、エミッション減衰テールの間に適用される信号-取得技法は、異なるエミッターを判別するために使用され得る。いくつかの実施形態では、時間的な検出技法は、(たとえば、図7-2に関連して上記に説明されているように)空間的な及びスペクトルの技法と組み合わせて使用され、異なるエミッターを判別することが可能である。 Referring again to FIG. 7-4A, the corresponding signal in the sensor can peak at different times due to the different temporal emissions characteristics of the emitter. In some embodiments, the signal-acquisition technique applied during the emission attenuation tail can be used to discriminate between different emitters. In some embodiments, temporal detection techniques are used in combination with spatial and spectral techniques (eg, as described above in connection with Figure 7-2) with different emitters. It is possible to distinguish.

図7-4Dから図7-4Hは、センサー又はセンサー・セグメントにおけるダブル・サンプリングがどのように使用され、異なる時間的なエミッション特性を有する2つのエミッターの間を区別することができるかということを図示している。図7-4Dは、第1のエミッター及び第2のエミッターにそれぞれ関連付けられるエミッション曲線7-470、7-475を示している。例として、第1のエミッターは、ローダミンなどのような共通のフルオロフォアであることが可能であり、第2のエミッターは、量子ドット又はリン光性エミッターであることが可能である。 Figures 7-4D through 7-4H show how double sampling in a sensor or sensor segment can be used to distinguish between two emitters with different temporal emissions characteristics. It is shown in the figure. FIG. 7-4D shows the emission curves 7-470 and 7-475 associated with the first emitter and the second emitter, respectively. As an example, the first emitter can be a common fluorophore, such as rhodamine, and the second emitter can be a quantum dot or phosphorescent emitter.

図7-4Eは、図7-4Dの2つの異なるエミッション特性に応答して起こり得る、電荷蓄積ノード7-311における動的な電圧レベルを表している。例では、蛍光エミッターに対応する第1の電圧曲線7-472は、より短いエミッション・スパンに起因して、より急速に変化し、第1の時間tにおいて、その最大(又は、ノードの極性に応じて、最小)に到達することが可能である。第2の電圧曲線7-477は、第2のエミッターのより長いエミッション特性に起因して、よりゆっくりと変化し、第2の時間tにおいて、その最大(又は、最小)に到達することが可能である。 FIG. 7-4E represents a dynamic voltage level at charge storage nodes 7-311 that can occur in response to the two different emission characteristics of FIG. 7-4D. In the example, the first voltage curve 7-472 corresponding to the fluorescent emitter changes more rapidly due to the shorter emission span, and at the first time t1, its maximum (or node polarity). Depending on, it is possible to reach the minimum). The second voltage curve 7-477 may change more slowly due to the longer emissions characteristics of the second emitter and reach its maximum (or minimum) at the second time t2. It is possible.

いくつかの実施形態では、電荷蓄積ノードのサンプリングは、図7-4Fに示されているように、サンプル励起の後の2つの時間t、tにおいて行われ得る。たとえば、第1の読み取り信号7-481は、第1の時間tにおいて、電荷蓄積ノードからの第1の電圧値を読み出すために適用され得る。その後に、第2の読み取り信号7-482は、第1の読み取りから第2の読み取りの間に電荷蓄積ノードをリセットすることなく、第2の時間tにおいて、電荷蓄積ノードからの第2の電圧値を読み出すために適用され得る。次いで、2つのサンプリングされる信号値の分析が使用され、2つのエミッターのうちのどちらが検出された信号レベルを提供したかということを特定することが可能である。 In some embodiments, sampling of charge storage nodes can be done at two times t3 , t4 after sample excitation, as shown in FIG. 7-4F. For example, the first read signal 7-481 may be applied to read the first voltage value from the charge storage node at the first time t3. After that, the second read signal 7-482 does not reset the charge storage node between the first read and the second read, and at the second time t4, the second read from the charge storage node. Can be applied to read out voltage values. Analysis of the two sampled signal values is then used to determine which of the two emitters provided the detected signal level.

図7-4Gは、図7-4Dに示されているようなエミッション曲線7-470を有する第1のエミッターに関して得られ得る、第1の読み取り及び第2の読み取りからの2つの信号の例を示している。図7-4Hは、図7-4Dに示されているようなエミッション曲線7-475を有する第2のエミッターに関して得られ得る、第1の読み取り及び第2の読み取りからの2つの信号の例を示している。たとえば、第1のエミッターに関して図7-4Fに示されているサンプリング・シークエンスは、曲線7-472をサンプリングし、2つの読み取り時間においておおよそ同じ値を得ることとなる。第2のエミッターのケースでは、図7-4Fに示されているサンプリング・シークエンスは、2つの読み取り時間において、曲線7-477の2つの異なる値をサンプリングする。結果として生じる2つの読み取り時間からの信号の対は、2つのエミッターの間を区別しており、それぞれのエミッターを特定するために分析され得る。また、いくつかの実施形態によれば、背景引き算に関するダブル・サンプリングが、第1及び第2の読み取り信号から背景信号を差し引くために実行され得る。 FIG. 7-4G is an example of two signals from a first read and a second read that can be obtained for a first emitter having an emission curve 7-470 as shown in FIG. 7-4D. Shows. FIG. 7-4H is an example of two signals from a first read and a second read that can be obtained for a second emitter having an emission curve 7-475 as shown in FIG. 7-4D. Shows. For example, the sampling sequence shown in FIG. 7-4F for the first emitter will sample curves 7-472 and obtain approximately the same value at the two read times. In the case of the second emitter, the sampling sequence shown in FIG. 7-4F samples two different values of curve 7-477 at two read times. The resulting pair of signals from the two read times distinguishes between the two emitters and can be analyzed to identify each emitter. Also, according to some embodiments, double sampling for background subtraction may be performed to subtract the background signal from the first and second read signals.

動作時には、センサー・チップのセンサー2-260は、分析されることとなる試料からのデータ収集の前に、波長キャリブレーション手順を受けることが可能である。波長キャリブレーション手順は、センサー・チップとともに使用され得るフルオロフォア波長に対応する可能性があるか、又は対応しない可能性がある特性波長を有する異なる公知のエネルギーをセンサーに受けさせることを含むことが可能である。異なるエネルギーが、シークエンスにおいて印加され得、キャリブレーション信号が、それぞれのエネルギーに関してセンサーから記録され得るようになっている。次いで、キャリブレーション信号は、参照信号として保存され得、参照信号は、実際のデータ収集を処理するために、及び、どの1つ又は複数のエミッション波長がセンサーによって検出されるかということを決定するために使用され得る。 In operation, the sensor 2-260 on the sensor chip can undergo a wavelength calibration procedure prior to data acquisition from the sample to be analyzed. The wavelength calibration procedure may include causing the sensor to receive different known energies with characteristic wavelengths that may or may not correspond to the fluorofore wavelengths that may or may not correspond to the fluorofore wavelengths that may be used with the sensor chip. It is possible. Different energies can be applied in the sequence and the calibration signal can be recorded from the sensor for each energy. The calibration signal can then be stored as a reference signal, which determines to process the actual data acquisition and which one or more emission wavelengths are detected by the sensor. Can be used for.

V. 発光性マーカ
実施形態は、分析されている試料の中のサンプル(たとえば、単一分子)を標識するために任意の適切な発光性マーカを使用することが可能である。いくつかの実施形態では、市販のフルオロフォアが使用され得る。例として、及び、限定としてではなく、以下のフルオロフォア、Atto Rho 14(「ATRho14」)、Dylight 650(「D650」)、SetaTau 647(「ST647」)、CF 633(「C633」)、CF 647(「C647」)、Alexa fluor 647(「AF647」)、BODIPY 630/650(「B630」)、CF 640R(「C640R」)、及び/又はAtto 647N(「AT647N」)が使用され得る。追加的に及び/又は随意的に、発光性マーカが、任意の適切な方式で修飾され、サンプル分析プロセスの速度及び精度を増加させることが可能である。たとえば、光安定剤が、発光性マーカにコンジュゲートされ得る。光安定剤の例は、それに限定されないが、脱酸素剤又は三重項状態消光剤を含む。光安定剤を発光性マーカにコンジュゲートすることは、放出される光子のレートを増加させることが可能であり、また、発光性マーカが光子を放出しない場合の「点滅」効果を低減させることが可能である。いくつかの実施形態では、生物学的なイベントがミリ秒スケールで起こるときに、光子エミッションのレートの増加が、生物学的なイベントの検出の可能性を増加させることが可能である。光子イベントのレートの増加は、その後に、発光信号の信号対雑音比を増加させ、測定が行われているレートを増加させることが可能であり、より速くてより正確なサンプル分析につながる。
V. Luminescent Marker Embodiments can use any suitable luminescent marker to label a sample (eg, a single molecule) within the sample being analyzed. In some embodiments, commercially available fluorophores may be used. By example, and not by limitation, the following fluorophores, Atto Rho 14 (“ATRho14”), Dylight 650 (“D650”), SetaTau 647 (“ST647”), CF 633 (“C633”), CF 647: ("C647"), Alexa fluoro 647 ("AF647"), BODIPY 630/650 ("B630"), CF 640R ("C640R"), and / or Atto 647N ("AT647N") can be used. Additional and / or optionally, the luminescent marker can be modified in any suitable manner to increase the speed and accuracy of the sample analysis process. For example, a light stabilizer can be conjugated to a luminescent marker. Examples of light stabilizers include, but are not limited to, oxygen scavengers or triplet state quenchers. Conjugating a light stabilizer to a luminescent marker can increase the rate of emitted photons and also reduce the "blinking" effect when the luminescent marker does not emit photons. It is possible. In some embodiments, increasing the rate of photon emissions can increase the likelihood of detecting biological events when biological events occur on the millisecond scale. Increasing the rate of photon events can then increase the signal-to-noise ratio of the emission signal and increase the rate at which the measurement is being made, leading to faster and more accurate sample analysis.

VI. 励起供給源
励起供給源2-250は、アッセイ・チップの少なくとも1つのサンプル・ウェル2-111に励起エネルギーを送達するように配置されている任意の適切な供給源であることが可能である。アッセイ・チップの上のピクセルは、パッシブ・ソース・ピクセルであることが可能である。「パッシブ・ソース・ピクセル」という用語は、励起エネルギーが、ピクセル又はアッセイ・チップのピクセル・アレイの外側の領域からピクセルへ送達され、たとえば、励起が機器の中にあり得る、ピクセルを表すために使用されている。
VI. Excitation Source Excitation Source 2-250 can be any suitable source that is arranged to deliver excitation energy to at least one sample well 2-111 of the assay chip. The pixel above the assay chip can be a passive source pixel. The term "passive source pixel" is used to describe a pixel in which excitation energy is delivered from the outer region of the pixel or assay chip pixel array to the pixel, for example, the excitation can be in the instrument. It is used.

いくつかの実施形態によれば、励起供給源は、放射プロセスを介してサンプルを励起することが可能である。たとえば、励起供給源は、可視放射線(たとえば、約350nmから約750nmの間の波長を有する放射線)、近赤外線の放射線(たとえば、約0.75ミクロンから約1.4ミクロンの間の波長を有する放射線)、及び/又は、短波長赤外線の放射線(たとえば、約1.4ミクロンから約3ミクロンの間の波長を有する放射線)を、アッセイ・チップの少なくとも1つのサンプル・ウェルの少なくとも1つの励起領域3-215に提供することが可能である。いくつかの実施形態では、放射励起供給源は、サンプル・ウェルの励起領域に直接隣接している媒介体(たとえば、分子、量子ドット、又は、選択された分子及び/もしくは量子ドットからなる材料の層)を励起するために、エネルギーを提供することが可能である。媒介体は、非放射プロセスを介して(たとえば、FRET又はDETを介して)、そのエネルギーをサンプルに伝達することが可能である。 According to some embodiments, the excitation source is capable of exciting the sample via a radiation process. For example, the excitation source has visible radiation (eg, radiation with a wavelength between about 350 nm and about 750 nm), near-infrared radiation (eg, wavelength between about 0.75 micron and about 1.4 micron). Radiation) and / or short wavelength infrared radiation (eg, radiation having a wavelength between about 1.4 microns and about 3 microns) in at least one excitation region of at least one sample well of the assay chip. It is possible to provide in 3-215. In some embodiments, the radiation excitation source is a material consisting of a medium (eg, a molecule, a quantum dot, or a selected molecule and / or a quantum dot) that is directly adjacent to the excitation region of the sample well. It is possible to provide energy to excite the layer). The mediator is capable of transferring its energy to the sample via a non-radiative process (eg, via FRET or DET).

いくつかの実施形態では、励起供給源は、励起エネルギーの2つ以上の供給源を提供することが可能である。たとえば、放射励起供給源は、2つ以上の別個のスペクトル特性を有する励起エネルギーを送達することが可能である。例として、マルチ・カラーLEDは、2つ以上の波長に集中したエネルギーを放出することが可能であり、これらのエネルギーは、サンプル・ウェルの励起領域に送達され得る。 In some embodiments, the excitation source can provide two or more sources of excitation energy. For example, a radiated excitation source can deliver excitation energies with two or more distinct spectral characteristics. As an example, a multicolor LED can emit energy focused on more than one wavelength, and these energies can be delivered to the excited region of the sample well.

概観では、及び、いくつかの実施形態によれば、機器は、少なくとも1つの励起供給源2-250を含み、アッセイ・チップの少なくとも1つのサンプル・ウェルの少なくとも1つの励起領域に、又は、励起エネルギーを変換し、もしくは、1つ又は複数の励起領域の中の少なくとも1つのサンプルに励起エネルギーをカップリングする、少なくとも1つの媒介体に、励起エネルギーを提供することが可能である。図2-3に示されているように、励起供給源2-250からの放射線励起エネルギー2-251は、たとえば、サンプル・ウェル2-211の周りの領域に衝突することが可能である。いくつかの実施形態では、サンプル・ウェルの励起領域2-215の中に入射励起エネルギーを集中させるのを支援する励起カップリング構造体2-223が存在することが可能である。 In overview, and according to some embodiments, the instrument comprises at least one excitation source 2-250 and excites or excites into at least one excitation region of at least one sample well of the assay chip. It is possible to provide the excitation energy to at least one mediator that converts the energy or couples the excitation energy to at least one sample in one or more excitation regions. As shown in FIG. 2-3, the radiation excitation energy 2-251 from the excitation source 2-250 can collide with, for example, the region around the sample well 2-211. In some embodiments, it is possible to have an excitation coupling structure 2-223 that assists in concentrating the incident excitation energy within the excitation region 2-215 of the sample well.

励起供給源は、特性波長をそれぞれ有する1つ又は複数の別個のスペクトル・バンドによって特徴付けられ得る。単なる教育目的のためだけに、励起供給源からのスペクトル・エミッションの例が、図8-1Aのスペクトル・グラフに示されている。励起エネルギーは、スペクトル励起バンド8-110の中に実質的に含有され得る。スペクトル励起バンドのピーク波長8-120は、励起エネルギーを特徴付けるために使用され得る。また、励起エネルギーは、スペクトル分布、たとえば、図面に示されているような半値全幅(FWHM)値によって、特徴付けられ得る。図8-1Aに示されているようにエネルギーを作り出す励起供給源は、おおよそ540nm放射線の波長においてエネルギーを送達するものとして、及び、おおよそ55nmのFWHMバンド幅を有するものとして、特徴付けられ得る。 The excitation source can be characterized by one or more distinct spectral bands, each with a characteristic wavelength. An example of spectral emissions from an excitation source is shown in the spectral graph of FIG. 8-1A for educational purposes only. The excitation energy may be substantially contained within the spectral excitation bands 8-110. The peak wavelength 8-120 of the spectral excitation band can be used to characterize the excitation energy. The excitation energy can also be characterized by a spectral distribution, eg, a full width at half maximum (FWHM) value as shown in the drawings. Excitation sources that produce energy as shown in FIG. 8-1A can be characterized as delivering energy at a wavelength of approximately 540 nm radiation and as having a FWHM bandwidth of approximately 55 nm.

図4-1Bは、2つの励起エネルギー・バンドを1つ又は複数のサンプル・ウェルに提供することができる1つの励起供給源(又は、複数の励起供給源)のスペクトル特性を示している。いくつかの実施形態によれば、図面に図示されているように、第1の励起バンド8-112は、おおよそ532nmにあり、第2の励起バンド8-114は、おおよそ638nmにある。いくつかの実施形態では、第1の励起バンドは、おおよそ638nmにあることが可能であり、第2の励起バンドは、おおよそ650nmにあることが可能である。いくつかの実施形態では、第1の励起バンドは、おおよそ680nmにあることが可能であり、第2の励起バンドは、おおよそ690nmにあることが可能である。いくつかの実施形態によれば、励起バンドのピークは、これらの値の±5nmの中にあることが可能である。 FIG. 4-1B shows the spectral characteristics of one excitation source (or multiple excitation sources) capable of providing two excitation energy bands to one or more sample wells. According to some embodiments, as illustrated in the drawings, the first excitation band 8-112 is at approximately 532 nm and the second excitation band 8-114 is at approximately 638 nm. In some embodiments, the first excitation band can be at approximately 638 nm and the second excitation band can be at approximately 650 nm. In some embodiments, the first excitation band can be at approximately 680 nm and the second excitation band can be at approximately 690 nm. According to some embodiments, the peak of the excitation band can be within ± 5 nm of these values.

いくつかのケースでは、放射励起供給源は、図8-1Aに示されているように、幅広い励起バンドを作り出すことが可能である。幅広い励起バンド8-110は、いくつかの実施形態によれば、おおよそ20nmよりも大きいバンド幅を有することが可能である。幅広い励起バンドは、たとえば、発光ダイオード(LED)によって作り出され得る。いくつかの実施形態では、放射励起供給源は、図8-1Bに示されているように、幅の狭い励起バンドを作り出すことが可能である。幅の狭い励起バンドは、たとえば、レーザ・ダイオードによって作り出され得、又は、LEDからの出力をスペクトル的にフィルタリングすることによって作り出され得る。 In some cases, the radiated excitation source is capable of producing a wide excitation band, as shown in FIG. 8-1A. The broad excitation band 8-110 can have a bandwidth greater than approximately 20 nm, according to some embodiments. A wide excitation band can be created, for example, by light emitting diodes (LEDs). In some embodiments, the radiated excitation source is capable of creating a narrow excitation band, as shown in FIG. 8-1B. The narrow excitation band can be created, for example, by a laser diode or by spectrally filtering the output from the LED.

いくつかの実施形態では、励起供給源は、光供給源であることが可能である。任意の適切な光供給源が使用され得る。いくつかの実施形態は、インコヒーレント光の供給源を使用することが可能であり、他の実施形態は、コヒーレント光供給源を使用することが可能である。例として、及び、限定としてではなく、いくつかの実施形態によるインコヒーレント光供給源は、有機LED(OLED)、量子ドット(QLED)、ナノワイヤーLED、及び(無機)有機半導体LEDなどのような、異なるタイプの発光ダイオード(LED)を含むことが可能である。例として、及び、限定としてではなく、いくつかの実施形態によるコヒーレント光供給源は、有機レーザ、量子ドット・レーザ、垂直共振器面発光レーザ(VCSEL)、端面発光型レーザ、及び分布帰還型(DFB)レーザ・ダイオードなどのような、異なるタイプのレーザを含むことが可能である。追加的に又は代替的に、スラブ結合光導波路レーザ(SCOWL)、又は、他の非対称のシングル・モードの導波路構造体が使用され得る。追加的に又は代替的に、レーザ・ダイオード又はフラッシュランプによって励起されるNd:YAG又はNd:ガラスなどのような、ソリッド・ステート・レーザが使用され得る。追加的に又は代替的に、ファイバー・レーザによって励起されるレーザ・ダイオードが使用され得る。いくつかの実施形態では、レーザ励起供給源の出力は、非線形結晶、又は周期的分極反転ニオブ酸リチウム(PPLN)、又は、他の同様の周期的分極反転非線形結晶の中で、波長の半分に対して周波数が2倍になることが可能である。この周波数ダブリング・プロセスは、効率的なレーザの使用が、励起にとってより適切な波長を発生させることを可能にすることができる。ピクセルのアレイに関して、2つ以上のタイプの励起供給源が存在することが可能である。いくつかの実施形態では、異なるタイプの励起供給源が組み合わせられ得る。励起供給源は、選択されたタイプの励起供給源を製作するために使用される従来の技術にしたがって製作され得る。 In some embodiments, the excitation source can be a light source. Any suitable light source can be used. Some embodiments are capable of using a source of incoherent light, while others are capable of using a source of coherent light. By way of example, and without limitation, incoherent light sources according to some embodiments are such as organic LEDs (OLEDs), quantum dots (QLEDs), nanowire LEDs, and (inorganic) organic semiconductor LEDs. , It is possible to include different types of light emitting diodes (LEDs). By example, and without limitation, coherent light sources according to some embodiments are organic lasers, quantum dot lasers, vertical cavity surface emitting lasers (VCSELs), end face emitting lasers, and distributed feedback lasers. It is possible to include different types of lasers, such as DFB) laser diodes. Additional or alternative, slab-coupled optical waveguide lasers (SCOWLs), or other asymmetric single-mode waveguide structures can be used. Additional or alternative, solid state lasers such as Nd: YAG or Nd: glass excited by a laser diode or flashlamp may be used. Additional or alternative, laser diodes excited by fiber lasers may be used. In some embodiments, the output of the laser excitation source is half the wavelength in a nonlinear crystal, or periodic polarization inversion lithium niobate (PPRN), or other similar periodic polarization inversion nonlinear crystal. On the other hand, the frequency can be doubled. This frequency doubling process can allow the efficient use of lasers to generate wavelengths that are more suitable for excitation. There can be more than one type of excitation source for an array of pixels. In some embodiments, different types of excitation sources can be combined. Excitation sources can be made according to conventional techniques used to make selected types of excitation sources.

励起エネルギーの供給源の特性波長は、アッセイ分析において使用される発光性マーカの選択に基づいて選択され得る。いくつかの実施形態では、励起エネルギーの供給源の特性波長は、選ばれたフルオロフォアの直接励起(たとえば、単一の光子励起)に関して選択される。いくつかの実施形態では、励起エネルギーの供給源の特性波長は、間接励起(たとえば、多光子励起、又は、直接励起を提供することとなる波長への高調波変換)に関して選択される。いくつかの実施形態では、励起エネルギーは、サンプル・ウェルへの適用に関して特定の波長で励起エネルギーを発生させるように構成されている光供給源によって発生させられ得る。いくつかの実施形態では、励起供給源の特性波長は、サンプルからの対応するエミッションの特性波長よりも小さいことが可能である。いくつかの実施形態では、励起供給源の特性波長は、サンプルからのエミッションの特性波長よりも大きいことが可能であり、サンプルの励起は、多光子吸収を通して起こることが可能である。 The characteristic wavelength of the source of the excitation energy can be selected based on the selection of the luminescent marker used in the assay analysis. In some embodiments, the characteristic wavelength of the source of the excitation energy is selected for the direct excitation of the selected fluorophore (eg, single photon excitation). In some embodiments, the characteristic wavelength of the source of the excitation energy is selected for indirect excitation (eg, polyphoton excitation, or harmonic conversion to a wavelength that will provide direct excitation). In some embodiments, the excitation energy can be generated by a light source configured to generate the excitation energy at a particular wavelength for application to sample wells. In some embodiments, the characteristic wavelength of the excitation source can be smaller than the characteristic wavelength of the corresponding emissions from the sample. In some embodiments, the characteristic wavelength of the excitation source can be greater than the characteristic wavelength of emissions from the sample, and the excitation of the sample can occur through polyphoton absorption.

励起供給源は、バッテリー又は任意の他の電源を含むことが可能であり、それは、集積されたバイオ分析デバイス以外のどこかに位置付けされ得る。たとえば、励起供給源は、機器の中に位置付けされ得、電力は、導電性ワイヤー及びコネクターを介して、集積されたバイオ分析デバイスにカップリングされ得る。 The excitation source can include a battery or any other power source, which can be located somewhere other than the integrated bioanalytical device. For example, the excitation source can be positioned within the instrument and the power can be coupled to the integrated bioanalytical device via conductive wires and connectors.

VIII. 使用方法、機器動作、及びユーザ・インタフェース
機器2-120は、ソフトウェア及び/又はハードウェアを使用して制御され得る。たとえば、機器は、ASIC、FPGA及び/又は、ソフトウェアを実行する汎用プロセッサーなどのような、プロセッシング・デバイス1-123を使用して制御され得る。
VIII. Usage, device operation, and user interface Device 2-120 may be controlled using software and / or hardware. For example, the device may be controlled using processing devices 1-123, such as ASICs, FPGAs and / or general purpose processors running software.

図9-1は、いくつかの実施形態による、機器2-120の動作のフローチャートを図示している。ユーザが分析するために試料を獲得した後に、ユーザは、行為9-101において、新しい分析を始める。これは、ユーザ・インターフェース2-125を介して機器2-120に指示を提供することによって、たとえば、ボタンを押すことなどによって行われ得る。行為9-103において、機器2-120は、以前に行われた分析からのアッセイ・チップ2-110が機器2-120の中に依然として挿入されているかどうかということをチェックする。古いチップが存在しているということが決定される場合には、行為9-105において、励起供給源への電力が切られ得、ユーザは、行為9-107において、ユーザ・インターフェース2-125のインジケーターを使用して以前のチップを取り出すように促され、機器2-120は、行為9-109において、チップが取り出されることを待つ。 FIG. 9-1 illustrates a flow chart of the operation of the device 2-120 according to some embodiments. After the user has obtained the sample for analysis, the user initiates a new analysis in Acts 9-101. This may be done by providing instructions to device 2-120 via user interface 2-125, for example by pressing a button. In Acts 9-103, instrument 2-120 checks whether assay chip 2-110 from a previously performed analysis is still inserted into instrument 2-120. If it is determined that an old chip is present, power to the excitation source may be turned off in Acts 9-105 and the user in Acts 9-107 of User Interface 2-125. Prompted to remove the previous chip using the indicator, device 2-120 waits for the chip to be removed in actions 9-109.

以前のチップがユーザによって取り出されるときには、又は、機器2-120が、行為9-103において、以前のチップがすでに除去されたということを決定した場合には、ユーザは、行為9-111において、新しい分析のための新しいアッセイ・チップ2-110を挿入するように促される。次いで、機器2-120は、行為9-113において、新しいアッセイ・チップ2-110が挿入されることを待つ。ユーザが新しいチップを挿入するときには、ユーザは、行為9-115において、分析されることとなる試料を、アッセイ・チップ2-110の露出された上部表面の上に置くように、ユーザ・インターフェース2-125のインジケーターによって促され、また、機器2-120の上に蓋を閉じるように促される。次いで、機器2-120は、行為9-117において、蓋が閉じられることを待つ。蓋がユーザによって閉じられるときには、行為9-119において、励起供給源は、アッセイ・チップ2-110のサンプル・ウェルの中に存在する試料のサンプル部分を励起させるための励起エネルギーを作り出すように駆動され得る。行為8-121において、サンプルからのエミッション・エネルギーは、センサー2-122によって検出され、センサー2-122からのデータは、分析のためにプロセッシング・デバイス2-123に流される。いくつかの実施形態では、データは、外部コンピューティング・デバイス2-130に流され得る。行為2-123において、機器2-120は、データ収集が完了したかどうかということをチェックする。データ収集は、特定の時間の長さの後に完了され得、励起供給源からの励起パルスの特定の数、又は、1つの特定のターゲットが特定された。データ収集が完了したときに、データ分析は、9-125において終了される。 When the previous chip is removed by the user, or if device 2-120 determines in actions 9-103 that the previous chip has already been removed, the user in actions 9-111. You will be prompted to insert a new assay chip 2-110 for a new analysis. Instrument 2-120 then waits for a new assay chip 2-110 to be inserted in Act 9-113. When the user inserts a new chip, in Act 9-115, the user interface 2 so that the sample to be analyzed is placed on the exposed top surface of assay chip 2-110. Prompted by the -125 indicator and also prompted to close the lid over device 2-120. Instrument 2-120 then waits for the lid to be closed in Act 9-117. When the lid is closed by the user, in Act 9-119, the excitation source is driven to produce excitation energy to excite the sample portion of the sample present in the sample well of assay chip 2-110. Can be done. In Acts 8-121, the emission energy from the sample is detected by sensor 2-122 and the data from sensor 2-122 is passed to processing device 2-123 for analysis. In some embodiments, the data may be streamed to an external computing device 2-130. In act 2-123, device 2-120 checks whether the data collection is complete. Data acquisition could be completed after a certain length of time, identifying a particular number of excitation pulses from the excitation source, or one particular target. When the data collection is complete, the data analysis ends at 9-125.

図9-2は、いくつかの実施形態による例示的な自己キャリブレーション・ルーチンを図示している。キャリブレーション・ルーチンは、試料の分析の前の任意の適切な時間に実行され得る。たとえば、それは、エンド・ユーザへの出荷の前に、それぞれの機器に関する製造業者によって一度行われ得る。代替的に、エンド・ユーザは、任意の適切な時間にキャリブレーションを実施することが可能である。上記に議論されているように、機器2-120は、異なるサンプルから放出された異なる波長を有するエミッション・エネルギーの間を区別することが可能である。機器2-120及び/又はコンピューティング・デバイス2-130は、たとえば、分析されている試料の分子にタグを付けるために使用される発光性タグに関連付けられる光のそれぞれの特定の色に関連付けられるキャリブレーションによってキャリブレートされ得る。このように、特定の色に関連付けられる正確な出力信号が決定され得る。 FIG. 9-2 illustrates an exemplary self-calibration routine with some embodiments. The calibration routine can be performed at any suitable time prior to sample analysis. For example, it can be done once by the manufacturer for each device prior to shipping to the end user. Alternatively, the end user can perform the calibration at any suitable time. As discussed above, equipment 2-120 is capable of distinguishing between emission energies with different wavelengths emitted from different samples. Instrument 2-120 and / or computing device 2-130 are associated with, for example, each particular color of light associated with a luminescent tag used to tag the molecule of the sample being analyzed. Can be calibrated by calibration. In this way, the exact output signal associated with a particular color can be determined.

デバイスをキャリブレートするために、単一の発光性タグに関連付けられたキャリブレーション試料が、1つずつ機器2-120に提供される。ユーザが、アッセイ・チップ2-110の上に単一の波長のエミッション・エネルギーを放出する発光性タグからなる試料を置き、機器2-120の中へアッセイ・チップ2-110を挿入するときに、自己キャリブレーションが、行為9-201において開始する。ユーザ・インターフェース2-125を使用して、ユーザは、自己キャリブレーションを開始するように機器2-120に指示する。それに応答して、行為8-203において、機器2-120は、励起エネルギーによってアッセイ・チップ2-110を照射することによって、及び、キャリブレーション試料からの単一の波長エミッション・エネルギーを測定することによって、キャリブレーション分析を走らせる。次いで、機器2-120は、行為9-205において、センサー・アレイのそれぞれのピクセルに関するセンサー2-122のサブ・センサーのアレイの上で測定される検出パターンをセーブすることが可能である。それぞれの発光性タグに関する検出パターンは、発光性タグに関連付けられる検出シグネチャーと考えられ得る。このように、シグネチャーは、その後の分析ランにおいて分析される未知のサンプルから受け取られるデータを分析するために使用されるトレーニング・データ・セットとして使用され得る。 To calibrate the device, calibration samples associated with a single luminescent tag are provided to instrument 2-120 one by one. When the user places a sample consisting of a luminescent tag that emits emission energy of a single wavelength on assay chip 2-110 and inserts assay chip 2-110 into instrument 2-120. , Self-calibration begins at Acts 9-201. Using user interface 2-125, the user instructs instrument 2-120 to initiate self-calibration. In response, in Act 8-203, instrument 2-120 measures single wavelength emission energy from the calibration sample and by irradiating assay chip 2-110 with excitation energy. Run the calibration analysis. Instrument 2-120 is then capable of saving the detection pattern measured on the sub-sensor array of sensors 2-122 for each pixel of the sensor array in Acts 9-205. The detection pattern for each luminescent tag can be thought of as the detection signature associated with the luminescent tag. Thus, the signature can be used as a training data set used to analyze data received from unknown samples analyzed in subsequent analysis runs.

次いで、上記のキャリブレーション・ルーチンは、単一の発光性タグに関連付けられるすべてのキャリブレーション試料に関して実行され得る。このように、ピクセルのアレイのそれぞれのセンサー2-122は、キャリブレーション・データに関連付けられており、キャリブレーション・データは、キャリブレーション・ルーチンの完了の後に、行為9-207において実施されるその後の分析の間に、サンプル・ウェルの中に存在する発光性タグを決定するために使用され得る。 The above calibration routine can then be performed for all calibration samples associated with a single luminescent tag. Thus, each sensor 2-122 in the array of pixels is associated with calibration data, which is then performed in actions 9-207 after the completion of the calibration routine. Can be used to determine the luminescent tag present in the sample well during the analysis of.

図9-3は、いくつかの実施形態にしたがって、キャリブレーション・データがデータを分析するためにどのように獲得及び使用され得るかということをさらに図示している。行為9-301において、キャリブレーション・データが、センサーから得られる。これは、上述の自己キャリブレーション・ルーチンを使用して行われ得る。行為9-303において、変換行列が、キャリブレーション・データに基づいて発生させられる。変換行列は、センサー・データをサンプルのエミッション波長にマッピングし、また、mxn行列であり、ここで、mは、異なるエミッション波長を備える発光性タグの数であり、nは、ピクセル当たりのエミッション・エネルギーを検出するために使用されるサブ・センサーの数である。したがって、変換行列のそれぞれの列は、センサーに関するキャリブレーション値を表している。たとえば、ピクセル当たりに4つのサブ・センサー、及び、5つの異なる発光性タグが存在する場合には、変換行列は、4x5行列(すなわち、4つの行及び5つの列)であり、それぞれの列は、異なる発光性タグに関連付けられており、列の中の値は、自己キャリブレーション・ルーチンの間にサブ・センサーから得られる測定値に対応している。いくつかの実施形態では、それぞれのピクセルは、それ自身の変換行列を有することが可能である。他の実施形態では、ピクセルのうちの少なくともいくつかからのキャリブレーション・データは、平均され得、次いで、すべてのピクセルが、平均されたデータに基づいて同じ変換行列を使用することが可能である。 FIG. 9-3 further illustrates how calibration data can be acquired and used to analyze the data, according to some embodiments. In Acts 9-301, calibration data is obtained from the sensor. This can be done using the self-calibration routine described above. In Acts 9-303, a transformation matrix is generated based on the calibration data. The transformation matrix maps sensor data to the emission wavelengths of the sample and is also an mxn matrix, where m is the number of luminescent tags with different emission wavelengths and n is the emission per pixel. The number of sub-sensors used to detect energy. Therefore, each column of the transformation matrix represents the calibration value for the sensor. For example, if there are 4 sub-sensors per pixel and 5 different luminescent tags, the transformation matrix is a 4x5 matrix (ie, 4 rows and 5 columns), where each column is , Associated with different luminescent tags, the values in the column correspond to the measurements obtained from the sub-sensors during the self-calibration routine. In some embodiments, each pixel can have its own transformation matrix. In other embodiments, calibration data from at least some of the pixels can be averaged, and then all pixels can use the same transformation matrix based on the averaged data. ..

行為9-305において、バイオアッセイに関連付けられる分析データが、センサーから得られる。これは、上記に説明されている方式のいずれかで行われ得る。行為9-307において、エミッション・エネルギーの波長、及び/又は、発光性タグのアイデンティティーが、変換行列及び分析データを使用して決定され得る。これは、任意の適切な方式で行われ得る。いくつかの実施形態では、分析データは、変換行列の擬似逆行列を乗じ、mx1ベクトルを結果として生じさせる。次いで、最大値を備えるベクトル成分に関連付けられる発光性タグが、サンプル・ウェルの中に存在している発光性タグとして特定され得る。実施形態は、この技法に限定されない。いくつかの実施形態では、小さい値を備える行列の逆行列がとられるときに生じ得る、可能性のある病理を防止するために、最小二乗法方法又は最尤技法などのような、制限付きの最適化ルーチンが、サンプル・ウェルの中に存在する発光性タグを決定するために行われ得る。 In Acts 9-305, analytical data associated with the bioassay is obtained from the sensor. This can be done by any of the methods described above. In Acts 9-307, the wavelength of the emission energy and / or the identity of the luminescent tag can be determined using the transformation matrix and analytical data. This can be done in any suitable manner. In some embodiments, the analytical data is multiplied by the pseudoinverse of the transformation matrix, resulting in an mx1 vector. The luminescent tag associated with the vector component having the maximum value can then be identified as the luminescent tag present in the sample well. Embodiments are not limited to this technique. In some embodiments, there are restrictions, such as least squares methods or maximum likelihood techniques, to prevent possible pathologies that can occur when the inverse of a matrix with small values is taken. An optimization routine may be performed to determine the luminescent tag present in the sample well.

センサーからのデータを分析するためにキャリブレーション・データを使用する先述の方法は、任意の適切なプロセッサーによって実施され得る。たとえば、機器2-120のプロセッシング・デバイス2-123が、分析を実施することが可能であり、又は、コンピューティング・デバイス2-130が、分析を実施することが可能である。 The aforementioned method of using calibration data to analyze data from the sensor can be performed by any suitable processor. For example, processing device 2-123 of device 2-120 can perform the analysis, or computing device 2-130 can perform the analysis.

IX. コンピューティング・デバイス
図10は、実施形態がその上に実施され得る適切なコンピューティング・システム環境1000の例を図示している。たとえば、図2-1のコンピューティング・デバイス2-130が、コンピューティング・システム環境1000にしたがって実施され得る。追加的に、コンピューティング・システム環境1000は、制御システムとしての役割を果たすことが可能であり、制御システムは、アッセイを実施するように機器を制御するようにプログラムされている。たとえば、制御システムは、光を放出し、アッセイ・チップのサンプル・ウェルに向けて光を方向付けするように、励起供給源を制御することが可能であり、また、サンプル・ウェルの中の1つ又は複数のサンプルからのエミッション光の検出を可能にするように、センサーを制御することが可能であり、また、たとえば、エミッション・エネルギーの空間的な分布を分析することによって、センサーからの信号を分析し、サンプル・ウェルの中に存在するサンプルを特定することが可能である。コンピューティング・システム環境1000は、適切なコンピューティング環境の単なる1つの例であり、本発明の使用又は機能性の範囲に関する限定を提案することはまったく意図していない。いずれのコンピューティング環境1000も、例示的な動作環境1000の中に図示されているコンポーネントの任意の1つ又は組み合わせに関する任意の依存性又は要求を有するものとして解釈されるべきではない。
IX. Computing Devices FIG. 10 illustrates an example of a suitable computing system environment 1000 on which embodiments may be implemented. For example, the computing device 2-130 of FIG. 2-1 may be implemented according to the computing system environment 1000. Additionally, the computing system environment 1000 can serve as a control system, which is programmed to control the instrument to perform the assay. For example, the control system can control the excitation source to emit light and direct the light towards the sample well of the assay chip, and one of the sample wells. The sensor can be controlled to allow detection of emission light from one or more samples, and the signal from the sensor, for example, by analyzing the spatial distribution of emission energy. Can be analyzed to identify the sample present in the sample well. The computing system environment 1000 is merely an example of a suitable computing environment and is not intended to propose any limitation on the scope of use or functionality of the present invention. No computing environment 1000 should be construed as having any dependency or requirement for any one or combination of components illustrated in the exemplary operating environment 1000.

実施形態は、多数の他の汎用又は専用コンピューティング・システム環境又は構成とともに動作可能である。本発明とともに使用するのに適切であり得る、周知のコンピューティング・システム、環境、及び/又は構成の例は、それに限定されないが、パーソナル・コンピュータ、サーバ・コンピュータ、ハンド・ヘルド又はラップトップ・コンピュータ・デバイス、マルチプロセッサー・システム、マイクロプロセッサー・ベースのシステム、セット・トップ・ボックス、プログラム可能なコンシューマ・エレクトロニクス、ネットワークPC、ミニコンピュータ、メインフレーム・コンピュータ、及び、上記のシステム又はデバイスのいずれかを含む分散型コンピューティング環境などを含む。 The embodiments can work with a number of other general purpose or dedicated computing system environments or configurations. Examples of well-known computing systems, environments, and / or configurations that may be suitable for use with the present invention are, but are not limited to, personal computers, server computers, handheld or laptop computers. Devices, multiprocessor systems, microprocessor-based systems, set-top boxes, programmable consumer electronics, network PCs, minicomputers, mainframe computers, and any of the above systems or devices. Including distributed computing environment and so on.

コンピューティング環境は、プログラム・モジュールなどのような、コンピュータ実行可能な命令を実行することが可能である。一般に、プログラム・モジュールは、特定のタスクを実施し、又は特定の抽出データ・タイプを実施する、ルーチン、プログラム、オブジェクト、コンポーネント、データ構造などを含む。また、本発明は、分散型コンピューティング環境において実践され得、そこでは、タスクが、通信ネットワークを通してリンクされている遠隔のプロセッシング・デバイスによって実施される。分散型コンピューティング環境では、プログラム・モジュールが、メモリー・ストレージ・デバイスを含む、ローカルのコンピュータ・ストレージ媒体及び遠隔のコンピュータ・ストレージ媒体の両方の中に位置付けされ得る。 The computing environment is capable of executing computer-executable instructions such as program modules. In general, a program module includes routines, programs, objects, components, data structures, etc. that perform a particular task or perform a particular extracted data type. The invention can also be practiced in a distributed computing environment, where tasks are performed by remote processing devices linked through communication networks. In a distributed computing environment, program modules can be located in both local and remote computer storage media, including memory storage devices.

図10を参照すると、本発明を実施するための例示的なシステムは、コンピュータ1010の形態の汎用コンピューティング・デバイスを含む。コンピュータ1010のコンポーネントは、それに限定されないが、処理ユニット1020、システム・メモリー1030、及びシステム・バス1021を含むことが可能であり、システム・バス1021は、システム・メモリーを含むさまざまなシステム・コンポーネントを処理ユニット1020にカップリングする。システム・バス1021は、さまざまなバス・アーキテクチャーのいずれかを使用するメモリー・バス又はメモリー・コントローラ、ペリフェラル・バス、及びローカル・バスを含む、いくつかのタイプのバス構造のいずれかであることが可能である。例として、及び、限定としてではなく、そのようなアーキテクチャーは、業界標準アーキテクチャー(ISA)バス、マイクロ・チャネル・アーキテクチャー(MCA)バス、拡張ISA(EISA)バス、ビデオ・エレクトロニクス・スタンダーズ・アソシエーション(VESA)ローカル・バス、及び、メザニン・バスとしても知られるペリフェラル・コンポーネント・インターコネクト(PCI)バスを含む。 Referring to FIG. 10, an exemplary system for carrying out the present invention includes a general purpose computing device in the form of a computer 1010. The components of computer 1010 can include, but are not limited to, a processing unit 1020, system memory 1030, and system bus 1021, which can include various system components including system memory. Coupling to processing unit 1020. The system bus 1021 is one of several types of bus structures, including memory buses or memory controllers, peripheral buses, and local buses that use any of the various bus architectures. Is possible. As an example, and not exclusively, such architectures include Industry Standard Architecture (ISA) Bus, Micro Channel Architecture (MCA) Bus, Extended ISA (EISA) Bus, Video Electronics Standards. Includes Association (VESA) Local Bus and Peripheral Component Interconnect (PCI) Bus, also known as Mezanin Bus.

コンピュータ1010は、典型的に、さまざまなコンピュータ可読媒体を含む。コンピュータ可読媒体は、コンピュータ1010によってアクセスされ得る任意の利用可能な媒体であり、それは、揮発性の及び不揮発性の媒体、リムーバブルの及びノン・リムーバブルの媒体の両方を含む。例として、及び、限定としてではなく、コンピュータ可読媒体は、コンピュータ・ストレージ媒体及び通信媒体からなることが可能である。コンピュータ・ストレージ媒体は、コンピュータ可読命令、データ構造、プログラム・モジュール、又は他のデータなどのような、情報のストレージに関して任意の方法又は技術で実施された、揮発性の及び不揮発性の媒体、リムーバブルの及びノン・リムーバブルの媒体の両方を含む。コンピュータ・ストレージ媒体は、それに限定されないが、RAM、ROM、EEPROM、フラッシュ・メモリー、もしくは、他のメモリー技術、CD-ROM、デジタル多用途ディスク(DVD)、もしくは、他の光ディスク・ストレージ、磁気カセット、磁気テープ、磁気ディスク・ストレージ、もしくは、他の磁気ストレージ・デバイス、又は、所望の情報を保存するために使用され得、コンピュータ1010によってアクセスされ得る、任意の他の媒体を含む。通信媒体は、典型的に、コンピュータ可読命令、データ構造、プログラム・モジュール、又は、たとえば、キャリア波又は他の輸送メカニズムなど、変調されたデータ信号の中の他のデータを具現化し、任意の情報送達媒体を含む。「変調されたデータ信号」という用語は、信号の中の情報を符号化するような様式で設定又は変化させられたその特性のうちの1つ又は複数を有する信号を意味している。例として、及び限定としてではなく、通信媒体は、ワイヤード・ネットワーク又はダイレクト・ワイヤード接続などのような、ワイヤード媒体、ならびに、音響媒体、RF媒体、赤外線媒体、及び他のワイヤレス媒体などのような、ワイヤレス媒体を含む。また、上記の任意の組み合わせは、コンピュータ可読媒体の範囲内に含まれるべきである。 The computer 1010 typically includes various computer readable media. A computer-readable medium is any available medium that can be accessed by the computer 1010, including both volatile and non-volatile media, removable and non-removable media. By way of example, and without limitation, the computer readable medium can consist of a computer storage medium and a communication medium. Computer storage media are volatile and non-volatile media, removable, implemented by any method or technique with respect to the storage of information, such as computer readable instructions, data structures, program modules, or other data. Includes both non-removable and non-removable media. Computer storage media are, but are not limited to, RAM, ROM, EEPROM, flash memory, or other memory technologies, CD-ROMs, digital versatile disks (DVDs), or other optical disk storage, magnetic cassettes. , Magnetic tape, magnetic disk storage, or other magnetic storage device, or any other medium that can be used to store desired information and can be accessed by computer 1010. The communication medium typically embodies other data in a modulated data signal, such as computer-readable instructions, data structures, program modules, or, for example, carrier waves or other transport mechanisms, and any information. Includes delivery medium. The term "modulated data signal" means a signal having one or more of its properties set or altered in a manner that encodes the information in the signal. By way of example, and without limitation, the communication medium is a wired medium, such as a wired network or a direct wired connection, as well as an acoustic medium, an RF medium, an infrared medium, and other wireless media, and the like. Includes wireless media. Also, any combination of the above should be included within the scope of computer readable media.

システム・メモリー1030は、リード・オンリー・メモリー(ROM)1031及びランダム・アクセス・メモリー(RAM)1032などのような、揮発性の及び/又は不揮発性のメモリーの形態のコンピュータ・ストレージ媒体を含むことが可能である。基本入力/出力システム1033(BIOS)は、たとえば起動の間などにコンピュータ1010の中のエレメント同士の間で情報を伝達することを助ける基本ルーチンを含有しており、基本入力/出力システム1033(BIOS)は、典型的にROM1031の中に保存される。RAM1032は、典型的に、データ及び/又はプログラム・モジュールを含有し、データ及び/又はプログラム・モジュールは、処理ユニット1020に即座にアクセス可能であり、及び/又は、処理ユニット1020によって現在動作されている。例として、及び、限定としてではなく、図10は、オペレーティング・システム1034、アプリケーション・プログラム1035、他のプログラム・モジュール1036、及びプログラム・データ1037を図示している。 The system memory 1030 includes computer storage media in the form of volatile and / or non-volatile memory, such as read-only memory (ROM) 1031 and random access memory (RAM) 1032. Is possible. The basic input / output system 1033 (BIOS) contains basic routines that help transmit information between elements in the computer 1010, such as during boot, and the basic input / output system 1033 (BIOS). ) Is typically stored in ROM 1031. The RAM 1032 typically contains a data and / or program module, the data and / or the program module having immediate access to the processing unit 1020 and / or currently being operated by the processing unit 1020. There is. By way of example, and not by limitation, FIG. 10 illustrates an operating system 1034, an application program 1035, other program modules 1036, and program data 1037.

また、コンピュータ1010は、他のリムーバブルの/ノン・リムーバブルの揮発性の/不揮発性のコンピュータ・ストレージ媒体を含むことが可能である。単なる例として、図10は、ノン・リムーバブルの不揮発性の磁気媒体に読み書きをするハード・ディスク・ドライブ1041、リムーバブルの不揮発性の磁気ディスク1052に読み書きをする磁気ディスク・ドライブ1051、及び、CD ROM又は他の光学的な媒体などのような、リムーバブルの不揮発性の光ディスク1056に読み書きをする光ディスク・ドライブ1055を図示している。例示的な動作環境において使用され得る他のリムーバブルの/ノン・リムーバブルの揮発性の/不揮発性のコンピュータ・ストレージ媒体は、それに限定されないが、磁気テープ・カセット、フラッシュ・メモリー・カード、デジタル多用途ディスク、デジタル・ビデオ・テープ、ソリッド・ステートRAM、及びソリッド・ステートROMなどを含む。ハード・ディスク・ドライブ1041は、典型的に、インターフェース1040などのようなノン・リムーバブル・メモリー・インターフェースを通して、システム・バス1021に接続され、また、磁気ディスク・ドライブ1051及び光ディスク・ドライブ1055は、典型的に、インターフェース1050などのようなリムーバブル・メモリー・インターフェースによって、システム・バス1021に接続されている。 Computer 1010 can also include other removable / non-removable volatile / non-volatile computer storage media. As a mere example, FIG. 10 shows a hard disk drive 1041 that reads and writes to a non-removable non-volatile magnetic medium, a magnetic disk drive 1051 that reads and writes to a removable non-volatile magnetic disk 1052, and a CD ROM. Alternatively, an optical disk drive 1055 that reads and writes to a removable non-volatile optical disk 1056, such as another optical medium, is illustrated. Other removable / non-removable volatile / non-volatile computer storage media that can be used in an exemplary operating environment are, but are not limited to, magnetic tape cassettes, flash memory cards, and digital versatility. Includes disks, digital videotapes, solid-state RAM, and solid-state ROM. The hard disk drive 1041 is typically connected to system bus 1021 through a non-removable memory interface such as interface 1040, and the magnetic disk drive 1051 and optical disk drive 1055 are typically. In particular, it is connected to the system bus 1021 by a removable memory interface such as interface 1050.

上記に議論されており、図10に図示されている、ドライブ及びそれらの関連のコンピュータ・ストレージ媒体は、コンピュータ可読命令、データ構造、プログラム・モジュール、及び、コンピュータ1010に関する他のデータのストレージを提供する。図10では、たとえば、ハード・ディスク・ドライブ1041は、オペレーティング・システム1044、アプリケーション・プログラム1045、他のプログラム・モジュール1046、及びプログラム・データ1047を保存しているものとして図示されている。これらのコンポーネントは、オペレーティング・システム1034、アプリケーション・プログラム1035、他のプログラム・モジュール1036、及びプログラム・データ1037と同じであるか、又は、それらとは異なるかのいずれかであることが可能であるということに留意されたい。オペレーティング・システム1044、アプリケーション・プログラム1045、他のプログラム・モジュール1046、及びプログラム・データ1047は、ここでは異なる数字を与えられており、最低でも、それらが異なるコピーであるということを図示している。ユーザは、キーボード1062、及び、マウス、トラックボール、又はタッチ・パッドと一般に称されるポインティング・デバイス1061などのような、入力デバイスを通して、コンピュータ1010の中へ、コマンド及び情報を入力することが可能である。他の入力デバイス(図示せず)は、マイクロホン、ジョイスティック、ゲーム・パッド、サテライト・ディッシュ、又はスキャナーなどを含むことが可能である。これらの入力デバイス及び他の入力デバイスは、システム・バスにカップリングされているユーザ入力インターフェース1060を通して、処理ユニット1020に接続され得るが、それは、パラレル・ポート、ゲーム・ポート、又はユニバーサル・シリアル・バス(USB)などのような、他のインターフェース及びバス構造によって接続されることが多い。また、モニター1091又は他のタイプのディスプレイ・デバイスが、ビデオ・インターフェース1090などのようなインターフェースを介して、システム・バス1021に接続されている。また、モニターに加えて、コンピュータは、スピーカ1097及びプリンター1096などのような、他のペリフェラル出力デバイスを含むことが可能であり、それは、出力ペリフェラル・インターフェース1095を通して接続され得る。 The drives and their associated computer storage media, discussed above and illustrated in FIG. 10, provide computer-readable instructions, data structures, program modules, and storage of other data about the computer 1010. do. In FIG. 10, for example, the hard disk drive 1041 is illustrated as storing an operating system 1044, an application program 1045, another program module 1046, and program data 1047. These components can be either the same as or different from the operating system 1034, application program 1035, other program modules 1036, and program data 1037. Please note that. The operating system 1044, the application program 1045, the other program modules 1046, and the program data 1047 are given different numbers here, illustrating that they are, at a minimum, different copies. .. Users can enter commands and information into computer 1010 through input devices such as the keyboard 1062 and a pointing device 1061 commonly referred to as a mouse, trackball, or touchpad. Is. Other input devices (not shown) can include microphones, joysticks, game pads, satellite dishes, scanners, and the like. These input devices and other input devices may be connected to the processing unit 1020 through the user input interface 1060 coupled to the system bus, which may be a parallel port, a game port, or a universal serial port. Often connected by other interfaces and bus structures, such as buses (USB). Also, a monitor 1091 or other type of display device is connected to the system bus 1021 via an interface such as the video interface 1090. Also, in addition to the monitor, the computer can include other peripheral output devices such as speakers 1097 and printer 1096, which can be connected through the output peripheral interface 1095.

コンピュータ1010は、遠隔のコンピュータ1080などのような1つ又は複数の遠隔のコンピュータへの論理的接続を使用して、ネットワーク化された環境において動作させられ得る。遠隔のコンピュータ1080は、パーソナル・コンピュータ、サーバ、ルータ、ネットワークPC、ピア・デバイス、又は、他の共通のネットワーク・ノードであることが可能であり、また、メモリー・ストレージ・デバイス1081だけが図10に図示されているが、遠隔のコンピュータ1080は、典型的に、コンピュータ1010に関して上記に説明されているエレメントのうちの多く又はすべてを含む。図10に示されている論理的接続は、ローカル・エリア・ネットワーク(LAN)1071及びワイド・エリア・ネットワーク(WAN)1073を含むが、他のネットワークを含むことも可能である。そのようなネットワーキング環境は、オフィス、企業規模のコンピュータ・ネットワーク、イントラネット、及びインターネットにおいて普通である。 Computer 1010 can be operated in a networked environment using a logical connection to one or more remote computers, such as remote computer 1080. The remote computer 1080 can be a personal computer, server, router, network PC, peer device, or other common network node, and only the memory storage device 1081 is shown in FIG. Although illustrated in, a remote computer 1080 typically includes many or all of the elements described above with respect to the computer 1010. The logical connection shown in FIG. 10 includes a local area network (LAN) 1071 and a wide area network (WAN) 1073, but may include other networks as well. Such networking environments are common in offices, enterprise-wide computer networks, intranets, and the Internet.

LANネットワーキング環境において使用されるときには、コンピュータ1010は、ネットワーク・インターフェース又はアダプター1070を通して、LAN1071に接続されている。WANネットワーキング環境において使用されるときには、コンピュータ1010は、典型的に、モデム1072、又は、インターネットなどのようなWAN1073を通した通信を確立するための他の手段を含む。モデム1072は、内部又は外部にあることが可能であり、モデム1072は、ユーザ入力インターフェース1060、又は他の適当なメカニズムを介して、システム・バス1021に接続され得る。ネットワーク化された環境では、コンピュータ1010に関して示されているプログラム・モジュール又はその一部分は、遠隔のメモリー・ストレージ・デバイスの中に保存され得る。例として、及び、限定としてではなく、図10は、メモリー・デバイス1081の上にあるものとして、遠隔のアプリケーション・プログラム1085を図示している。示されているネットワーク接続は、例示的なものであり、コンピュータ同士の間で通信リンクを確立する他の手段も使用され得るということが認識されることとなる。 When used in a LAN networking environment, the computer 1010 is connected to the LAN 1071 through a network interface or adapter 1070. When used in a WAN networking environment, the computer 1010 typically includes a modem 1072, or other means for establishing communication through the WAN 1073, such as the Internet. The modem 1072 can be internal or external, and the modem 1072 can be connected to system bus 1021 via user input interface 1060, or other suitable mechanism. In a networked environment, the program module shown for computer 1010 or a portion thereof may be stored in a remote memory storage device. As an example and, but not as a limitation, FIG. 10 illustrates the remote application program 1085 as being on top of the memory device 1081. It will be appreciated that the network connections shown are exemplary and other means of establishing communication links between computers may also be used.

VIII. 結論
したがって、本発明の少なくとも1つの実施形態のいくつかの態様が説明されてきたが、さまざまな代替例、修正例、及び改善例が、当業者に容易に思いつくこととなるということが理解されるべきである。
VIII. Conclusion Although some embodiments of at least one embodiment of the present invention have been described, it is understood that various alternatives, modifications, and improvements will be readily conceivable to those of skill in the art. Should be.

そのような代替例、修正例、及び改善例は、この開示の一部であるということが意図されており、また、本発明の精神及び範囲の中にあることが意図されている。さらに、本発明の利点が示されているが、本発明のすべての実施形態が、記載されているすべての利点を含むこととなるわけではないということが認識されるべきである。いくつかの実施形態は、本明細書で、及び、いくつかの場合において、有利であるとして説明されている任意の特徴を実施しなくてもよい。したがって、先述の説明及び図面は、単なる例としてのものである。 Such alternatives, modifications, and improvements are intended to be part of this disclosure and are intended to be within the spirit and scope of the invention. Further, although the advantages of the present invention have been shown, it should be recognized that not all embodiments of the present invention will include all of the described advantages. Some embodiments may not implement any of the features described herein and in some cases as advantageous. Therefore, the above description and drawings are merely examples.

本発明の上述の実施形態は、多数の方式のいずれかで実施され得る。たとえば、実施形態は、ハードウェア、ソフトウェア、又は、それらの組み合わせを使用して実施され得る。ソフトウェアの中に実施されるときには、ソフトウェア・コードは、単一のコンピュータの中に設けられるか、又は、複数のコンピュータの間に分散されるかにかかわらず、任意の適切なプロセッサー、又は、プロセッサーの収集の上で実行され得る。そのようなプロセッサーは、集積回路として実施され得、1つ又は複数のプロセッサーを集積回路コンポーネントの中に備えており、それは、CPUチップ、GPUチップ、マイクロプロセッサー、マイクロコントローラ、又はコプロセッサーなどのような名前によって当技術分野で知られている市販の集積回路コンポーネントを含む。代替的に、プロセッサーは、プログラム可能なロジック・デバイスを構成することから結果として生じる、ASICなどのようなカスタム回路、又は、セミ・カスタム回路の中に実施され得る。さらなる代替例として、プロセッサーは、市販のものであろうと、セミ・カスタムであろうと、又はカスタムであろうと、より大きい回路又は半導体デバイスの一部分であることが可能である。特定の例として、いくつかの市販のマイクロプロセッサーは、複数のコアを有しており、それらのコアのうちの1つ又はサブセットが、プロセッサーを構成し得るようになっている。しかし、プロセッサーは、任意の適切なフォーマットの回路を使用して実施され得る。 The above-described embodiment of the present invention can be carried out by any of a number of methods. For example, embodiments may be implemented using hardware, software, or a combination thereof. When implemented in software, the software code is any suitable processor, or processor, whether it is located in a single computer or distributed among multiple computers. Can be performed on the collection of. Such processors can be implemented as integrated circuits and include one or more processors in an integrated circuit component, such as a CPU chip, GPU chip, microprocessor, microprocessor, or coprocessor. Includes commercially available integrated circuit components known in the art by name. Alternatively, the processor may be implemented in a custom circuit, such as an ASIC, or a semi-custom circuit that results from configuring a programmable logic device. As a further alternative, the processor, whether commercially available, semi-custom, or custom, can be part of a larger circuit or semiconductor device. As a particular example, some commercially available microprocessors have a plurality of cores, one or a subset of which can constitute the processor. However, the processor can be implemented using any suitablely formatted circuit.

さらに、コンピュータは、ラック・マウント型コンピュータ、デスクトップ・コンピュータ、ラップトップ・コンピュータ、又はタブレット・コンピュータなどのような、多数の形態のいずれかで具現化され得るということが認識されるべきである。追加的に、コンピュータは、パーソナル・デジタル・アシスタント(PDA)、スマート・フォン、又は、任意の他の適切なポータブルのもしくは固定された電子デバイスを含む、適切なプロセッシング能力を備えるが一般的にコンピュータとみなされないデバイスの中に埋め込まれ得る。 Furthermore, it should be recognized that computers can be embodied in any of a number of forms, such as rack-mounted computers, desktop computers, laptop computers, or tablet computers. In addition, computers generally have the appropriate processing capabilities, including personal digital assistants (PDAs), smart phones, or any other suitable portable or fixed electronic device. Can be embedded in devices that are not considered.

また、コンピュータは、1つ又は複数の入力及び出力デバイスを有することが可能である。これらのデバイスは、とりわけ、ユーザ・インターフェースを提示するために使用され得る。ユーザ・インターフェースを提供するために使用され得る出力デバイスの例は、出力の視覚的表現に関するプリンター又は表示スクリーン、及び、出力の可聴表現に関するスピーカ又は他のサウンド発生デバイスを含む。ユーザ・インターフェースに関して使用され得る入力デバイスの例は、キーボード、ならびに、マウス、タッチ・パッド、及びデジタイジング・タブレットなどのような、ポインティング・デバイスを含む。別の例として、コンピュータは、音声認識を通して、又は、他の可聴フォーマットで、入力情報を受け取ることが可能である。 Also, the computer can have one or more input and output devices. These devices can be used, among other things, to present a user interface. Examples of output devices that can be used to provide a user interface include a printer or display screen for the visual representation of the output, and a speaker or other sound generating device for the audible representation of the output. Examples of input devices that can be used with respect to user interfaces include keyboards as well as pointing devices such as mice, touchpads, and digitizing tablets. As another example, the computer can receive input information through voice recognition or in other audible formats.

そのようなコンピュータは、企業ネットワーク又はインターネットなどのような、ローカル・エリア・ネットワーク又はワイド・エリア・ネットワークを含む、1つ又は複数のネットワークによって、任意の適切な形態で相互接続され得る。そのようなネットワークは、任意の適切な技術に基づくことが可能であり、任意の適切なプロトコルにしたがって動作することが可能であり、ワイヤレス・ネットワーク、ワイヤード・ネットワーク、又は光ファイバー・ネットワークを含むことが可能である。 Such computers may be interconnected in any suitable manner by one or more networks, including local area networks or wide area networks, such as corporate networks or the Internet. Such networks can be based on any suitable technology, can operate according to any suitable protocol, and can include wireless networks, wired networks, or fiber optic networks. It is possible.

また、本明細書で概説されているさまざまな方法又はプロセスは、さまざまなオペレーティング・システム又はプラットフォームのうちの任意の1つを用いる1つ又は複数のプロセッサーの上で実行可能なソフトウェアとして符号化され得る。追加的に、そのようなソフトウェアは、多数の適切なプログラミング言語及び/又はプログラミング・ツールもしくはスクリプティング・ツールのいずれかを使用して書かれ得、また、フレームワーク又はバーチャル・マシンの上で実行される実行可能なマシン語コード又は中間コードとしてコンパイルされ得る。 Also, the various methods or processes outlined herein are encoded as software that can be run on one or more processors using any one of the various operating systems or platforms. obtain. Additionally, such software can be written using either a number of suitable programming languages and / or programming tools or scripting tools, and can also be run on a framework or virtual machine. Can be compiled as executable machine language code or intermediate code.

この点において、本発明は、1つもしくは複数のコンピュータ又は他のプロセッサーの上で実行されるときに、上記に議論されている本発明のさまざまな実施形態を実施する方法を実施する1つ又は複数のプログラムによって符号化されたコンピュータ可読のストレージ媒体(又は、複数のコンピュータ可読媒体)(たとえば、コンピュータ・メモリー、1つ又は複数のフロッピー・ディスク、コンパクト・ディスク(CD)、光学ディスク、デジタル・ビデオ・ディスク(DVD)、磁気テープ、フラッシュ・メモリー、フィールド・プログラマブル・ゲート・アレイもしくは他の半導体デバイスの中の回路構成、又は、他の有形的コンピュータ・ストレージ媒体)として具現化され得る。先述の例から明らかであるように、コンピュータ可読のストレージ媒体は、非一時的な形態のコンピュータ実行可能な命令を提供するのに十分な時間にわたって、情報を保持することが可能である。そのような1つ又は複数のコンピュータ可読のストレージ媒体は、輸送可能であり得、その上に保存された1つ又は複数のプログラムが、1つ又は複数の異なるコンピュータ又は他のプロセッサーの上にロードされ、上記に議論されているような本発明のさまざまな態様を実施することができるようになっている。本明細書で使用されているように、「コンピュータ可読のストレージ媒体」という用語は、製品(すなわち、製造品)又はマシンであると考えられ得るコンピュータ可読媒体だけを包含する。代替的に又は追加的に、本発明は、伝播信号などのような、コンピュータ可読のストレージ媒体以外のコンピュータ可読媒体として具現化され得る。 In this regard, the invention is one or the other that implements the methods of implementing the various embodiments of the invention discussed above when run on one or more computers or other processors. Computer-readable storage media (or computer-readable media) encoded by multiple programs (eg, computer memory, one or more floppy disks, compact disks (CDs), optical disks, digital discs. It can be embodied as a video disk (DVD), magnetic tape, flash memory, circuit configuration within a field programmable gate array or other semiconductor device, or other tangible computer storage medium). As is clear from the previous example, a computer-readable storage medium can retain information for a sufficient amount of time to provide a non-temporary form of computer-executable instructions. Such one or more computer-readable storage media may be transportable, on which one or more programs may be loaded onto one or more different computers or other processors. It has become possible to implement various aspects of the invention as discussed above. As used herein, the term "computer-readable storage medium" includes only computer-readable media that may be considered a product (ie, manufactured product) or machine. Alternatively or additionally, the invention may be embodied as a computer-readable medium other than a computer-readable storage medium, such as a propagating signal.

「プログラム」又は「ソフトウェア」という用語は、上記に議論されているような本発明のさまざまな態様を実施するために、コンピュータ又は他のプロセッサーをプログラムするために使用され得る、コンピュータ・コード又はコンピュータ実行可能な命令の任意のタイプのセットを表すために、一般的な意味で、本明細書で使用され得る。追加的に、この実施形態の1つの態様によれば、実行されるときに、本発明の方法を実施する1つ又は複数のコンピュータ・プログラムは、単一のコンピュータ又はプロセッサーの上にある必要はなく、本発明のさまざまな態様を実施するために、複数の異なるコンピュータ又はプロセッサーの間にモジュラー方式で分散され得るということが認識されるべきである。 The term "program" or "software" can be used to program a computer or other processor to implement various aspects of the invention as discussed above, computer code or computer. As used herein, in a general sense, to represent any set of executable instructions. Additionally, according to one embodiment of this embodiment, one or more computer programs that implement the methods of the invention, when executed, need to be on a single computer or processor. Instead, it should be recognized that in order to implement various aspects of the invention, it can be distributed in a modular fashion among a plurality of different computers or processors.

コンピュータ実行可能な命令は、1つ又は複数のコンピュータ又は他のデバイスによって実行される、プログラム・モジュールなどのような多くの形態であることが可能である。一般的に、プログラム・モジュールは、特定のタスクを実施し、又は特定の抽出データ・タイプを実施する、ルーチン、プログラム、オブジェクト、コンポーネント、データ構造などを含む。典型的に、プログラム・モジュールの機能性が、さまざまな実施形態において、必要に応じて、組み合わせられ又は分散させられ得る。 Computer-executable instructions can be in many forms, such as program modules, executed by one or more computers or other devices. In general, a program module includes routines, programs, objects, components, data structures, etc. that perform a particular task or perform a particular extracted data type. Typically, the functionality of the program module can be combined or distributed as needed in various embodiments.

また、データ構造は、任意の適切な形態で、コンピュータ可読媒体の中に保存され得る。図示を簡単にするために、データ構造は、データ構造の中の場所を通して関連するフィールドを有するように示され得る。そのような関係は、フィールドに関するストレージを、フィールド同士の間の関係を伝えるコンピュータ可読媒体の中の場所に割り当てることによって、同様に実現され得る。しかし、任意の適切なメカニズムが、データ構造のフィールドの中の情報の間の関係を確立するために使用され得、それは、ポインター、タグ、又は、データ・エレメント同士の間の関係を確立する他のメカニズムの使用を通すことを含む。 Also, the data structure can be stored in any suitable form on a computer readable medium. For simplicity of illustration, a data structure may be shown to have relevant fields through locations within the data structure. Such relationships can be similarly achieved by allocating storage for the fields to a location in a computer-readable medium that conveys the relationships between the fields. However, any suitable mechanism can be used to establish relationships between information within fields of a data structure, other than establishing relationships between pointers, tags, or data elements. Including through the use of the mechanism of.

本発明のさまざまな態様が、単独で、組み合わせて、又は、先述のものにおいて説明された実施形態の中では具体的に議論されていないさまざまな配置で、使用され得、したがって、その用途において、先述の説明において述べられ又は図面に図示されているコンポーネントの詳細及び配置に限定されない。たとえば、1つの実施形態において説明されている態様は、他の実施形態において説明されている態様と、任意の様式で組み合わせられ得る。 Various aspects of the invention may be used alone, in combination, or in various arrangements not specifically discussed in the embodiments described above, and thus in their application. It is not limited to the details and arrangement of the components described in the above description or illustrated in the drawings. For example, the embodiments described in one embodiment may be combined with the embodiments described in the other embodiments in any manner.

また、本発明は、方法として具現化され得、その例が提供されている。方法の一部として行われる行為は、任意の適切な方式で順序付けられることが可能である。したがって、図示されているものとは異なる順序で行為が行われる実施形態が構築され得、それは、例示目的の実施形態では連続的な行為として示されているとしても、いくつかの行為を同時に実施することを含むことが可能である。 Further, the present invention can be embodied as a method, and an example thereof is provided. The actions performed as part of the method can be ordered in any suitable manner. Thus, embodiments may be constructed in which the actions are performed in a different order than shown, which may be shown as continuous actions in the exemplary embodiment, but may perform several actions simultaneously. It is possible to include doing.

特許請求の範囲の中で請求項エレメントを修飾するために、「第1の」、「第2の」、「第3の」などのような序数用語を使用することは、それ自身では、別の請求項エレメントに対する1つの請求項エレメントのいずれの優先度、順位、もしくは順序も含意しておらず、又は、方法の行為が実施される時間的な順序も含意しておらず、それらは、単に、特定の名前を有する1つの請求項エレメントを、(序数の用語の使用がなければ)同じ名前を有する別のエレメントからを区別するためのラベルとして使用され、請求項エレメントを区別する。 The use of prejudicial terms such as "first", "second", "third", etc. to modify the claims element within the claims is different in itself. It does not imply any priority, order, or order of one claim element with respect to any claim element, nor does it imply a temporal order in which the act of the method is performed. Simply, one claim element with a particular name is used as a label to distinguish it from another element with the same name (without the use of predicate terms) to distinguish the claim elements.

また、本明細書で使用されている言語表現及び専門用語は、説明の目的のためのものであり、限定としてみなされるべきではない。「含む(including)」、「からなる(comprising)」、又は「有する(having)」、「含有する(containing)」、「含む(involving)」、及び、それらの変形例を本明細書で使用することは、その後に列挙されている項目及びその均等物、ならびに、追加的な項目を包含することを意味している。
Also, the linguistic expressions and terminology used herein are for explanatory purposes only and should not be considered limiting. "Including,""comprising," or "having,""contining,""involving," and variations thereof are used herein. By doing so means to include the items listed thereafter and their equivalents, as well as additional items.

Claims (18)

チップの表面に設けられた複数のサンプル・ウェルのアレイであって、前記アレイにおけるそれぞれのサンプル・ウェルは1つのサンプルを受け入れるように形成されている、サンプル・ウェルのアレイと、
前記チップ中に形成された複数のレンズであって、前記複数のレンズのそれぞれのレンズは前記アレイ中の1つのサンプル・ウェルから放出された光を集光するように形成され、前記複数のレンズのうちの少なくともいくつかのそれぞれは、前記サンプル・ウェルのアレイから近い凸面と、前記サンプル・ウェルのアレイから遠い平面とを有する屈折レンズである、複数のレンズとからなる、装置。
An array of sample wells provided on the surface of the chip, each sample well in said array being formed to accept one sample.
A plurality of lenses formed in the chip, each of the plurality of lenses is formed so as to collect light emitted from one sample well in the array, and the plurality of lenses are formed. A device comprising a plurality of lenses, each of which is a refracting lens having a convex surface close to the array of sample wells and a plane far from the array of said sample wells.
前記複数のレンズのうちのそれぞれのレンズは前記アレイ中の個別のサンプル・ウェルに対応する、請求項1に記載の装置。 The device of claim 1, wherein each of the plurality of lenses corresponds to an individual sample well in the array. 前記複数のレンズのうちのそれぞれのレンズは前記アレイ中の対応するサンプル・ウェルから放出された光をセンサーに向かって指向させるべく配置されている、請求項2に記載の装置。 The device of claim 2, wherein each of the plurality of lenses is arranged to direct light emitted from a corresponding sample well in the array towards the sensor. 前記複数のレンズのうちのそれぞれのレンズは前記アレイ中の対応するサンプル・ウェルと重なっている、請求項2に記載の装置。 The device of claim 2, wherein each of the plurality of lenses overlaps a corresponding sample well in the array. 前記複数のレンズのうちのそれぞれのレンズは前記対応するサンプル・ウェルの下方に中心が合わせられている、請求項4に記載の装置。 The device of claim 4, wherein each of the plurality of lenses is centered below the corresponding sample well. 前記複数のレンズのうちのそれぞれのレンズは前記対応するサンプル・ウェルの5μm下方に設けられる、請求項5に記載の装置。 The device of claim 5, wherein each of the plurality of lenses is provided 5 μm below the corresponding sample well. 前記複数のレンズは前記チップのレンズ層に設けられる、請求項1に記載の装置。 The device according to claim 1, wherein the plurality of lenses are provided on the lens layer of the chip. 前記レンズ層と前記サンプル・ウェルのアレイとの間に設けられた誘電材料の層をさらに備える、請求項7に記載の装置。 7. The apparatus of claim 7, further comprising a layer of dielectric material provided between the lens layer and the array of sample wells. 前記誘電材料の層は酸化ケイ素を含有し、前記レンズ層は窒化ケイ素を含有する、請求項8に記載の装置。 The apparatus according to claim 8, wherein the layer of the dielectric material contains silicon oxide, and the lens layer contains silicon nitride. 前記誘電材料の層は5μmの厚さを有する、請求項8に記載の装置。 The apparatus according to claim 8, wherein the layer of the dielectric material has a thickness of 5 μm. チップの表面に設けられた複数のサンプル・ウェルのアレイであって、前記アレイにおけるそれぞれのサンプル・ウェルは1つのサンプルを受け入れるように形成されている、サンプル・ウェルのアレイと、
前記チップのレンズ層に形成された複数のレンズであって、前記複数のレンズのそれぞれのレンズは前記アレイ中の1つのサンプル・ウェルから放出された光を集光するように形成される、複数のレンズと、
前記レンズ層と前記サンプル・ウェルのアレイとの間に設けられた誘電材料の層であって、前記誘電材料は酸化ケイ素からなり、前記レンズ層は窒化ケイ素を含有する、誘電材料の層とからなる、装置。
An array of sample wells provided on the surface of the chip, each sample well in said array being formed to accept one sample.
A plurality of lenses formed in the lens layer of the chip, wherein each lens of the plurality of lenses is formed so as to collect light emitted from one sample well in the array. Lens and
A layer of dielectric material provided between the lens layer and the array of sample wells, wherein the dielectric material is made of silicon oxide and the lens layer is made of a layer of dielectric material containing silicon nitride. Become a device.
チップの表面に設けられた複数のサンプル・ウェルのアレイを形成する工程であって、前記アレイにおけるそれぞれのサンプル・ウェルは1つのサンプルを受け入れるように形成される、複数のサンプル・ウェルのアレイを形成する工程と、
前記チップ中に複数のレンズを形成する工程であって、前記複数のレンズは前記アレイ中の1つのサンプル・ウェルから放出された光を集光するように形成され、かつ前記複数のレンズのうちの少なくともいくつかのそれぞれは、前記サンプル・ウェルのアレイから近い凸面と、前記サンプル・ウェルのアレイから遠い平面とを有する屈折レンズである、複数のレンズを形成する工程とを備える、装置の製造方法。
A step of forming an array of a plurality of sample wells provided on the surface of a chip, wherein each sample well in the array is formed to receive one sample. The process of forming and
A step of forming a plurality of lenses in the chip, wherein the plurality of lenses are formed so as to collect light emitted from one sample well in the array, and among the plurality of lenses. Manufacture of an apparatus comprising the steps of forming a plurality of lenses, each of which is a refracting lens having a convex surface close to the array of sample wells and a plane far from the array of sample wells. Method.
前記複数のレンズを形成する工程では、前記複数のレンズのうちのそれぞれのレンズが前記アレイ中の対応するサンプル・ウェルから放出された光をセンサーに向かって指向させるべく配置させる工程を含んでなる、請求項12に記載の製造方法。 The step of forming the plurality of lenses includes a step of arranging each lens of the plurality of lenses so as to direct the light emitted from the corresponding sample wells in the array toward the sensor. , The manufacturing method according to claim 12. 前記複数のレンズを形成する工程では、前記複数のレンズのうちのそれぞれのレンズが前記アレイ中の対応するサンプル・ウェルと重るようにそれぞれのレンズを形成する工程を含んでなる、請求項13に記載の製造方法。 The step of forming the plurality of lenses includes a step of forming each lens so that each lens of the plurality of lenses overlaps with a corresponding sample well in the array. 13. The manufacturing method according to 13. 前記複数のサンプル・ウェルのアレイを形成する工程では、前記アレイ中の個別のサンプル・ウェルが前記複数のレンズのうちの対応するレンズと位置合わせされるように個別のサンプル・ウェルを形成する工程を含んでなる、請求項14に記載の製造方法。 In the step of forming the array of the plurality of sample wells, the step of forming the individual sample wells so that the individual sample wells in the array are aligned with the corresponding lenses of the plurality of lenses. 14. The manufacturing method according to claim 14. 前記複数のレンズと前記サンプル・ウェルのアレイとの間に設けられた誘電材料の層を形成する工程をさらに備える、請求項12に記載の製造方法。 12. The manufacturing method according to claim 12, further comprising a step of forming a layer of a dielectric material provided between the plurality of lenses and an array of sample wells. 前記複数のサンプル・ウェルを形成する工程は、
光学的に透明な層の上方に、少なくとも1つの金属層からなる材料の積層体を形成する工程と、
複数のサンプル・ウェルを形成すべく前記材料の積層体を少なくとも貫通してエッチングする工程とを備える、請求項12に記載の製造方法。
The step of forming the plurality of sample wells is
A step of forming a laminate of a material consisting of at least one metal layer above an optically transparent layer,
12. The production method according to claim 12, further comprising a step of etching at least through a laminate of the materials to form a plurality of sample wells.
前記エッチングする工程は、さらに前記複数のサンプル・ウェルのそれぞれのサンプル・ウェルにテーパが付けられた側壁部をエッチングする工程を備える、請求項17に記載の製造方法。 17. The manufacturing method according to claim 17, wherein the etching step further comprises a step of etching a side wall portion in which each sample well of the plurality of sample wells is tapered.
JP2020037466A 2013-11-17 2020-03-05 Optical systems and assay chips for probing, detecting and analyzing molecules Active JP7015336B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361905282P 2013-11-17 2013-11-17
US61/905,282 2013-11-17
US201361917926P 2013-12-18 2013-12-18
US61/917,926 2013-12-18
US201461941916P 2014-02-19 2014-02-19
US61/941,916 2014-02-19

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016554539A Division JP6673843B2 (en) 2013-11-17 2014-11-17 Optical systems and assay chips for probing, detecting and analyzing molecules

Publications (2)

Publication Number Publication Date
JP2020092710A JP2020092710A (en) 2020-06-18
JP7015336B2 true JP7015336B2 (en) 2022-02-02

Family

ID=52014380

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2016554540A Active JP6573899B2 (en) 2013-11-17 2014-11-17 Integrated device with external light source for probing, detecting, and analyzing molecules
JP2016554541A Pending JP2016537999A (en) 2013-11-17 2014-11-17 Active source pixel integrated device for rapid analysis of biological and chemical samples
JP2016554539A Active JP6673843B2 (en) 2013-11-17 2014-11-17 Optical systems and assay chips for probing, detecting and analyzing molecules
JP2020037466A Active JP7015336B2 (en) 2013-11-17 2020-03-05 Optical systems and assay chips for probing, detecting and analyzing molecules
JP2021018615A Active JP7242727B2 (en) 2013-11-17 2021-02-08 Active source pixel integrated device for rapid analysis of biological and chemical samples

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2016554540A Active JP6573899B2 (en) 2013-11-17 2014-11-17 Integrated device with external light source for probing, detecting, and analyzing molecules
JP2016554541A Pending JP2016537999A (en) 2013-11-17 2014-11-17 Active source pixel integrated device for rapid analysis of biological and chemical samples
JP2016554539A Active JP6673843B2 (en) 2013-11-17 2014-11-17 Optical systems and assay chips for probing, detecting and analyzing molecules

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021018615A Active JP7242727B2 (en) 2013-11-17 2021-02-08 Active source pixel integrated device for rapid analysis of biological and chemical samples

Country Status (10)

Country Link
US (12) US10048208B2 (en)
EP (6) EP3074531B1 (en)
JP (5) JP6573899B2 (en)
KR (4) KR102399962B1 (en)
CN (5) CN105980580B (en)
AU (4) AU2014348306B2 (en)
BR (3) BR112016011052B1 (en)
CA (3) CA2930832A1 (en)
MX (4) MX2016006454A (en)
WO (3) WO2015074004A1 (en)

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9593371B2 (en) 2013-12-27 2017-03-14 Intel Corporation Integrated photonic electronic sensor arrays for nucleic acid sequencing
JP6573899B2 (en) * 2013-11-17 2019-09-11 クアンタム−エスアイ インコーポレイテッドQuantum−Si Incorporated Integrated device with external light source for probing, detecting, and analyzing molecules
US11747407B2 (en) 2014-02-03 2023-09-05 Innovaura Corporation System and method for characterizing defects in electronic items using magnetic field detection
US11287484B2 (en) 2014-02-03 2022-03-29 Innovaura Corporation Method and apparatus for triage of electronic items using magnetic field detection
WO2015117157A1 (en) * 2014-02-03 2015-08-06 Electromagnetic Landmarks Inc. Magnetic sensor array and system
AU2015300766B2 (en) 2014-08-08 2021-02-04 Quantum-Si Incorporated Integrated device for temporal binning of received photons
JP6812341B2 (en) * 2014-08-08 2021-01-13 クアンタム−エスアイ インコーポレイテッドQuantum−Si Incorporated Optical systems and assay chips for molecular exploration, detection and analysis
CA2957546A1 (en) 2014-08-08 2016-02-11 Quantum-Si Incorporated Integrated device with external light source for probing, detecting, and analyzing molecules
US9721751B2 (en) * 2014-08-22 2017-08-01 The Board Of Trustees Of The Leland Stanford Junior University Electron microscopy specimen and method of fabrication
US9506908B2 (en) 2014-10-06 2016-11-29 Alveo Technologies, Inc. System for detection of analytes
US9921182B2 (en) 2014-10-06 2018-03-20 ALVEO Technologies Inc. System and method for detection of mercury
US10196678B2 (en) 2014-10-06 2019-02-05 ALVEO Technologies Inc. System and method for detection of nucleic acids
US10627358B2 (en) 2014-10-06 2020-04-21 Alveo Technologies, Inc. Method for detection of analytes
US10352899B2 (en) 2014-10-06 2019-07-16 ALVEO Technologies Inc. System and method for detection of silver
US10184892B2 (en) * 2014-10-29 2019-01-22 Horiba Instruments Incorporated Determination of water treatment parameters based on absorbance and fluorescence
EP3247993B1 (en) 2015-01-20 2019-05-08 Hyris Limited Detector for measuring fluorescence in a liquid sample
US10018608B2 (en) * 2015-02-27 2018-07-10 Vitaly Talyansky System to authenticate and identify items
CN107615121B (en) 2015-03-16 2021-04-16 加利福尼亚太平洋生物科学股份有限公司 Integrated device and system for free space optical coupling
US11466316B2 (en) 2015-05-20 2022-10-11 Quantum-Si Incorporated Pulsed laser and bioanalytic system
US10605730B2 (en) 2015-05-20 2020-03-31 Quantum-Si Incorporated Optical sources for fluorescent lifetime analysis
US10174363B2 (en) 2015-05-20 2019-01-08 Quantum-Si Incorporated Methods for nucleic acid sequencing
US9874693B2 (en) 2015-06-10 2018-01-23 The Research Foundation For The State University Of New York Method and structure for integrating photonics with CMOs
US10365434B2 (en) 2015-06-12 2019-07-30 Pacific Biosciences Of California, Inc. Integrated target waveguide devices and systems for optical coupling
EP3133386B1 (en) * 2015-07-27 2023-03-15 Personal Genomics, Inc. Sensing module and sensing method
CN107921399B (en) * 2015-07-30 2021-07-27 加利福尼亚大学董事会 Optical cavity PCR
EP3344976A4 (en) * 2015-08-18 2019-03-20 Agency For Science, Technology And Research Optical structure and optical light detection system
US9733188B2 (en) * 2015-09-21 2017-08-15 International Business Machines Corporation Enhancing on-chip fluorescence detection
WO2017068586A1 (en) 2015-10-22 2017-04-27 Jacob Schneiderman Systems and methods for identifying and treating biological materials
KR101720434B1 (en) * 2015-11-10 2017-03-28 한국과학기술원 Photonic phased array antenna
US10248838B2 (en) * 2015-12-04 2019-04-02 The Regents Of The University Of California Method and device for single molecule imaging
WO2017151207A2 (en) * 2015-12-14 2017-09-08 Massachusetts Institute Of Technology Apparatus and methods for spectroscopy and broadband light emission using two-dimensional plasmon fields
WO2017123658A1 (en) 2016-01-12 2017-07-20 Sxaymiq Technologies Llc Light emitting diode display
EP4137808A1 (en) 2016-01-28 2023-02-22 Roswell Biotechnologies, Inc. Method of making a sequencing device
US11624725B2 (en) 2016-01-28 2023-04-11 Roswell Blotechnologies, Inc. Methods and apparatus for measuring analytes using polymerase in large scale molecular electronics sensor arrays
CA3053103A1 (en) 2016-02-09 2017-08-17 Roswell Biotechnologies, Inc. Electronic label-free dna and genome sequencing
US10441174B2 (en) 2016-02-17 2019-10-15 Tesseract Health, Inc. Sensor and device for lifetime imaging and detection applications
US10597767B2 (en) 2016-02-22 2020-03-24 Roswell Biotechnologies, Inc. Nanoparticle fabrication
WO2017165817A1 (en) * 2016-03-25 2017-09-28 Bioceryx Inc. Apparatuses and methods for assessing target sequence numbers
CN109690359B (en) * 2016-04-22 2021-10-15 伊鲁米那股份有限公司 Photonic structure based devices and compositions for use in luminescent imaging of multiple sites within a pixel and methods of using the same
US10451518B2 (en) * 2016-05-10 2019-10-22 Rd2, Llc All fiber temperature and air density sensor
WO2017205868A1 (en) * 2016-05-27 2017-11-30 Singulex, Inc. Camera-based single molecule reader
US11389277B2 (en) 2016-07-18 2022-07-19 David Akselrod Material property monitoring using backscatter devices
US11529213B2 (en) 2016-05-30 2022-12-20 David Akselrod Backscatter device-based dental imaging apparatus
EP3465159B1 (en) * 2016-06-01 2023-04-05 Quantum-Si Incorporated Integrated device for detecting and analyzing molecules
EP3465503B1 (en) 2016-06-01 2021-09-29 Quantum-Si Incorporated Pulse caller and base caller
US10758153B2 (en) * 2016-07-18 2020-09-01 David Akselrod Material property monitoring and detection using wireless devices
US9829456B1 (en) 2016-07-26 2017-11-28 Roswell Biotechnologies, Inc. Method of making a multi-electrode structure usable in molecular sensing devices
US11386552B2 (en) 2016-08-01 2022-07-12 Genprime, Inc. System and method to interpret tests that change color to indicate the presence or non-presence of a compound
US10656088B2 (en) * 2016-08-12 2020-05-19 Silanna UV Technologies Pte Ltd Ultraviolet biosensor
EP3285052A1 (en) 2016-08-19 2018-02-21 IMEC vzw Photonic circuit with integrated light coupler
EP3516077A1 (en) 2016-09-23 2019-07-31 Alveo Technologies Inc. Methods and compositions for detecting analytes
US12060606B2 (en) * 2016-11-03 2024-08-13 Mgi Tech Co., Ltd. Biosensors for biological or chemical analysis and methods of manufacturing the same
FR3058521B1 (en) * 2016-11-08 2021-01-08 Univ Montpellier DEVICE AND METHOD FOR DETECTION OF PRESENCE OF DETERMINED MOLECULES, BIOSENSOR
EP3555306B1 (en) * 2016-12-15 2023-01-18 F. Hoffmann-La Roche AG Adaptive nanopore signal compression
CN110088993B (en) 2016-12-16 2022-02-08 宽腾矽公司 Compact mode-locked laser module
WO2018112170A1 (en) 2016-12-16 2018-06-21 Quantum-Si Incorporated Compact beam shaping and steering assembly
JP2018100959A (en) * 2016-12-16 2018-06-28 シャープ株式会社 Detector, method for correcting detector, method for correction, and detection device
JP7167024B2 (en) 2016-12-19 2022-11-08 クアンタム-エスアイ インコーポレイテッド Loading molecules into sample wells for analysis
AU2017382316B2 (en) 2016-12-22 2023-02-09 Quantum-Si Incorporated Integrated photodetector with direct binning pixel
WO2018132457A1 (en) 2017-01-10 2018-07-19 Roswell Biotechnologies, Inc. Methods and systems for dna data storage
WO2018136148A1 (en) 2017-01-19 2018-07-26 Roswell Biotechnologies, Inc. Solid state sequencing devices comprising two dimensional layer materials
US11419703B2 (en) 2017-01-27 2022-08-23 David Akselrod Orthodontic braces and feet orthotics with backscatter based sensors
KR101847334B1 (en) * 2017-02-02 2018-04-10 한국기초과학지원연구원 Apparatus and method of obtaining fluorescence image
GB201701829D0 (en) * 2017-02-03 2017-03-22 Norwegian Univ Of Science And Tech (Ntnu) Device
CN111936837B (en) * 2017-02-08 2024-06-07 上海宜晟生物科技有限公司 QMAX assay and use
JP7305611B2 (en) * 2017-03-17 2023-07-10 アプトン バイオシステムズ インコーポレイテッド Methods of sequencing and high-resolution imaging
EP3602629B1 (en) * 2017-03-20 2024-07-03 MGI Tech Co., Ltd. Biosensors for biological or chemical analysis and methods of manufacturing the same
CN110546276A (en) 2017-04-25 2019-12-06 罗斯威尔生命技术公司 Enzyme circuit for molecular sensors
US10508296B2 (en) 2017-04-25 2019-12-17 Roswell Biotechnologies, Inc. Enzymatic circuits for molecular sensors
KR20200004849A (en) 2017-05-05 2020-01-14 퀀텀-에스아이 인코포레이티드 Substrates with modified surface reactivity and antifouling properties in biological reactions
CN110651182B (en) 2017-05-09 2022-12-30 罗斯威尔生命技术公司 Bonded probe circuit for molecular sensors
CN115236047A (en) * 2017-05-23 2022-10-25 浜松光子学株式会社 Orientation characteristic measurement method, orientation characteristic measurement program, and orientation characteristic measurement device
WO2018231805A2 (en) * 2017-06-16 2018-12-20 Duke University Resonator networks for improved label detection, computation, analyte sensing, and tunable random number generation
CN109103090B (en) * 2017-06-21 2020-12-04 清华大学 Preparation method of nanobelt
KR102083396B1 (en) * 2017-06-28 2020-03-02 주식회사 파나진 Target nucleic acids detection method using quantum dot for dispersed light
CN107390172B (en) * 2017-07-17 2020-04-28 北京大学 Non-contact sensing positioning method
CA3069974A1 (en) * 2017-07-24 2019-01-31 Quantum-Si Incorporated Optical rejection photonic structures
CN107402199B (en) * 2017-07-31 2019-09-10 京东方科技集团股份有限公司 Gene sequencing chip and its sequencing approach and gene sequencing device
WO2019036055A2 (en) 2017-08-18 2019-02-21 Ignite Biosciences, Inc. Methods of selecting binding reagents
EP3676389A4 (en) 2017-08-30 2021-06-02 Roswell Biotechnologies, Inc Processive enzyme molecular electronic sensors for dna data storage
US10784103B2 (en) 2017-09-19 2020-09-22 Mgi Tech Co., Ltd. Water level sequencing flow cell fabrication
CN111373051A (en) 2017-10-10 2020-07-03 罗斯威尔生命技术公司 Method, apparatus and system for amplitionless DNA data storage
EP3501651B1 (en) * 2017-12-22 2024-03-06 IMEC vzw Microfluidic routing
KR102369895B1 (en) 2018-01-08 2022-03-03 일루미나, 인코포레이티드 High-throughput base calling for chip-based sequencers
MX2020007284A (en) * 2018-01-08 2020-09-10 Quantum Si Inc System and methods for electrokinetic loading of sub-micron-scale reaction chambers.
CN108197052B (en) * 2018-01-17 2021-02-26 上海聚星仪器有限公司 Novel test and measurement instrument
WO2019147904A1 (en) 2018-01-26 2019-08-01 Quantum-Si Incorporated Machine learning enabled pulse and base calling for sequencing devices
IT201800004146A1 (en) * 2018-03-30 2019-09-30 St Microelectronics Srl APPARATUS FOR ANALYSIS OF MOLECULES BY DETECTION OF FLUORESCENT SIGNALS AND RELATIVE METHOD
US10965102B2 (en) * 2018-04-12 2021-03-30 Samsung Electronics Co., Ltd. Structured light projector and electronic apparatus including the same
EP3807622A1 (en) 2018-06-15 2021-04-21 Quantum-Si Incorporated Data acquisition control for advanced analytic instruments having pulsed optical sources
EP3581919B1 (en) * 2018-06-15 2022-03-23 IMEC vzw An imaging apparatus and a method for imaging an object
KR102546320B1 (en) * 2018-06-18 2023-06-22 삼성전자주식회사 Structured light projector and electronic apparatus including the same
AU2019288394A1 (en) 2018-06-22 2021-01-07 Quantum-Si Incorporated Integrated photodetector with charge storage bin of varied detection time
WO2020023496A1 (en) * 2018-07-23 2020-01-30 The University Of Chicago Resonator-based ion-selective sensor
MX2021002414A (en) * 2018-08-29 2021-04-28 Quantum Si Inc System and methods for detecting lifetime using photon counting photodetectors.
KR20210049145A (en) 2018-08-29 2021-05-04 퀀텀-에스아이 인코포레이티드 Sample Well Fabrication Technologies and Structures for Integrated Sensor Devices
JP6906489B2 (en) 2018-09-14 2021-07-21 株式会社東芝 Chemical sensor kit and analysis method
US11509116B2 (en) * 2018-10-23 2022-11-22 Analog Photonics LLC Athermal angular output by combining a laser with a grating based antenna
CN111135878B (en) * 2018-11-06 2021-10-15 京东方科技集团股份有限公司 Microfluidic channel structure, manufacturing method, microfluidic detection device and using method
WO2020094233A1 (en) * 2018-11-08 2020-05-14 Diamontech Ag Device and method for analysing a substance
BR112021008098A2 (en) 2018-11-15 2021-08-10 Quantum-Si Incorporated methods and compositions for protein sequencing
EP3884048A4 (en) 2018-11-20 2022-08-17 Nautilus Biotechnology, Inc. Design and selection of affinity reagents
US11774674B2 (en) * 2019-01-03 2023-10-03 Quantum-Si Incorporated Optical waveguides and couplers for delivering light to an array of photonic elements
TWI687687B (en) * 2019-01-11 2020-03-11 國立中正大學 Dual grating sensor, detecting method and its manufacturing method
KR20210132176A (en) * 2019-03-05 2021-11-03 퀀텀-에스아이 인코포레이티드 Optical Absorption Filters for Integrated Devices
WO2020190063A1 (en) * 2019-03-20 2020-09-24 (주)바이오스퀘어 Standard material composition for verifying bioanalyzer and standard strip using same
WO2020210981A1 (en) 2019-04-16 2020-10-22 Boe Technology Group Co., Ltd. Micro-channel device and manufacturing method thereof and micro-fluidic system
CN110066723A (en) * 2019-05-05 2019-07-30 京东方科技集团股份有限公司 Gene sequencing chip, equipment, manufacturing method
EP4411347A2 (en) 2019-06-14 2024-08-07 Quantum-Si Incorporated Sliced grating coupler with increased beam alignment sensitivity
US11085878B2 (en) 2019-06-18 2021-08-10 Eagle Technology, Llc Radiation detection system with surface plasmon resonance detection and related methods
US11009611B2 (en) 2019-06-18 2021-05-18 Eagle Technology, Llc Radiation detection system with surface plasmon resonance detection and related methods
CN110229747A (en) * 2019-06-18 2019-09-13 京东方科技集团股份有限公司 Gene sequencing chip, equipment and preparation method
JP2022537813A (en) * 2019-06-19 2022-08-30 クアンタム-エスアイ インコーポレイテッド Optical nanostructured rejectors and related methods for integrated devices
US11360028B2 (en) * 2019-06-20 2022-06-14 Cilag Gmbh International Super resolution and color motion artifact correction in a pulsed hyperspectral, fluorescence, and laser mapping imaging system
US11793399B2 (en) 2019-06-20 2023-10-24 Cilag Gmbh International Super resolution and color motion artifact correction in a pulsed hyperspectral imaging system
JP2022539633A (en) * 2019-07-08 2022-09-13 イルミナ インコーポレイテッド Waveguide integration by optical coupling structures on photodetection devices
MX2022001614A (en) 2019-08-08 2022-05-26 Quantum Si Inc Increased emission collection efficiency in integrated optical devices.
US10957731B1 (en) * 2019-10-04 2021-03-23 Visera Technologies Company Limited Sensor device and method for manufacturing the same
US11630062B2 (en) 2019-10-10 2023-04-18 Visera Technologies Company Limited Biosensor and method of forming the same
US11105745B2 (en) * 2019-10-10 2021-08-31 Visera Technologies Company Limited Biosensor
US11705472B2 (en) * 2019-10-10 2023-07-18 Visera Technologies Company Limited Biosensor and method of distinguishing a light
KR20220079943A (en) 2019-10-11 2022-06-14 퀀텀-에스아이 인코포레이티드 Surface modification in vapor phase
EP4051903A1 (en) 2019-10-29 2022-09-07 Quantum-Si Incorporated Peristaltic pumping of fluids and associated methods, systems, and devices
TWI704342B (en) * 2019-11-28 2020-09-11 雷科股份有限公司 Automatic optical inspection (aoi) method applied to copper coil thinned by laser etching and device thereof
KR102351249B1 (en) * 2020-01-03 2022-01-14 한국과학기술원 Method and apparatus for multiplexted imaging of spectrally-similar fluorophors
US11703454B2 (en) 2020-01-03 2023-07-18 Korea Advanced Institute Of Science And Technology Method and apparatus for multiplexed imaging of spectrally-similar fluorophores
US11784416B2 (en) * 2020-01-06 2023-10-10 Raytheon Company Tunable radio frequency (RF) absorber and thermal heat spreader
JP2023510578A (en) 2020-01-14 2023-03-14 クアンタム-エスアイ インコーポレイテッド Lifetime and spectral characterization sensors
EP4088320A1 (en) 2020-01-14 2022-11-16 Quantum-si Incorporated Integrated sensor for lifetime characterization
WO2021150984A1 (en) * 2020-01-24 2021-07-29 Deepdivebio, Inc. Optical array qpcr
CN111308530B (en) * 2020-02-17 2021-12-03 中国人民解放军战略支援部队信息工程大学 Short wave multi-station and single-satellite cooperative direct positioning method based on two-dimensional direction of arrival
KR20220148273A (en) 2020-03-02 2022-11-04 퀀텀-에스아이 인코포레이티드 Integrated sensor for multidimensional signal analysis
WO2021207400A2 (en) 2020-04-08 2021-10-14 Quantum-Si Incorporated Integrated sensor with reduced skew
CN111533083B (en) * 2020-05-08 2023-09-05 中北大学 Miniature molecular optical tweezers based on graphene
US12065466B2 (en) 2020-05-20 2024-08-20 Quantum-Si Incorporated Methods and compositions for protein sequencing
AU2021288692A1 (en) 2020-06-11 2023-02-02 Nautilus Subsidiary, Inc. Methods and systems for computational decoding of biological, chemical, and physical entities
WO2022020731A2 (en) * 2020-07-23 2022-01-27 Life Technologies Corporation Compositions, systems and methods for biological analysis involving energy transfer dye conjugates and analytes comprising the same
EP3961194B1 (en) 2020-08-25 2023-11-08 Korea Advanced Institute of Science and Technology Method and apparatus for multiplexed imaging of biomolecules through iterative unmixing of fluorophore signals
KR102628182B1 (en) * 2020-08-28 2024-01-24 한국과학기술원 Method and apparatus for multicolor unmixing by mutual information minimization
KR102423723B1 (en) * 2020-08-27 2022-07-21 성균관대학교산학협력단 Apparatus for detecting nucleic acid based on plasmonic well and method for fabricating the same using Roll-to-Roll processing
GB2599729A (en) * 2020-10-12 2022-04-13 Sumitomo Chemical Co Method comprising light emitting marker
WO2022093861A1 (en) * 2020-10-27 2022-05-05 Quantum-Si Incorporated Single molecule detection system using photobleaching information
TWI824273B (en) * 2020-12-03 2023-12-01 仁寶電腦工業股份有限公司 Antenna device and method for configuring the same
AU2022209365A1 (en) 2021-01-21 2023-07-20 Nautilus Subsidiary, Inc. Systems and methods for biomolecule preparation
CN112837620B (en) * 2021-01-22 2023-07-04 武汉京东方光电科技有限公司 Flexible display screen and electronic equipment
CA3209038A1 (en) 2021-03-11 2022-09-15 Tural AKSEL Systems and methods for biomolecule retention
DE102021111787A1 (en) * 2021-05-06 2022-11-10 Gesellschaft für angewandte Mikro- und Optoelektronik mit beschränkter Haftung - AMO GmbH Method for producing a pinhole, pinhole and use of a pinhole in an optical structure
CN112986206B (en) * 2021-05-20 2021-07-30 北京百奥纳芯生物科技有限公司 Method for detecting gene chip hybridization result
US11703445B2 (en) * 2021-05-28 2023-07-18 Visera Technologies Company Limited Biosensor with grating array
AU2022341171A1 (en) 2021-09-09 2024-02-22 Nautilus Subsidiary, Inc. Characterization and localization of protein modifications
WO2023049073A1 (en) 2021-09-22 2023-03-30 Nautilus Biotechnology, Inc. Methods and systems for determining polypeptide interactions
WO2023064190A1 (en) * 2021-10-11 2023-04-20 Quantum-Si Incorporated Light coupling device
US20230149883A1 (en) 2021-11-03 2023-05-18 Nautilus Biotechnology, Inc. Systems and methods for surface structuring
US20230152211A1 (en) * 2021-11-11 2023-05-18 California Institute Of Technology System for Optically Analyzing a Test Sample and Method Therefor
WO2023114209A1 (en) * 2021-12-14 2023-06-22 Quantum-Si Incorporated Methods for loading and data acquisition
WO2023137314A1 (en) * 2022-01-12 2023-07-20 Quantum-Si Incorporated Labeled binding reagents and methods of use thereof
US11924963B2 (en) 2022-02-03 2024-03-05 Raytheon Company Printed-circuit isolation barrier for co-site interference mitigation
WO2023164712A2 (en) * 2022-02-28 2023-08-31 Bio-Rad Laboratories, Inc. Wide-spectrum analysis system
WO2023192162A2 (en) * 2022-03-29 2023-10-05 Pattern Computer, Inc. System and method for spectral-based disease detection
US20230314324A1 (en) 2022-03-29 2023-10-05 Nautilus Subsidiary, Inc. Integrated arrays for single-analyte processes
WO2023250364A1 (en) 2022-06-21 2023-12-28 Nautilus Subsidiary, Inc. Method for detecting analytes at sites of optically non-resolvable distances
WO2024073599A1 (en) 2022-09-29 2024-04-04 Nautilus Subsidiary, Inc. Preparation of array surfaces for single-analyte processes
EP4379355A1 (en) * 2022-11-29 2024-06-05 Gnothis Holding AG High throughput analysis of single molecule events
WO2024124073A1 (en) 2022-12-09 2024-06-13 Nautilus Subsidiary, Inc. A method comprising performing on a single-analyte array at least 50 cycles of a process
US20240201182A1 (en) 2022-12-15 2024-06-20 Nautilus Subsidiary, Inc. Inhibition of photon phenomena on single molecule arrays

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000004871A (en) 1998-06-29 2000-01-11 Olympus Optical Co Ltd Culture container, and microscope for observing samples in the container
JP2007521485A (en) 2003-06-23 2007-08-02 アプレラ コーポレイション Sample wells for biological material and caps for microcards
US20100065726A1 (en) 2006-09-01 2010-03-18 Pacific Biosciences Of California, Inc. Substrates and optical systems and methods of use thereof

Family Cites Families (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5006716A (en) 1989-02-22 1991-04-09 Research Corporation Technologies, Inc. Method and system for directional, enhanced fluorescence from molecular layers
US5198543A (en) 1989-03-24 1993-03-30 Consejo Superior Investigaciones Cientificas PHI29 DNA polymerase
US5302509A (en) 1989-08-14 1994-04-12 Beckman Instruments, Inc. Method for sequencing polynucleotides
CA2044616A1 (en) 1989-10-26 1991-04-27 Roger Y. Tsien Dna sequencing
JP3018687B2 (en) * 1991-12-12 2000-03-13 松下電器産業株式会社 Scanning laser microscope
US5355215A (en) * 1992-09-30 1994-10-11 Environmental Research Institute Of Michigan Method and apparatus for quantitative fluorescence measurements
IL108497A0 (en) 1993-02-01 1994-05-30 Seq Ltd Methods and apparatus for dna sequencing
US5495462A (en) * 1993-06-18 1996-02-27 Matsushita Electric Industrial Co., Ltd. Light beam splitting apparatus
JPH07182686A (en) * 1993-12-21 1995-07-21 Matsushita Electric Ind Co Ltd Optical mode converter and optical demultiplexer
JP3169742B2 (en) * 1993-06-18 2001-05-28 松下電器産業株式会社 Optical branching device and optical disk device
US6570617B2 (en) 1994-01-28 2003-05-27 California Institute Of Technology CMOS active pixel sensor type imaging system on a chip
US5471515A (en) 1994-01-28 1995-11-28 California Institute Of Technology Active pixel sensor with intra-pixel charge transfer
CN1149336A (en) 1994-05-27 1997-05-07 希巴-盖吉股份公司 Process for detecting evanescently excited luminescent
US5912155A (en) 1994-09-30 1999-06-15 Life Technologies, Inc. Cloned DNA polymerases from Thermotoga neapolitana
US5814565A (en) 1995-02-23 1998-09-29 University Of Utah Research Foundation Integrated optic waveguide immunosensor
US6261797B1 (en) 1996-01-29 2001-07-17 Stratagene Primer-mediated polynucleotide synthesis and manipulation techniques
US5990506A (en) 1996-03-20 1999-11-23 California Institute Of Technology Active pixel sensors with substantially planarized color filtering elements
JP3748571B2 (en) 1996-11-18 2006-02-22 ノバルティス アクチエンゲゼルシャフト Measuring device and method of use thereof
WO1998035012A2 (en) 1997-02-12 1998-08-13 Chan Eugene Y Methods and products for analyzing polymers
DE69806003T2 (en) 1997-03-12 2003-01-30 Pe Corp. (Ny), Foster City DNA POLYMERASES THAT HAVE IMPROVED ABILITY TO INSTALL MARKED NUCLEOTIDES
US6327410B1 (en) 1997-03-14 2001-12-04 The Trustees Of Tufts College Target analyte sensors utilizing Microspheres
US6825921B1 (en) 1999-11-10 2004-11-30 Molecular Devices Corporation Multi-mode light detection system
EP0921196A1 (en) 1997-12-02 1999-06-09 Roche Diagnostics GmbH Modified DNA-polymerase from carboxydothermus hydrogenoformans and its use for coupled reverse transcription and polymerase chain reaction
JPH11173987A (en) 1997-12-12 1999-07-02 Hamamatsu Photonics Kk Microtiter viewer
DE19810879A1 (en) 1998-03-13 1999-09-16 Roche Diagnostics Gmbh New chimeric polymerase with 5'-3'-polymerase activity, and optionally proofreading activity, used for polymerase chain reactions and sequencing
AU3199699A (en) 1998-03-23 1999-10-18 Invitrogen Corporation Modified nucleotides and methods useful for nucleic acid sequencing
US7875440B2 (en) 1998-05-01 2011-01-25 Arizona Board Of Regents Method of determining the nucleotide sequence of oligonucleotides and DNA molecules
EP1082458A1 (en) 1998-05-01 2001-03-14 Arizona Board Of Regents Method of determining the nucleotide sequence of oligonucleotides and dna molecules
GB9810350D0 (en) 1998-05-14 1998-07-15 Ciba Geigy Ag Organic compounds
US6787308B2 (en) 1998-07-30 2004-09-07 Solexa Ltd. Arrayed biomolecules and their use in sequencing
US6716394B2 (en) 1998-08-11 2004-04-06 Caliper Technologies Corp. DNA sequencing using multiple fluorescent labels being distinguishable by their decay times
US6210896B1 (en) 1998-08-13 2001-04-03 Us Genomics Molecular motors
US6280939B1 (en) 1998-09-01 2001-08-28 Veeco Instruments, Inc. Method and apparatus for DNA sequencing using a local sensitive force detector
ATE319857T1 (en) 1998-12-14 2006-03-15 Li Cor Inc KIT AND METHOD FOR NUCLEIC ACID SEQUENCING OF INDIVIDUAL MOLECULES BY POLYMERASE SYNTHESIS
US6134002A (en) * 1999-01-14 2000-10-17 Duke University Apparatus and method for the rapid spectral resolution of confocal images
PT1159453E (en) 1999-03-10 2008-08-29 Asm Scient Inc A method for direct nucleic acid sequencing
GB9906929D0 (en) 1999-03-26 1999-05-19 Univ Glasgow Assay system
US7056661B2 (en) 1999-05-19 2006-06-06 Cornell Research Foundation, Inc. Method for sequencing nucleic acid molecules
EP1681357A3 (en) 1999-05-19 2006-07-26 Cornell Research Foundation, Inc. Method for sequencing nucleic acid molecules
US6137117A (en) 1999-06-21 2000-10-24 The United States Of America As Represented By The Secretary Of The Navy Integrating multi-waveguide sensor
FR2797053B1 (en) * 1999-07-13 2001-08-31 Commissariat Energie Atomique ANALYSIS MEDIUM WITH FLUORESCENCE LIGHT TRANSMISSION
US6596483B1 (en) * 1999-11-12 2003-07-22 Motorola, Inc. System and method for detecting molecules using an active pixel sensor
US6399335B1 (en) 1999-11-16 2002-06-04 Advanced Research And Technology Institute, Inc. γ-phosphoester nucleoside triphosphates
DE50006533D1 (en) * 1999-12-17 2004-06-24 Zeptosens Ag Witterswil ARRANGEMENT OF SAMPLE CONTAINERS AND THEIR USE FOR THE MULTIANALYT DETERMINATION
JP3978307B2 (en) * 2000-02-09 2007-09-19 株式会社日立製作所 Ultraviolet laser beam generator, defect inspection apparatus and method thereof
AU2001249237A1 (en) * 2000-03-16 2001-09-24 Spectrumedix Corporation Multi-wavelength array reader for biological assay
AU2001261094A1 (en) * 2000-04-28 2001-11-12 Edgelight Biosciences, Inc. Micro-array evanescent wave fluorescence detection device
US6917726B2 (en) * 2001-09-27 2005-07-12 Cornell Research Foundation, Inc. Zero-mode clad waveguides for performing spectroscopy with confined effective observation volumes
US6936702B2 (en) 2000-06-07 2005-08-30 Li-Cor, Inc. Charge-switch nucleotides
WO2001094609A1 (en) 2000-06-07 2001-12-13 Li-Cor, Inc. Charge-switch nucleotides
WO2002001194A1 (en) 2000-06-25 2002-01-03 Affymetrix, Inc. Optically active substrates
US7277166B2 (en) 2000-08-02 2007-10-02 Honeywell International Inc. Cytometer analysis cartridge optical configuration
FR2813121A1 (en) 2000-08-21 2002-02-22 Claude Weisbuch PERFECTED DEVICE FOR SUPPORTING CHROMOPHORIC ELEMENTS
EP1320596A4 (en) 2000-08-30 2005-01-12 Univ Rochester Method of performing reverse transcription reaction using reverse transcriptase encoded by non-ltr retrotransposable element
US20020031836A1 (en) 2000-09-11 2002-03-14 Feldstein Mark J. Fluidics system
AU2001210599A1 (en) * 2000-10-23 2002-05-06 Diachip Limited High precision and intellectual biochip arrayer having function of respotting
JP3695340B2 (en) * 2001-03-30 2005-09-14 株式会社日立製作所 DNA testing method and apparatus, and fluorescence detection method
WO2002086088A2 (en) 2001-04-24 2002-10-31 Li-Cor, Inc. Polymerases with charge-switch activity and methods of generating such polymerases
US7256019B2 (en) 2001-08-29 2007-08-14 Ge Healthcare Bio-Sciences Corp. Terminal phosphate blocked nucleoside polyphosphates
US7033762B2 (en) 2001-08-29 2006-04-25 Amersham Biosciences Corp Single nucleotide amplification and detection by polymerase
US7223541B2 (en) 2001-08-29 2007-05-29 Ge Healthcare Bio-Sciences Corp. Terminal-phosphate-labeled nucleotides and methods of use
US7727722B2 (en) 2001-08-29 2010-06-01 General Electric Company Ligation amplification
US7244566B2 (en) 2001-08-29 2007-07-17 Ge Healthcare Bio-Sciences Corp. Analyte detection
US7052839B2 (en) 2001-08-29 2006-05-30 Amersham Biosciences Corp Terminal-phosphate-labeled nucleotides and methods of use
ATE458067T1 (en) 2001-08-29 2010-03-15 Ge Healthcare Bio Sciences LABELED NUCLEOSIDE POLYPHOSPHATES
WO2003020488A1 (en) * 2001-08-30 2003-03-13 Zeptosens Ag Method for production of moulded bodies, in particular optical structures and use thereof
EP1436385A4 (en) 2001-09-14 2005-12-14 Invitrogen Corp Dna polymerases and mutants thereof
US7057026B2 (en) 2001-12-04 2006-06-06 Solexa Limited Labelled nucleotides
US7179654B2 (en) 2002-03-18 2007-02-20 Agilent Technologies, Inc. Biochemical assay with programmable array detection
US6924887B2 (en) 2002-03-27 2005-08-02 Sarnoff Corporation Method and apparatus for generating charge from a light pulse
US7595883B1 (en) 2002-09-16 2009-09-29 The Board Of Trustees Of The Leland Stanford Junior University Biological analysis arrangement and approach therefor
JP4896708B2 (en) 2003-02-05 2012-03-14 ジーイー・ヘルスケア・バイオサイエンス・コーポレイション Terminal phosphate-labeled nucleotides with novel linkers
WO2004092331A2 (en) 2003-04-08 2004-10-28 Li-Cor, Inc. Composition and method for nucleic acid sequencing
WO2005073407A1 (en) * 2003-10-07 2005-08-11 Ut-Battelle, Llc Advanced integrated circuit biochip
US7169560B2 (en) 2003-11-12 2007-01-30 Helicos Biosciences Corporation Short cycle methods for sequencing polynucleotides
US20070281288A1 (en) 2004-01-27 2007-12-06 Shimshon Belkin Method and System for Detecting Analytes
US7981604B2 (en) 2004-02-19 2011-07-19 California Institute Of Technology Methods and kits for analyzing polynucleotide sequences
US7462452B2 (en) 2004-04-30 2008-12-09 Pacific Biosciences Of California, Inc. Field-switch sequencing
EP1786932A4 (en) * 2004-08-23 2010-10-27 Us Genomics Inc Systems and methods for detecting and analyzing polymers
CA2579150C (en) 2004-09-17 2014-11-25 Pacific Biosciences Of California, Inc. Apparatus and method for analysis of molecules
US7170050B2 (en) 2004-09-17 2007-01-30 Pacific Biosciences Of California, Inc. Apparatus and methods for optical analysis of molecules
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7345764B2 (en) 2005-02-07 2008-03-18 Vladimir Bulovic Apparatus and method for a slim format spectrometer
US20060223067A1 (en) 2005-03-31 2006-10-05 Paolo Vatta Mutant DNA polymerases and methods of use
US7738086B2 (en) 2005-05-09 2010-06-15 The Trustees Of Columbia University In The City Of New York Active CMOS biosensor chip for fluorescent-based detection
CN100401041C (en) * 2005-06-09 2008-07-09 上海交通大学 Light waveguide absorption type gas sensor and measuring system
JP2008546424A (en) 2005-06-28 2008-12-25 アジェンコート パーソナル ジェノミクス コーポレイション Methods for making and sequencing modified polynucleotides
EP1907592B1 (en) 2005-07-01 2011-03-09 Dako Denmark A/S Monomeric and polymeric linkers useful for conjugating biological molecules and other substances
US7426322B2 (en) 2005-07-20 2008-09-16 Searete Llc. Plasmon photocatalysis
EP1913392A4 (en) * 2005-08-02 2010-03-10 Univ Utah Res Found Biosensors including metallic nanocavities
US20160355869A1 (en) * 2005-08-02 2016-12-08 University Of Utah Research Foundation Biosensors including metallic nanocavities
US7453916B2 (en) 2005-09-08 2008-11-18 Intel Corporation High throughput optical micro-array reader capable of variable pitch and spot size array processing for genomics and proteomics
US7405281B2 (en) 2005-09-29 2008-07-29 Pacific Biosciences Of California, Inc. Fluorescent nucleotide analogs and uses therefor
TWI296044B (en) * 2005-11-03 2008-04-21 Ind Tech Res Inst Coupled waveguide-surface plasmon resonance biosensor
ATE481505T1 (en) 2005-12-12 2010-10-15 Us Gov Health & Human Serv NUCLEIC ACID SEQUENCING PROBE AND METHOD OF USE
JP2009521227A (en) 2005-12-22 2009-06-04 パシフィック バイオサイエンシーズ オブ カリフォルニア, インコーポレイテッド Polymerase for incorporation of nucleotide analogs
WO2007075987A2 (en) 2005-12-22 2007-07-05 Pacific Biosciences Of California, Inc. Active surface coupled polymerases
US7995202B2 (en) 2006-02-13 2011-08-09 Pacific Biosciences Of California, Inc. Methods and systems for simultaneous real-time monitoring of optical signals from multiple sources
US7715001B2 (en) 2006-02-13 2010-05-11 Pacific Biosciences Of California, Inc. Methods and systems for simultaneous real-time monitoring of optical signals from multiple sources
US7692783B2 (en) 2006-02-13 2010-04-06 Pacific Biosciences Of California Methods and systems for simultaneous real-time monitoring of optical signals from multiple sources
US20080050747A1 (en) 2006-03-30 2008-02-28 Pacific Biosciences Of California, Inc. Articles having localized molecules disposed thereon and methods of producing and using same
US8975216B2 (en) 2006-03-30 2015-03-10 Pacific Biosciences Of California Articles having localized molecules disposed thereon and methods of producing same
US7750316B2 (en) * 2006-05-10 2010-07-06 Dublin City University Polymer biochip for detecting fluorescence
DE102006030541B4 (en) 2006-06-23 2010-05-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Optical arrangement
EP3936857B1 (en) 2006-09-01 2023-06-21 Pacific Biosciences Of California, Inc. Substrates, systems and methods for analyzing materials
US8207509B2 (en) 2006-09-01 2012-06-26 Pacific Biosciences Of California, Inc. Substrates, systems and methods for analyzing materials
WO2008051530A2 (en) 2006-10-23 2008-05-02 Pacific Biosciences Of California, Inc. Polymerase enzymes and reagents for enhanced nucleic acid sequencing
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
FR2908888B1 (en) 2006-11-21 2012-08-03 Centre Nat Rech Scient DEVICE FOR EXACTLY DETECTING THE EMISSION OF A TARGET PARTICLE
EP2132556B1 (en) 2007-03-22 2011-05-11 Universite De Strasbourg (Etablissement Public à Caractère Scientifique, Culturel et Professionel) Device for sorting and concentrating electromagnetic energy and apparatus comprising at least one such device
ATE548643T1 (en) 2007-03-30 2012-03-15 Pacific Biosciences California SYSTEM AND METHOD FOR AMPLIFYING FLUORESCENT SIGNALS
JP5222599B2 (en) * 2007-07-20 2013-06-26 株式会社日立ハイテクノロジーズ Nucleic acid analysis device and nucleic acid analysis apparatus using the same
JP2009022247A (en) * 2007-07-23 2009-02-05 Toshiba Corp Culturing container and method for recovering cultured tissue
WO2009029957A1 (en) * 2007-08-30 2009-03-05 Cornell University Nanoscale optofluidic devices for molecular detection
JP2010540900A (en) * 2007-09-18 2010-12-24 アプライド バイオシステムズ インコーポレイテッド Method, system and apparatus for light collection mechanism
US7873085B2 (en) 2007-10-23 2011-01-18 Andrei Babushkin Method and device for controlling optical output of laser diode
GB0723725D0 (en) 2007-12-05 2008-01-16 Ge Healthcare Uk Ltd Apparatus and method for detecting dna damage
WO2009082706A1 (en) 2007-12-21 2009-07-02 The Trustees Of Columbia University In The City Of New York Active cmos sensor array for electrochemical biomolecular detection
US20110165652A1 (en) 2008-01-14 2011-07-07 Life Technologies Corporation Compositions, methods and systems for single molecule sequencing
CA2714630A1 (en) 2008-02-07 2009-08-13 Pacific Biosciences Of California, Inc. Cis reactive oxygen quenchers integrated into linkers
US8530164B2 (en) 2008-09-05 2013-09-10 Pacific Biosciences Of California, Inc. Method for sequencing using branching fraction of incorporatable nucleotides
US8252911B2 (en) 2008-02-12 2012-08-28 Pacific Biosciences Of California, Inc. Compositions and methods for use in analytical reactions
US8652781B2 (en) 2008-02-12 2014-02-18 Pacific Biosciences Of California, Inc. Cognate sampling kinetics
WO2009114182A1 (en) 2008-03-13 2009-09-17 Pacific Biosciences Of California, Inc. Labeled reactants and their uses
US20090243584A1 (en) * 2008-03-25 2009-10-01 Guigen Zhang Fabrication of microstructures integrated with nanopillars along with their applications as electrodes in sensors
US7973146B2 (en) 2008-03-26 2011-07-05 Pacific Biosciences Of California, Inc. Engineered fluorescent dye labeled nucleotide analogs for DNA sequencing
US8236499B2 (en) 2008-03-28 2012-08-07 Pacific Biosciences Of California, Inc. Methods and compositions for nucleic acid sample preparation
US8143030B2 (en) 2008-09-24 2012-03-27 Pacific Biosciences Of California, Inc. Intermittent detection during analytical reactions
JP2011515102A (en) 2008-03-28 2011-05-19 パシフィック バイオサイエンシーズ オブ カリフォルニア, インコーポレイテッド Compositions and methods for nucleic acid sequencing
CA2720046C (en) 2008-03-31 2018-07-24 Pacific Biosciences Of California, Inc. Generation of modified polymerases for improved accuracy in single molecule sequencing
EP2286217B1 (en) 2008-03-31 2015-02-18 Pacific Biosciences of California, Inc. Single molecule loading methods and compositions
US8420366B2 (en) 2008-03-31 2013-04-16 Pacific Biosciences Of California, Inc. Generation of modified polymerases for improved accuracy in single molecule sequencing
WO2009145828A2 (en) 2008-03-31 2009-12-03 Pacific Biosciences Of California, Inc. Two slow-step polymerase enzyme systems and methods
US8999676B2 (en) 2008-03-31 2015-04-07 Pacific Biosciences Of California, Inc. Recombinant polymerases for improved single molecule sequencing
US9127259B2 (en) 2008-03-31 2015-09-08 Pacific Biosciences Of California, Inc. Enzymes resistant to photodamage
US10114213B2 (en) 2008-04-04 2018-10-30 Cvi Laser, Llc Laser systems and optical devices for manipulating laser beams
JP5268444B2 (en) * 2008-06-23 2013-08-21 株式会社日立ハイテクノロジーズ Single molecule real-time sequence device, nucleic acid analyzer, and single molecule real-time sequence method
EP2148187A1 (en) * 2008-07-25 2010-01-27 Roche Diagnostics GmbH Stimulation and optical display system for fluorescence detection
US7999454B2 (en) * 2008-08-14 2011-08-16 Global Oled Technology Llc OLED device with embedded chip driving
FR2936099A1 (en) 2008-09-12 2010-03-19 Thales Sa IMPROVED IMAGE DETECTION DEVICE FOR MULTISPECTRAL IMAGER AND MULTISPECTRAL IMAGER HAVING THE DEVICE
US8921046B2 (en) 2008-09-19 2014-12-30 Pacific Biosciences Of California, Inc. Nucleic acid sequence analysis
US8481264B2 (en) 2008-09-19 2013-07-09 Pacific Biosciences Of California, Inc. Immobilized nucleic acid complexes for sequence analysis
US9156010B2 (en) 2008-09-23 2015-10-13 Bio-Rad Laboratories, Inc. Droplet-based assay system
US8383369B2 (en) 2008-09-24 2013-02-26 Pacific Biosciences Of California, Inc. Intermittent detection during analytical reactions
EP2362947B1 (en) 2008-09-30 2017-05-10 Pacific Biosciences of California, Inc. Ultra-high multiplex analytical systems and methods
EP2182523B1 (en) 2008-10-31 2013-01-09 CSEM Centre Suisse d'Electronique et de Microtechnique SA -Recherche et Développement Charge sampling device and method based on a MOS-transmission line
KR101045206B1 (en) * 2008-10-31 2011-06-30 삼성전자주식회사 Integrated bio-chip and method of fabricating the same
KR101065077B1 (en) * 2008-11-05 2011-09-15 삼성전자주식회사 Substrate for detecting samples, Bio-chip employ the same, method of fabricating the substrate for detecting samples, and apparatus for detecting bio-material
WO2010057185A1 (en) 2008-11-17 2010-05-20 Pacific Biosciences Of California, Inc. Phospholink nucleotides for sequencing applications
EP2391655B1 (en) 2009-01-30 2017-10-11 Oxford Nanopore Technologies Limited Hybridization linkers
EP2221606A3 (en) * 2009-02-11 2012-06-06 Samsung Electronics Co., Ltd. Integrated bio-chip and method of fabricating the integrated bio-chip
WO2010111686A2 (en) 2009-03-27 2010-09-30 Life Technologies Corp Labeled enzyme compositions, methods & systems
WO2010117420A2 (en) 2009-03-30 2010-10-14 Pacific Biosciences Of California, Inc. Fret-labeled compounds and uses therefor
JP5707030B2 (en) * 2009-04-02 2015-04-22 株式会社日立ハイテクノロジーズ Nucleic acid analysis device and nucleic acid analysis apparatus
US8501406B1 (en) 2009-07-14 2013-08-06 Pacific Biosciences Of California, Inc. Selectively functionalized arrays
JP5462548B2 (en) * 2009-08-12 2014-04-02 株式会社日立ハイテクノロジーズ Nucleic acid analyzer and nucleic acid analyzer using the same
WO2011040971A2 (en) 2009-09-30 2011-04-07 Pacific Biosciences Of California, Inc. Generation of modified polymerases for improved accuracy in single molecule sequencing
US8278728B2 (en) 2009-10-17 2012-10-02 Florida Institute Of Technology Array of concentric CMOS photodiodes for detection and de-multiplexing of spatially modulated optical channels
CN102712614B (en) 2009-12-04 2015-12-02 拜奥蒂乌姆股份有限公司 The xanthene dye of heterocyclic substituted
JP6017107B2 (en) 2009-12-28 2016-10-26 ソニー株式会社 Image sensor, manufacturing method thereof, and sensor device
WO2011090745A1 (en) 2009-12-29 2011-07-28 Life Technologies Corporation Single molecule detection and sequencing using fluorescence lifetime imaging
US8465699B2 (en) 2010-02-19 2013-06-18 Pacific Biosciences Of California, Inc. Illumination of integrated analytical systems
US8994946B2 (en) 2010-02-19 2015-03-31 Pacific Biosciences Of California, Inc. Integrated analytical system and method
US9482615B2 (en) * 2010-03-15 2016-11-01 Industrial Technology Research Institute Single-molecule detection system and methods
TWI414061B (en) 2010-04-06 2013-11-01 Kingpak Tech Inc Manufacturing method of a wafer level image sensor module with package structure
US8865077B2 (en) 2010-06-11 2014-10-21 Industrial Technology Research Institute Apparatus for single-molecule detection
US8865078B2 (en) 2010-06-11 2014-10-21 Industrial Technology Research Institute Apparatus for single-molecule detection
EP2439512A1 (en) * 2010-10-01 2012-04-11 Aqsens Oy A device for holding a sample
WO2012073114A2 (en) 2010-11-30 2012-06-07 Quantumdx Group Limited The design, fabrication and use of a microfluidics multitemperature flexible reaction device
EP2647060A2 (en) 2010-11-30 2013-10-09 Tshwane University Of Technology Cmos based micro-photonic systems
WO2012129242A2 (en) 2011-03-23 2012-09-27 Pacific Biosciences Of California, Inc. Isolation of polymerase-nucleic acid complexes and loading onto substrates
JP2013045857A (en) 2011-08-24 2013-03-04 Sony Corp Image sensor, manufacturing method of the same, and inspection device
FR2982028B1 (en) * 2011-10-26 2020-02-21 Aryballe Technologies MICROSTRUCTURED CHIP COMPRISING CONVEX SURFACES FOR RESONANCE ANALYSIS OF SURFACE PLASMONS, ANALYSIS DEVICE CONTAINING SAID MICROSTRUCTURED CHIP AND USE OF SAID DEVICE
CA3003082C (en) 2011-10-28 2020-12-15 Illumina, Inc. Microarray fabrication system and method
US9606060B2 (en) 2012-01-13 2017-03-28 California Institute Of Technology Filterless time-domain detection of one or more fluorophores
US8906660B2 (en) 2012-02-01 2014-12-09 Pacific Biosciences Of California, Inc. Recombinant polymerases with increased phototolerance
EP3590948A1 (en) 2012-02-15 2020-01-08 Pacific Biosciences of California, Inc. Polymerase enzyme substrates with protein shield
US9059250B2 (en) * 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
EP2831283A4 (en) * 2012-03-30 2015-11-04 Pacific Biosciences California Methods and composition for sequencing modified nucleic acids
CA2868928A1 (en) * 2012-04-10 2013-10-17 The Trustees Of Princeton University Ultra-sensitive sensor
WO2013171197A1 (en) * 2012-05-15 2013-11-21 Ait Austrian Institute Of Technology Gmbh Compact plasmon-enhanced fluorescence biosensor
US9372308B1 (en) 2012-06-17 2016-06-21 Pacific Biosciences Of California, Inc. Arrays of integrated analytical devices and methods for production
US8654427B1 (en) 2012-08-31 2014-02-18 Rosemount Aerospace Inc. Ruggedized two-axis optical beam steering device
EP2936222B1 (en) 2012-12-18 2019-07-03 Pacific Biosciences Of California, Inc. An optical analytical device
EP2959283B1 (en) * 2013-02-22 2022-08-17 Pacific Biosciences of California, Inc. Integrated illumination of optical analytical devices
US10933417B2 (en) 2013-03-15 2021-03-02 Nanobiosym, Inc. Systems and methods for mobile device analysis of nucleic acids and proteins
US9654680B2 (en) * 2013-10-17 2017-05-16 Canon Kabushiki Kaisha Image capturing apparatus and control method therefor
JP6573899B2 (en) * 2013-11-17 2019-09-11 クアンタム−エスアイ インコーポレイテッドQuantum−Si Incorporated Integrated device with external light source for probing, detecting, and analyzing molecules
US9765395B2 (en) 2014-04-28 2017-09-19 Nanomedical Diagnostics, Inc. System and method for DNA sequencing and blood chemistry analysis
JP6812341B2 (en) * 2014-08-08 2021-01-13 クアンタム−エスアイ インコーポレイテッドQuantum−Si Incorporated Optical systems and assay chips for molecular exploration, detection and analysis
CA2957546A1 (en) 2014-08-08 2016-02-11 Quantum-Si Incorporated Integrated device with external light source for probing, detecting, and analyzing molecules
AU2015300766B2 (en) 2014-08-08 2021-02-04 Quantum-Si Incorporated Integrated device for temporal binning of received photons
US9666748B2 (en) 2015-01-14 2017-05-30 International Business Machines Corporation Integrated on chip detector and zero waveguide module structure for use in DNA sequencing
CN107615121B (en) 2015-03-16 2021-04-16 加利福尼亚太平洋生物科学股份有限公司 Integrated device and system for free space optical coupling
US10246742B2 (en) 2015-05-20 2019-04-02 Quantum-Si Incorporated Pulsed laser and bioanalytic system
US10605730B2 (en) 2015-05-20 2020-03-31 Quantum-Si Incorporated Optical sources for fluorescent lifetime analysis
AU2018307770A1 (en) 2017-07-24 2020-01-30 Quantum-Si Incorporated Hand-held, massively-parallel, bio-optoelectronic instrument

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000004871A (en) 1998-06-29 2000-01-11 Olympus Optical Co Ltd Culture container, and microscope for observing samples in the container
JP2007521485A (en) 2003-06-23 2007-08-02 アプレラ コーポレイション Sample wells for biological material and caps for microcards
US20100065726A1 (en) 2006-09-01 2010-03-18 Pacific Biosciences Of California, Inc. Substrates and optical systems and methods of use thereof

Also Published As

Publication number Publication date
US10712273B2 (en) 2020-07-14
JP2020092710A (en) 2020-06-18
US20200371034A1 (en) 2020-11-26
JP6673843B2 (en) 2020-03-25
EP3068900B1 (en) 2020-01-08
US20150177150A1 (en) 2015-06-25
CN105980580A (en) 2016-09-28
JP2016537999A (en) 2016-12-08
KR102296713B1 (en) 2021-09-02
US9863880B2 (en) 2018-01-09
US20180348133A1 (en) 2018-12-06
EP3074531B1 (en) 2020-01-08
JP2016537998A (en) 2016-12-08
AU2014348302A1 (en) 2016-06-16
US20220364996A1 (en) 2022-11-17
BR112016011052B1 (en) 2021-09-28
JP7242727B2 (en) 2023-03-20
BR112016011084B1 (en) 2021-09-28
MX2016006455A (en) 2016-12-09
KR102399962B1 (en) 2022-05-20
CN106029904A (en) 2016-10-12
US20180328850A1 (en) 2018-11-15
JP6573899B2 (en) 2019-09-11
BR112016011052A2 (en) 2020-05-19
KR20160108317A (en) 2016-09-19
US11428635B2 (en) 2022-08-30
BR112016011061A2 (en) 2017-08-08
AU2014348306A1 (en) 2016-06-16
US20150141268A1 (en) 2015-05-21
AU2020286308B2 (en) 2022-02-17
EP3715831A1 (en) 2020-09-30
CN105917003A (en) 2016-08-31
US10533945B2 (en) 2020-01-14
CN110452809A (en) 2019-11-15
CA2930836A1 (en) 2015-05-21
CN111235246B (en) 2022-01-28
AU2014348305B2 (en) 2020-10-01
KR20160108316A (en) 2016-09-19
EP3074531A1 (en) 2016-10-05
CN105980580B (en) 2020-03-03
US20180088052A1 (en) 2018-03-29
JP2017502310A (en) 2017-01-19
CA2930832A1 (en) 2015-05-21
AU2020286308A1 (en) 2021-01-21
AU2014348306B2 (en) 2020-11-12
CA2930834A1 (en) 2015-05-21
US20220214279A1 (en) 2022-07-07
KR20210024219A (en) 2021-03-04
AU2014348302B2 (en) 2020-10-01
US10712274B2 (en) 2020-07-14
CN111235246A (en) 2020-06-05
US20180348132A1 (en) 2018-12-06
MX2016006453A (en) 2016-12-09
WO2015074001A1 (en) 2015-05-21
BR112016011084A2 (en) 2020-09-08
BR112016011084B8 (en) 2021-11-09
WO2015074004A1 (en) 2015-05-21
US20150141267A1 (en) 2015-05-21
EP3974814A1 (en) 2022-03-30
US20220170861A1 (en) 2022-06-02
US10048208B2 (en) 2018-08-14
BR112016011061B1 (en) 2021-10-13
KR102240166B1 (en) 2021-04-14
MX2020013247A (en) 2022-05-19
EP3709003A1 (en) 2020-09-16
US20200088643A1 (en) 2020-03-19
US11287382B2 (en) 2022-03-29
MX2016006454A (en) 2016-12-09
EP3068901A1 (en) 2016-09-21
KR20160108318A (en) 2016-09-19
US9983135B2 (en) 2018-05-29
EP3068901B1 (en) 2021-10-20
KR102221637B1 (en) 2021-03-02
AU2014348305A1 (en) 2016-06-16
WO2015074005A1 (en) 2015-05-21
EP3068900A1 (en) 2016-09-21
CN110452809B (en) 2022-07-01
JP2021090428A (en) 2021-06-17

Similar Documents

Publication Publication Date Title
JP7015336B2 (en) Optical systems and assay chips for probing, detecting and analyzing molecules
JP6812341B2 (en) Optical systems and assay chips for molecular exploration, detection and analysis

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200403

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200403

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20200403

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20200528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200721

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201020

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210323

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220121