JP6744304B2 - ナノピラー・アレイを使用してエンティティを分別する方法 - Google Patents

ナノピラー・アレイを使用してエンティティを分別する方法 Download PDF

Info

Publication number
JP6744304B2
JP6744304B2 JP2017526888A JP2017526888A JP6744304B2 JP 6744304 B2 JP6744304 B2 JP 6744304B2 JP 2017526888 A JP2017526888 A JP 2017526888A JP 2017526888 A JP2017526888 A JP 2017526888A JP 6744304 B2 JP6744304 B2 JP 6744304B2
Authority
JP
Japan
Prior art keywords
array
population
gap
nanopillar
chemical modification
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017526888A
Other languages
English (en)
Other versions
JP2017536824A (ja
Inventor
アスティエ、ヤン、アンドレ
ストロヴィツキー、グスターボ、アレハンドロ
スミス、ジョシュア、トーマス
ワン、チャオ
ヴンシュ、ベンジャミン、ハーディー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2017536824A publication Critical patent/JP2017536824A/ja
Application granted granted Critical
Publication of JP6744304B2 publication Critical patent/JP6744304B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502753Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by bulk separation arrangements on lab-on-a-chip devices, e.g. for filtration or centrifugation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502746Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by the means for controlling flow resistance, e.g. flow controllers, baffles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B07SEPARATING SOLIDS FROM SOLIDS; SORTING
    • B07BSEPARATING SOLIDS FROM SOLIDS BY SIEVING, SCREENING, SIFTING OR BY USING GAS CURRENTS; SEPARATING BY OTHER DRY METHODS APPLICABLE TO BULK MATERIAL, e.g. LOOSE ARTICLES FIT TO BE HANDLED LIKE BULK MATERIAL
    • B07B1/00Sieving, screening, sifting, or sorting solid materials using networks, gratings, grids, or the like
    • B07B1/46Constructional details of screens in general; Cleaning or heating of screens
    • B07B1/4609Constructional details of screens in general; Cleaning or heating of screens constructional details of screening surfaces or meshes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B07SEPARATING SOLIDS FROM SOLIDS; SORTING
    • B07BSEPARATING SOLIDS FROM SOLIDS BY SIEVING, SCREENING, SIFTING OR BY USING GAS CURRENTS; SEPARATING BY OTHER DRY METHODS APPLICABLE TO BULK MATERIAL, e.g. LOOSE ARTICLES FIT TO BE HANDLED LIKE BULK MATERIAL
    • B07B13/00Grading or sorting solid materials by dry methods, not otherwise provided for; Sorting articles otherwise than by indirectly controlled devices
    • B07B13/04Grading or sorting solid materials by dry methods, not otherwise provided for; Sorting articles otherwise than by indirectly controlled devices according to size
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N30/00Investigating or analysing materials by separation into components using adsorption, absorption or similar phenomena or using ion-exchange, e.g. chromatography or field flow fractionation
    • G01N30/02Column chromatography
    • G01N30/60Construction of the column
    • G01N30/6095Micromachined or nanomachined, e.g. micro- or nanosize
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • G01N15/01
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume, or surface-area of porous materials
    • G01N15/02Investigating particle size or size distribution
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume, or surface-area of porous materials
    • G01N15/02Investigating particle size or size distribution
    • G01N2015/0288Sorting the particles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Dispersion Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Clinical Laboratory Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Hematology (AREA)
  • Nanotechnology (AREA)
  • Engineering & Computer Science (AREA)
  • Molecular Biology (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Separation Of Solids By Using Liquids Or Pneumatic Power (AREA)
  • Micromachines (AREA)
  • Peptides Or Proteins (AREA)

Description

本発明は、エンティティ(entities)のサイズによる連続流分離に関し、より詳細には、ナノピラー・アレイ構造を使用してエンティティを分離することに関する。
細胞、タンパク質、デオキシリボ核酸(DNA)、リボ核酸(RNA)などの生物学的エンティティを分離および分別することは、診断学、治療学、細胞生物学、およびプロテオミクスを含む数多くの生物医学的な適用分野にとって重要である。
分析的な目的のためのタンパク質およびDNA/RNA分離は従来、ゲル電気泳動法によって行われており、その場合にはタンパク質混合物に強い電界(通常、30ボルト/センチメートル(V/cm))がかけられる。タンパク質またはDNA/RNAは、そのサイズおよび表面電荷によって決まる速度で、ゲルの中を移動する。ゲルは、有毒であることが知られているアガロースまたはアクリルアミド・ポリマーから調製される。電気泳動法実験の結果は、色素でタンパク質を染色することにより、または極度に発がん性のある臭化エチジウムでDNA/RNAを染色することにより、光学的に明らかにされる。ゲルは、電気泳動法の結果が検出可能になるように十分な量の材料を必要とするが、ゲル・マトリックス中のよくない架橋結合により不確定な結果になること、および試料が完全に失われることになることが多い。ゲル・マトリックス・サイズが試料分子サイズに適合していない場合、または電気泳動があまりに長く実施されたままであった場合、試料はやはり失われる。
DNA、RNA、タンパク質、およびその断片などの巨大分子の分離には、ゲル電気泳動法が広く使用されている。ゲル電気泳動法には、現在、世界中の販売高が毎年10億ドルを超える市場がある。医療診断に適用されるゲル電気泳動法は、数十億ドル市場になる。
従来の技法と比較して、シリコン(Si)ナノ加工技術は、ナノ構造体の寸法およびその位置決めに関して、よりいっそう精密かつ正確な制御を実現し、したがって、粒子のサイズに基づいて高い信頼性で粒子を分別することにつながり得る。今日まで、Siピラー・アレイを使用するSiベースのラブ・オン・チップ手法には将来性がありそうであった。しかし、ミクロン(10−6m、すなわちマイクロメートル(μm))範囲で分別することしか、これらの技法を使用して実証されておらず、この範囲では、DNA、タンパク質などを分別するのに必要なナノメートル寸法に到達しない。
本発明は、エンティティを分別する技術を提供する。
本発明の1つの実施形態により、エンティティを分別する方法が提供される。エンティティがナノピラー・アレイに導入され、エンティティは第1の集団および第2の集団を含む。ナノピラー・アレイは、ギャップが一方を他方から分離するように配置されたナノピラーを含み、ナノピラーは、あるアレイ角を流体流方向に対して有するように整列される。エンティティは、既定のサイズ未満のエンティティの第1の集団を第1の方向に移送することによって、また少なくとも既定のサイズのエンティティの第2の集団を第1の方向とは異なる第2の方向に移送することによって、ナノピラー・アレイに通して分別される。ナノピラー・アレイは、100ナノメートル未満のサイズを有するエンティティを分別するために、300ナノメートル未満のギャップ・サイズを有するギャップを使用するように構成される。
本発明の1つの実施形態により、分別する方法が提供される。エンティティがナノピラー・アレイに導入され、エンティティは第1の集団および第2の集団を含む。ナノピラー・アレイは、ギャップが一方を他方から分離するように配置されたナノピラーを含み、ナノピラーは、あるアレイ角を流体流方向に対して有するように整列される。エンティティは、エンティティの第1の集団が第1の方向に出力され、エンティティの第2の集団が第1の方向とは異なる第2の方向に出力されるように、分別されることに基づいて受けられる。ギャップのギャップ・サイズが、第1の集団を第1の方向に、また第2の集団を第2の方向に分別するように調整される。ギャップ・サイズは、ナノピラー・アレイ上に配置された酸化物層の厚さおよびギャップへの化学修飾(chemical modification)の少なくとも一方により調整される。
本発明の1つの実施形態により、分別する方法が提供される。エンティティがナノピラー・アレイに導入され、エンティティは第1の集団および第2の集団を含む。ナノピラー・アレイは、整列配置のナノピラーを含み、ナノピラーは化学修飾を有する。エンティティは、エンティティの第1の集団が第1の方向に、化学修飾に対する親和性を持つ第1の集団に基づいて出力され、エンティティの第2の集団が第1の方向とは異なる第2の方向に出力されるように、分別後に受けられる。
さらなる特徴および利点が本発明の技法によって実現される。本発明の他の実施形態および態様は、本明細書で詳細に説明されるとともに、特許請求される本発明の一部と考えられる。これらの利点および特徴とともに本発明をよりよく理解することについては、本明細書および図面を参照されたい。
本発明としてみなされる主題は、本明細書に添付の特許請求の範囲に具体的に指し示され、明確に特許請求される。上記および他の特徴、および本発明の利点は、以下の詳細な説明を添付の図面と併せ参照すれば明らかになる。
アレイ・パラメータの定義を示す決定論的横変位(DLD)アレイの図である。 図2Aは、ニュートラル領域とマイクロ流体メタマテリアル要素の間の境界面における粒子軌道を表す概略図である。 図2Bは、最も単純なメタマテリアルが、チャネル壁およびバルク流体流に対して角度+αで傾斜したポストの非対称アレイであることを表す図である。 図2Cは、マイクロ加工されたポスト・アレイを示す断面SEM画像を表す図である。 図2Dは、境界面を通過し、法線から偏向している2.7μm赤蛍光剤の時間追跡を示す、粒径に基づく等価マイクロ流体複屈折を表す図である。 図3Aは、一実施形態によるナノピラー・アレイ製作のプロセス・フローの概略図であり、基板上に配置されたハード・マスク層を表す図である。 図3Bは、一実施形態によるナノピラー・アレイ製作のプロセス・フローの概略図であり、ハード・マスク層の上にレジスト層を配置することを表す図である。 図3Cは、一実施形態によるナノピラー・アレイ製作のプロセス・フローの概略図であり、レジスト層をパターニングすることを表す図である。 図3Dは、一実施形態によるナノピラー・アレイ製作のプロセス・フローの概略図であり、ハード・マスク層をパターニングすることを表す図である。 図3Eは、一実施形態によるナノピラー・アレイ製作のプロセス・フローの概略図であり、基板をピラー・アレイにエッチングすることを表す図である。 図3Fは、一実施形態によるナノピラー・アレイ製作のプロセス・フローの概略図であり、ハード・マスク・パターンが除去されているピラー・アレイを表す図である。 図3Gは、一実施形態によるナノピラー・アレイ製作のプロセス・フローの概略図であり、ピラー・アレイ上に酸化物層を配置することを表す図である。 図4Aは、本発明の一実施形態による、ハード・マスクが除去される前の反応性イオン・エッチングの結果を表す、同一ウェハの走査電子顕微鏡画像である。 図4Bは、本発明の一実施形態による、ハード・マスクが除去される前の反応性イオン・エッチングの結果を表す、同一ウェハの走査電子顕微鏡画像である。 図4Cは、本発明の一実施形態による、ハード・マスクが除去された後の反応性イオン・エッチングの結果を表す、並行処理ウェハの走査電子顕微鏡画像である。 図4Dは、本発明の一実施形態による、ハード・マスクが除去された後の反応性イオン・エッチングの結果を表す、並行処理ウェハの走査電子顕微鏡画像である。 図5Aは、本発明の一実施形態による、熱酸化物がない製作されたナノピラー・アレイを表す、別のウェハの走査電子顕微鏡画像である。 図5Bは、本発明の一実施形態による、熱酸化物がない製作されたナノピラー・アレイを表す、別のウェハの走査電子顕微鏡画像である。 図5Cは、一実施形態による、ナノピラー・アレイ上に熱酸化物を成長させる影響を表す、並行処理ウェハの走査電子顕微鏡画像である。 図5Dは、一実施形態による、ナノピラー・アレイ上に熱酸化物を成長させる影響を表す、並行処理ウェハの走査電子顕微鏡画像である。 図5Eは、一実施形態による、ナノピラー・アレイ上に熱酸化物を成長させる影響を表す、並行処理ウェハの走査電子顕微鏡画像である。 図6Aは、本発明の一実施形態による、より小さいギャップ・サイズから開始することを表す、別のウェハの走査電子顕微鏡画像である。 図6Bは、本発明の一実施形態による、より小さいギャップ・サイズから開始することを表す、別のウェハの走査電子顕微鏡画像である。 図6Cは、本発明の一実施形態による、初期ギャップ・サイズが小さい場合の酸化処理を表す、並行処理ウェハの走査電子顕微鏡画像である。 図6Dは、本発明の一実施形態による、初期ギャップ・サイズが小さい場合の酸化処理を表す、並行処理ウェハの走査電子顕微鏡画像である。 図7Aは、本発明の一実施形態による、分別アレイ面を形成するためのピラー・アレイへの化学修飾の一般的な概略化学構造式である。 図7Bは、本発明の一実施形態による、分別アレイ面を形成するための、ピラー・アレイに金属を付けることによる化学修飾の概略化学構造式である。 図8Aは、一実施形態による、ピラー間のギャップ・サイズを修正する手段としての分別アレイの化学修飾を表す断面図であり、化学修飾前のピラー間のギャップ・サイズを表す図である。 図8Bは、一実施形態による、ピラー間のギャップ・サイズを修正する手段としての分別アレイの化学修飾を表す断面図であり、化学修飾後のピラー間の低減されたギャップ・サイズを表す図である。 図8Cは、一実施形態による、ピラー間のギャップ・サイズを修正する手段としての分別アレイの化学修飾を表す断面図であり、図8Aの反応部位の拡大図である。 図8Dは、一実施形態による、ピラー間のギャップ・サイズを修正する手段としての分別アレイの化学修飾を表す断面図であり、図8Bの単分子層の拡大図である。 図9Aは、本発明の一実施形態による、化学的に修飾された分別アレイ中の粒子流を、表面単分子層に対する親和性を持つ粒子と比較して表面単分子層に対する親和性がない粒子を用いて表す上面図である。 図9Bは、本発明の一実施形態による、ナノピラー、単分子層、および親和性のある粒子の断面拡大図である。 図10Aは、本発明の一実施形態による、ギャップ変化があるピラーを表す断面図である。 図10Bは、本発明の一実施形態による、ギャップ変化を取り除く酸化処理を表す断面図である。 本発明の一実施形態による、ピラー・アレイを有するチップ(流体デバイス)を表す上面図である。 本発明の一実施形態による、流体装置(たとえば、チップ)を提供する方法の流れ図である。 本発明の一実施形態による、ナノピラー・アレイを形成する方法の流れ図である。 本発明の一実施形態による、ナノピラー・アレイ中のピラーの配置を表す概略上面図である。 本発明の一実施形態による、現在2つの入口があり、サイズの異なる粒子がナノピラー・アレイ中を横断するチップの概略図である。 図16Aは、本発明の一実施形態による、直径70ナノメートル・ビーズの粒子軌道の走査電子顕微鏡画像である。 図16Bは、本発明の一実施形態による、70ナノメートル・ビーズについての速度の関数としての軌道角度のグラフである。 図16Cは、本発明の一実施形態による、直径50ナノメートル・ビーズの粒子軌道の走査電子顕微鏡画像である。 図16Dは、本発明の一実施形態による、50ナノメートル・ビーズについての速度の関数としての軌道角度のグラフである。 本発明の一実施形態による、例示的データの表である。 本発明の一実施形態による、エンティティを分別する方法の流れ図である。 本発明の一実施形態による、エンティティを分別する方法の流れ図である。 本発明の一実施形態による、エンティティを分別する方法の流れ図である。
ミクロン(10−6m、すなわちマイクロメートル(μm))範囲で分別することが、Siベースのラブ・オン・チップ手法を使用して実証されている。この点に関する付加情報が、論文“Hydrodynamic Metamaterials: Microfabricated Arrays To Steer,Refract, And Focus Streams Of Biomaterials” by Keith J.Morton, et al., in PNAS2008 105(21)7434-7438(印刷の前に2008年5月21日に発表)でさらに論じられている。
論文「Hydrodynamic Metamaterials:Microfabricated Arrays To Steer, Refract, And Focus Streams Of Biomaterials」では、光学についての著者の理解が、光を粒子として、直進し、光の速度が材料に依存する媒体に入ると屈折するものとして見ることに由来すると論じている。この論文は、ある構造化された層状の異方性流体力学媒体の、高ペクレ数の流れの中を動いている物体が、光学における光線に似ている軌道に沿って動くことを示している。1つの例は、決定論的横変位(DLD)アレイとして知られている周期的マイクロ加工ポスト・アレイ、高分解能マイクロ流粒子分別機である。このポスト・アレイは非対称である。図1に示されるように、各連続する下流の列は、アレイ軸がチャネル壁および流体流の方向に対して角度αを形成するように、前の列に対してシフトされている。動作中、ある臨界サイズよりも大きい粒子は、各列でポストによって側面に沿って変位され、いわゆる「衝突」モードでアレイ中の決定論的経路をたどる。衝突粒子の軌道は、アレイ軸角度αに従う。臨界サイズよりも小さい粒子は流れの流線をたどり、周期的な「ジグザグ」モードでポスト・アレイを縫うように通って進む。
図1は、アレイ・パラメータの定義を示す決定論的横変位(DLD)アレイの概略図である。ポストは間隔λで周期的に配置され、各下流の列は、前の列からδだけ、アレイの対称性を破って横方向にオフセットされている。このアレイ軸は、チャネル壁に対して、したがって流体流の方向に対して角度α=tan−1(δ/λ)=tan−1(ε)を形成する。アレイ非対称性により、ポストG間のギャップ内の流体流は(1/ε)スロットに分割される。これらのスロットのそれぞれが(1/ε)列ごとに繰り返すので、アレイを通る流れは概して直線になる。ポスト近くでギャップを通過する粒子は、その粒子半径がギャップ内のスロット幅よりも大きい場合、隣接する流線の方へ(スロット1からスロット2へ)変位し得る。したがって、大きい粒子は決定論的に各ポストで変位し、その流れに向かって角度αで移転する。より小さい粒子は、単にその流線形路をたどるだけで、流体流の方向にアレイを通って流れる。
図2Aは、チャネルにまたがるマイクロ加工ポストからなる流体力学媒体を通って流れる粒子の、サイズによる複屈折を示す。サイズの異なる2つの粒子が、対称ポスト・アレイ(チャネルの左半分)と非対称ポスト・アレイ(右半分)の間の境界面に直角に入射する。アレイを通る圧力駆動流体流は左から右に向かっており、その全体的な方向は、大きい方のマイクロ流体チャネルによって決定される。図2Aは、ニュートラル領域とマイクロ流体メタマテリアル要素の間の境界面における粒子軌道を表す概略図である。臨界サイズよりも大きい粒子がアレイを非対称に流れるのに対し、それより小さい粒子は流体流に従う。図2Bは、最も単純なメタマテリアルが、チャネル壁およびバルク流体流に対して角度+αで傾斜したポストの非対称アレイであることを表す図である。示されているのは、ニュートラル・アレイ(α=0°)とアレイ角α=11.3°であるアレイとの間の境界面の上面視走査電子顕微鏡(SEM)画像である(ギャップG=4μm、およびポスト・ピッチλ=11μmは両側で同じである)。図2Cは、マイクロ加工されたポスト・アレイを示す断面SEM画像を表す。図2Dは、境界面を通過し、法線から偏向している2.7μm赤蛍光剤の時間追跡を示す、粒径に基づく等価マイクロ流体複屈折を表す図である。小さい方の1.1μm緑ビーズは、境界面で偏向されない。
アレイ要素は、障害物サイズD、ポスト間の間隔G、およびポスト・ピッチλを含む、図1に示される設計パラメータを用いてアレイを構築することによって、特定の粒子サイズをある角度で流れに向けるように適合させることができる。非対称性は、列間シフトδの大きさによって決まり、勾配ε=δ/λによって特徴づけられる。この場合、最終アレイ角はα=tan−1(ε)になる。所与のアレイ角に対し、衝突モードの臨界粒径は、粒子直径とポスト間隔すなわちギャップとの比によって決まる。この臨界粒径は、1.0°から16°の間のアレイ角の範囲に対して前もって図で表された。所与のギャップ・サイズに対して、衝突の臨界サイズは、より鋭い角度において大きくなる。これらの設計基準を使用して、ビーズ、細胞、およびDNAの流れがすべて、サイズによる分離適用例では決定論的に移動された。11.3°のアレイ角があり、ギャップG=4μmであり、ポスト・ピッチλ=11μmである、図1に示された例では、閾値粒径は約2.4μmである。したがって、図示のように、2.7μm赤ビーズは、アレイ軸角度に沿って衝突モードで移動し、1.0μm緑ビーズは、流線に沿ってジグザグ・モードで移動する。アレイ要素ならびに任意の付随的なマイクロ流体チャネルおよび貯蔵器が、フォトリソグラフィおよびエッチングを含む標準的なマイクロ加工技術を使用して、シリコン・ウェハ内に製作される。アレイはまた、同様に作られたシリコン・マスタを使用してPDMSに成形することもできる。シリコン・エッチングでは、最適化ディープ反応性イオン・エッチング(DRIE)が平滑な垂直側壁を維持するために使用されて、図2Cに示される、上部から下部まで均一な間隔がポスト間に確保される。
最新技術とは異なり、本発明の実施形態は、ピラー(ポストとも呼ばれる)の間に寸法が100ナノメートル(nm)未満の領域にある均一なギャップを有する、製造可能なシリコン・ピラー・アレイを作り出すように設計される。これらのピラー・アレイは、たとえば、これらの寸法の、DNA、RNA、エキソソーム、個々のタンパク質、およびタンパク質複合体などの生物学的エンティティを分別および分離するための上述のバンパー・アレイ構成において、使用することができる。具体的には、ピラー・アレイは、ピラーの軸全体に沿ったギャップ・サイズの変化を「治癒する」ために使用できる、SiOコーティングなどの酸化物コーティングを用いて設計される。均一なギャップ・サイズは、たとえば20nm粒子を10nm粒子から分別するための、効率的な分別を得るために利用される。これは、分別される粒子の寸法よりも大きいギャップ・サイズの固有変化がある100nm未満領域のギャップでは特に困難であり、この固有変化は、反応性イオン・エッチング(RIE)プロセスによってこの程度に限定される。最新技術において見出される、実証された分別ピラー・ギャップはミクロン範囲の寸法を有し、したがって、最新技術では、実施形態で開示された微細さの程度近くに分別することができない。角度ピッチ(アレイ角または臨界角とも呼ばれる)が非常に小さい、たとえば0.57度であるピラー・アレイでも、分別効率が最高の場合で、ギャップの12%よりも大きい粒子しか分別されない。したがって、ナノメートル領域において不変のギャップが、たとえばタンパク質凝集物を分別するには必要になる。個々のタンパク質(たとえば、1〜10nmのサイズ範囲)の分別は従来、イオン交換クロマトグラフィまたはゲル電気泳動法を使用して行われているが、これらは、シリコンによる連続流解決策ではなくロード・アンド・ソート技法である。しかし、最新技術には10〜100nm程度のエンティティを分別するための既存の解決策がないものの、実施形態では、これらの範囲の両方(たとえば、1〜10nm範囲と10〜100nm範囲)における解決策を提供する。諸実施形態はまた、所与のギャップを適応サイズまでさらに低減するための、分子の付加または移植あるいはその両方によるピラーの化学修飾を含む。
理解しやすいように、副題が利用されることが場合によってあり得る。副題は、単に説明の目的のためであり限定ではないことに留意されたい。
ピラー・アレイ製作
図3Aから図3Gは、本発明の一実施形態によるナノピラー・アレイ製作のプロセス・フローの概略図を表す。図3Aで、プロセス・フロー301は基板302を表す。ハード・マスク304が基板302の最上部に配置される。基板302は、たとえばシリコン(Si)ウェハなどのウェハとすることができる。酸化物ハード・マスク304は、エッチングに使用される二酸化ケイ素(SiO)とすることができる。酸化物は1つの例であり、窒化物または他の硬質材料を利用することもできる。酸化物ハード・マスク304は、バルク・シリコン(基板302)上に堆積または成長あるいはその両方によって配置することができる。酸化物ハード・マスク304の厚さは、ピラーの高さを生じさせるのに必要なエッチング深さと、ハード・マスク材料304に対する基板302のRIE化学作用の選択性とに応じて、数十から数百ナノメートルの範囲になり得る。他の材料を基板302およびハード・マスク層304用に利用することもできる。
図3Bで、プロセス・フロー303は、酸化物ハード・マスク304の最上部にレジスト306を配置することを表す。レジスト306は、ポジティブ・レジストまたはネガティブ・レジストとすることができる。レジスト306の厚さは、レジスト306、ハード・マスク(304)エッチング選択性、ハード・マスク304の厚さ、および必要なナノピラー・ギャップ分解能に応じて、100nm〜1μmの範囲になり得る。狭い100nm未満のギャップおよび浅いピラー深さでは、100〜500nmのレジスト厚さ範囲が利用されて、ギャップ・サイズの変化が少ないと高い分解能特性が得られる。レジスト306はまた、分解能を改善するために、エッチング選択性がそれぞれ異なる2つ以上の層からなる多層レジスト・スタックとすることもできる。
図3Cで、プロセス・フロー305は、レジスト306をレジスト・パターン308になるようにパターニングすることを表す。レジスト・パターン308は、それだけには限らないが、電子ビーム・リソグラフィ、ナノインプリント・リソグラフィ、干渉リソグラフィ、極端紫外線リソグラフィ、または遠紫外線リソグラフィあるいはこれらの組合せ、またはこれらの技法の組合せを使用して、画定することができる。レジスト・パターン308は、以後のナノピラー・アレイのパターンで、レジスト・ピラーの形に作られる。ある場合には、レジスト・パターン308は、異なるナノピラー・アレイのための複数のパターンを含み得る。
プロセス・フロー307は、図3Dのエッチングされたハード・マスク・パターン312を得るための、レジスト・パターン308から酸化物ハード・マスク304へのパターン転写を表す。ハード・マスク304へのパターン転写は、反応性イオン・エッチング(RIE)を使用して行うことができる。プロセス・フロー307は、レジスト・パターン308をその対応するエッチングされたハード・マスク・パターン312の最上部に示す。
図3Eで、プロセス・フロー309は、ナノピラー314を、エッチングされたハード・マスク・パターン312の下の基板302に画定されるようにパターニングすることを表す。ナノピラー314は、反応性イオン・エッチングを使用してエッチングすることができる。レジスト・パターン308は、ナノピラー314を基板302にパターニングする前に、またはナノピラー314をパターニングした後に、エッチングされたハード・マスク・パターン312の最上部から除去することができる。ナノピラー314のエッチング後にレジスト・パターン308を除去することは、ナノピラー314のRIE処理中に起こり得るハード・マスク・パターン312の侵食を回避するのに役立ち得るので、実施されてよい。ハード・マスク侵食があると、不適正に変更された(望ましくない)側壁角度をピラーが持つことになり得る。
プロセス・フロー311は、図3Eのハード・マスク・パターン312の除去を表す。ハード・マスク・パターン312は、ハード・マスク材料がSiOである場合、希フッ化水素(DHF)酸で除去することができる。プロセス・フロー311は、ナノピラー314のナノピラー・アレイ320を示す。
ナノピラー314それぞれの間のギャップのサイズをさらに低減するために、またギャップ変化を低減するために、プロセス・フロー313は、酸化物316を、図3Gの基板302に形成されたナノピラー・アレイ320の表面を覆うように配置することを表す。ある場合には、熱酸化を利用して二酸化ケイ素316を成長させ、それによって、ギャップを狭くするようにナノピラー・アレイ320の表面を覆うことができる。別の事例では、酸化物316は、ナノピラー・アレイ320(シリコン製)上に、たとえば原子層堆積を使用して堆積させることができる。
一般に、ピラー・アレイは、ピラー・ポスト間のギャップを狭くしギャップ変化を最小にするのに役立つ酸化工程(たとえば、プロセス・フロー313)が後に続くRIEによって画定された、シリコン・ピラーの高密度アレイを含む。ナノピラー・アレイ製作はまた、さらなるギャップ・スケーリング(すなわち、サイズの縮小)が必要になり得る、任意選択の化学修飾工程を含み得る。これらのピラーまたはギャップあるいはその両方は、傾斜ピラー設計になるように実施して、試料を濃縮すること、または生物学的エンティティの異種混合物を単一分子レベルで分離することが、細胞または大きい粒子の分別についての論文「Hydrodynamic Metamaterials: Microfabricated Arrays To Steer,Refract, And Focus Streams Of Biomaterials」で実証された作業と同様に、可能である。図3Aおよび図3Bのナノピラー・アレイ製作のためのプロセス・フローを利用して、ナノピラー314間の任意の所望のギャップG間隔、所望のピラー・ピッチλ、所望の列間シフトδ、および所望のアレイ角α(臨界角αとも呼ばれる)(図1に示される)になるようにシフトされたナノピラー314のアレイを作り出すことができる。
複数のナノピラー・アレイ320(たとえば1〜N、ここでNはナノピラー・アレイ320の最後の番号)は、図3Aおよび図3Bで同じ基板302について論じたように製作することができる。第1のナノピラー・アレイ320は、第1の組のパラメータ(ナノピラー314間の任意の所望のギャップG間隔、所望のピラー・ピッチλ、所望の列間シフトδ、および所望のアレイ角α)を有することができる。第2のナノピラー・アレイ320は、第2の組のパラメータ(ナノピラー314間の任意の所望のギャップG間隔、所望のピラー・ピッチλ、所望の列間シフトδ、および所望のアレイ角α)を有することができ、第1の組のパラメータのうちの1つ以上が第2の組のパラメータと異なり得る。第3のナノピラー・アレイ320は、第3の組のパラメータ(ナノピラー314間の任意の所望のギャップG間隔、所望のピラー・ピッチλ、所望の列間シフトδ、および所望のアレイ角α)を有することができ、第1の組のパラメータのうちの1つ以上が、第2の組のパラメータのいくつかと異なるかまたは同じか、あるいはどちらもあり得、第3の組のパラメータのうちの1つ以上が、第1の組および第2の組のパラメータのいくつかと異なるかまたは同じか、あるいはどちらもあり得る。これと同じ類推を、最後の(N)の組のパラメータ(ナノピラー314間の任意の所望のギャップG間隔、所望のピラー・ピッチλ、所望の列間シフトδ、および所望のアレイ角α)を有することができる最後(N)のナノピラー・アレイ320まで適用することができ、最後の組のパラメータのうちの1つ以上が、第1、第2、第3、および第N−1の組のパラメータのいずれか1つと異なるかまたは同じか、あるいはどちらもあり得る。
ピラーおよびギャップを画定するには、ネガティブトーン・ナノスケール・リソグラフィ技法が、まず第一に100nm未満(<)のパターン化ギャップ・サイズを確保するのにより望ましい可能性があり、たとえば、ピラーおよびギャップが、プロセス・フロー305に示されるレジスト・パターン308で画定される。電子ビーム・リソグラフィが、ピラー・アレイ・パターンが小さい場合の1つの選択肢である。しかし、より製造可能なナノインプリント・リソグラフィの手法もまた、十分に制御された露光量条件のもとでの極端紫外線(EUV)および遠紫外線(DUV)リソグラフィと同様に、適用することができる。高アスペクト比ピラーを得るには、書かれるパターン(すなわち、レジスト・パターン308)が、(Si)基板302をエッチングする前にハード・マスク304(ハード・マスク・パターン312)に転写されなければならない。高アスペクト比ピラーは、より大きい流体スループットを可能にし、マイクロ/ナノ流体特性に付随する閉塞問題を低減することができる。したがって、高アスペクト比ピラーは、ギャップ・サイズを隣接ピラー間で維持できる限り有することが有用な特性である。ピラーをレジスト・パターン308で画定し、エッチングされたハード・マスク・パターン312にまず転写することによって、ピラー・アレイ(320)エッチングが行われるときにギャップ・サイズをより安定に保ちながら、エッチング選択性の利点によりアスペクト比が増大する。
いくつかの実験データが、例示的な実施形態として以下で論議される。実験データは説明のためのものであり、限定のためのものではない。この場合には、電子ビーム・リソグラフィが利用されてピラー寸法(たとえば、レジスト・パターン308)を水素シルセスキオキサン(HSQ)中で二層レジスト・スタックの一部(たとえば、レジスト306)として画定し、この二層レジストは次に、150nm非高密度化低温酸化物(LTO)ハード・マスク(たとえば、エッチングされたハード・マスク・パターン312)に転写される。高密度化LTO、熱酸化物またはSiO/SiN/SiOのハード・マスク、あるいはこれらの組合せのハード・マスクもまた考慮され得る。実験では次に、RIEによるSiエッチング処理を用いてピラー(たとえば、ピラー314)を基板内に画定した。RIE処理のさらなる詳細について、次に説明する。
RIE処理詳細。400nm高Siピラーを電子ビーム・レジスト・パターンから製作するためのパターン転写では、乾式エッチングをApplied Materials DPSII ICPエッチング・チャンバ内で実行した。最初に、現像されたネガティブ・トーン電子ビーム・レジスト(HSQ)を使用し、400ワット(W)電源電力、100Wバイアス電力、および65℃において4ミリトル(mTorr)圧力で、N/O/Ar/C化学作用を用いて有機平坦化層(OPL)マスクを貫通エッチングした。次に、500W電源電力、100Wバイアス電力、および65℃において30mTorrで、CF/CHF化学作用を用いてパターンをさらにSiOハード・マスクに転写する。次に、炭素ハード・マスクを、O/N化学作用を用いてApplied Materials Axiom下流アッシャ内で250℃において剥がす。SiOハード・マスクを使用して、Siピラーを400nmの深さまでDPS IIを用いて、650W電源電力、85Wバイアス電力、および65℃において4mTorrで、最初にCF/Cブレークスルー・ステップによって、次に、Cl/HBr/CF/He/O/C主エッチングによって、エッチングする。結局のところ3つのマスクを利用してピラーをエッチングしたが、3つのマスクとは、現像されたHSQ電子ビーム・レジスト(マスク)、OPLマスク、およびSiOハード・マスクであったことに留意されたい。
ギャップ分析
図4A、図4B、図4C、および図4Dは、別々の2つの事例に関する、このRIE処理の結果の走査電子顕微鏡画像である。図4Aおよび図4Bは、ハード・マスク(たとえば、ハード・マスク・パターン312)が除去される前(プロセス・フロー309などにおいて)のピラー(たとえば、ピラー314)を表し、ピラー(ハード・マスク・パターン312が上部にあるピラー314)の上部は丸みのある形状である。150nmLTO(非高密度化)ハード・マスクをRIEエッチングと一緒に利用して、図4Aおよび図4Bのピラー314を生成した。図4Cおよび図4Dは、別々のウェハに対して実行された、希フッ化水素酸によるハード・マスク(たとえば、ハード・マスク・パターン312)除去の後のピラー(たとえば、ピラー314)を表し、ピラー314の上部は、図4Cおよび図4Dでは平坦である。両方の場合で、アレイ内のピラーが高密度であることにより、Siピラーは中心において内向きに弓形になる。つまり、ピラーの直径が中心で減少するので、ピラー間のギャップはピラー314の中心において広がる。ピラーは、内向きの弓形、すなわち砂時計の形を有する。アレイの境界におけるピラーは全くの垂直(図示せず)であることに留意されたい。このことは、ナノメートル・スケールにおけるギャップ非均一性の問題に光を当て、およそ(約)100nmのギャップ・サイズでは、図4Cおよび図4Dで分かるように、およそ50nmのギャップ変化がピラーの上部から同じピラーの底部まで(すなわち、深さまたは高さ)にある。アレイ内の、ギャップによって画定されたピラーが近接していることにより、ピラーが中心において内向きに弓形になり、それによって、それ以上のスケーリングを妨げるギャップ変化が生じた。この影響は、上述のエッチング処理(すなわち、酸化物層316を配置する前)では、寸法が250nm以下であるギャップ・サイズについて観察された。
本発明の一実施形態により、図5Aおよび図5Bは、50nm厚の熱酸化物がないウェハ5の、製作されたナノピラー・アレイの走査電子顕微鏡画像である。図5C、図5D、および図5Eは、本発明の一実施形態による、Siに埋め込まれたナノピラー・アレイ上に50nm厚の熱酸化物(たとえば、酸化物層316)を成長させることの影響を示す、ウェハ7の走査電子顕微鏡画像である。ピラーの側面には、右壁505(図5Aおよび図5Cに示す)、基板の底部510、および左壁515(図5Bに示す)がある。
ウェハ5に対する図5Aおよび図5Bのピラーの加工は、最終の酸化ステップ(図5C〜図5Eのウェハ7に対してのみ行われる)を除いて、図5C、図5D、および図5Eのウェハ7に対するピラーの加工と同一である。図5Bの場合(ウェハ5)、およそ186nmのギャップ・サイズに対して26nmの変化があるのに対し、図5D(ウェハ7)は、酸化後に13nmのギャップ・サイズの変化しか示さず、この場合、ギャップ・サイズがおよそ138nmにまで狭くなっている。この酸化の治癒効果は、図5Eに示されるように、これらの非平坦構造物(すなわち、ピラー)上の酸化物が非均一であることの結果として生じる。図5Eは、2つのピラーに関して(x軸に並べて見て)、酸化物が内向きの弓形に埋められているので、これら2つのピラー間のギャップ・サイズが、上部から底部にかけて(すなわち、y軸の垂直軸に沿って)13nmしか変化し得ないことを示す。図5Aおよび図5B(ウェハ5)に施されるエッチング処理を用いると、ピラーの(上部および底部ではなく)中心において酸化がより速く進行するので、図5C、図5D、図5E(ウェハ7)に示されるように、ピラー・フィーチャ上の不均一な酸化がギャップ変化を「治癒」することが見出され、このことは、図10Aおよび図10Bにも示されている。
図6Aおよび図6B(ウェハ5)は、砂時計の形に埋めるための酸化物が配置されない、80〜89nm(9nmだけ変化する)などの小さいギャップ・サイズから開始する実例を示す。図6Cおよび図6D(ウェハ7)は、元のギャップ・サイズが80〜89nmである(9nmだけ変化する)場合に適用される50nm酸化ステップを表す。酸化の影響は、図6Cおよび図6Dにおいて非常に明白であり、同じ50nm酸化ステップにより(上記の図5C、図5D、図5Eで論じた)、ギャップ・サイズが80〜89nmからたったの21〜25nm(ギャップ変化4nm)まで、12:1(深さ:ギャップ)の比で低減する。図6Cおよび図6Dで分かるように、より小さい開始ギャップ・サイズ(たとえば、ギャップを狭くし内向きの弓形を取り除くための酸化ステップの前で、80〜89nm(または、これより小さい))での酸化により、およそ25nmのギャップが、およそ300nmのエッチング深さにわたってわずか数ナノメートルの変化(4nm)のみで得られ、300:25の深さ対ギャップの比は12:1の比になる。このギャップ変化がわずかなこと(たとえば、4nm)およびプロセスが、特にこれらのナノピラーが化学修飾プロセスと組み合わされた場合に、カスタムの調整可能なギャップ・サイズを作る機会がもたらされる。高アスペクト比という用語は、製造可能なプロセスにおいてこのスケールでは実現するのが困難であり得る、4:1よりも大きい深さ対ギャップの比を有する構造物に関連し得る。
本明細書で論議されているように酸化物をピラー・アレイ上に配置することによって、諸実施形態は、並んでいる2つのピラーの垂直軸(すなわち、深さ)に沿ってギャップ・サイズが均一(たとえば、並んでいる2つのピラー間のギャップ・サイズの変化が5nm未満(4nm、3nm、2nmなど))であるピラー・アレイが得られるように構成される。たとえば、図10Aおよび図10Bは、本発明の一実施形態による、ピラー・アレイ320においてギャップ変化を取り除き(低減させ)、均一なギャップ・サイズを作り出す治癒プロセスを表す断面図である。説明の目的のためだけに、2つのピラー314が並べて示されているが、説明はピラー・アレイ320内のピラー314のすべてに当てはまる。ピラー314の高さはy軸上に示され、幅/直径はx軸上に示されている。z軸はアレイ320の長さを表し、アレイ内のさらなるピラー314(図示せず)が、2つのピラー314の前および後ろに位置する。図10Aは、基板材料(基板302)から作られた2つの例示的なピラー314を示す。ピラー314は、内向きに弓形になって砂時計の形になる。図10Aには、2つのギャップ・サイズG1およびG2が示されているが、別のギャップ・サイズもギャップ・サイズG1とG2の間にあり得る。ギャップ・サイズG1は、ピラー314の上部および底部(の近く)にある。ギャップ・サイズG2は、ピラー314の中心(の近く)にある。アレイ320内でギャップ・サイズG1によって規定される、ピラー314が近接していることが、RIE処理中に反応性イオンの衝突流に課されるギャップ・サイズG1の寸法上の制約の故に、砂時計の形を生じさせる。
図10Bは、酸化物層316を配置した後の2つの例示的なピラー314を示す。ピラー・アレイ320における非平坦な構造、ナノサイズのピラー、およびピラー314間の緊密なナノ間隔の故に、酸化物層316はピラー314上に均一に分布しない。代わりに、より多くの酸化物316がピラー314の中心(空隙)に、ピラー314のy軸の上部および底部よりも速く形成される。言い換えると、弓形の中心は、ピラー314の上部および底部よりも速い速度で埋められる。ピラー314上に形成される酸化物316のこの不均一な分布は、個々のピラー314をまっすぐにする働きをして、ピラーが砂時計の形から円筒状の形に変わり、これにより、ギャップ・サイズG5が2つのピラー314の間(および、x軸に並んでいる他の任意の2つのピラー314間)で均一になる。したがって、ギャップG(アレイの一般的なギャップ・サイズを表す)のすべてが、ピラー・アレイ320全体にわたって均一になる。
化学修飾
分別すべき粒子とアレイの表面との間の相互作用は、化学修飾を用いて調整することができる。一般にこれは、物理的吸着または化学結合の形成、あるいはその両方によってピラー・アレイの表面に分子を付着させること、または接合することあるいはその両方を含み得る。また、ピラー・アレイの化学修飾は、金属、ポリマー、またはセラミック・コーティングあるいはこれらの組合せなどの材料の層を付けること、ならびにアレイ表面の酸化状態の変更を含み得る。表面(化学修飾用)は、流体ピラー・アレイの分別ピラー、壁、天井、または床あるいはこれらの組合せの領域を含み得る。加えて、化学修飾は、入口、出口、駆動機構、またはナノ流体デバイス(たとえば、1つまたは複数のピラー・アレイ)に付加された他の流体チャネルあるいはこれらの組合せに存在する任意の面に行うことができる。
化学修飾は、上で論じたように適用することができるが、より適切な適用は分別ピラー自体の化学修飾である。その理由は、これにより粒子と分別アレイ表面の間の相互作用の設計が可能になるからである。
1つの例では、図7Aに示されるように、リガンドと呼ばれる小さい有機分子またはポリマーをピラーの表面に、ピラーの自然シリコン酸化物上のクロロシランまたはアルコキシシランの縮合あるいはその両方の縮合などによって、化学的に接合することができる。また、図7Bに示されるように、リガンドはピラーの表面に、金または銀の薄い層(たとえば、10nm)でコーティングされたピラー上のチオール、アミン、またはホスフィンあるいはこれらの組合せなどを介して、化学的に接合することもできる。得られるリガンド分子の層は単分子厚、すなわち単分子層である。流体および粒子と直接接触する単分子層の末端基は、アレイを通るときに粒子が感知する物理化学的(physochemical)相互作用を決定する。したがって、リガンドの末端基を変更することにより、アレイ内の表面相互作用を調整することが可能になる。
図7Aおよび図7Bは、本発明の一実施形態による、分別アレイ面の化学修飾の方法の一般的な概略化学構造式を表す。図7Aを参照すると、一般的な基板(アレイ・ピラー)では、基板上の反応部位(X)を使用して、小分子リガンドの化学結合または物理的吸着あるいはその両方を形成することができる。その表面にリガンドが付着することで新しい層が形成され、これは単分子厚(すなわち単分子層)になる。一般的なリガンドは、(i)基板反応部位(X)と相互作用する結合基(Z)、(ii)最終単分子層の厚さを大まかに決定するいくつかのスペーサ分子(n)からなる骨格、および(iii)単分子層とアレイ内の流体/粒子との間の境界面と相互作用する末端基(A)から構成される。末端基(A)は、分別すべき粒子と相互作用する。図7Aは、結合基Zおよび反応部位Xを示すが、これは単に1つの例であり、化学修飾は、この例にある反応機構の一種類に限定されるということではない。他に2つの一般的な機構的可能性がある。(1)直接結合形成、すなわちZ基が反応部位XにZ−X結合として結合する、または(2)脱離による結合形成、すなわち反応基Z−WがZ−X結合中の反応部位X−Vに結合し、副生成物W、Vが脱離する、あるいはこれらの両方である。たとえば、クロロシランR−Si−Clがシリカ表面のシラノールH−O−Siと反応すると、R−Si−O−Si結合が形成され、HClが脱離する。
図7Bを参照すると、単分子層が、ピラーのアレイの上に事前堆積した金属層(M)の上に形成され得る。たとえば、1つまたは複数の金属層(M)をピラーの上に堆積することができ(たとえば、均一なギャップ・サイズを作り出す酸化処理の後に)、それによりピラーはここで、金属面(M)を基板の上に(または内向きの弓形を埋める酸化物層の上に、あるいはその両方の上に)有するようになる。図7Bでは、結合基が、図7Aの「Z」とは対照的に「Y」で識別されている。リガンド(たとえば、結合基(Y)を有する)は、ピラー・アレイの金属面(M)と直接に配位化合物を形成して、緊密に詰まった単分子層を形成することができる。
図8Aおよび図8Bに表されているようにギャップ・サイズを低減することによって、化学修飾を用いてより小さい粒子を分別するようにピラー・アレイを調整することができる。分別ピラー314の表面は、脂肪族または芳香族のオリゴマー/ポリマーを含む様々な長さの分子で修飾することができ、これによりピラーの厚さが効果的に増大し、それによってピラー間のギャップ間隔が低減する。より長いリガンドを選択することによって、ギャップ・サイズは小さくすることができ、したがって、実効分離粒径が小さくなる(すなわち、より小さい粒子を分別することができる)。リガンドの骨格は、剛性の緊密に詰まった分子層と柔軟で無秩序な層との間の機械的特性の範囲が得られるように選択することができる。リガンドには、小有機分子、タンパク質、ペプチド、核酸、オリゴ糖、または剛性ポリマーあるいはこれらの組合せが含まれ得る。1つの例では、ピラー表面が、シロキサン連鎖を介してポリエチレン・グリコール(PEG)のオリゴマーによって修飾される。エチレン酸化物残基1つにつきおよそ0.36nmで、12残基PEGオリゴマーでは、およそ9nmのギャップ・サイズの減少が生じ、20残基PEGオリゴマーでは、およそ14nmのギャップ・サイズの減少になる。
図8Aから図8Dは、本発明の一実施形態による、ピラー間のギャップ・サイズを修正する手段としての分別アレイの化学修飾を表す概略図である。図8Aを参照すると、自然酸化物、成長酸化物層、または代替材料(たとえば金属、セラミック、ポリマー)の堆積層あるいはこれらの組合せを有するピラー314では、ピラーの表面に反応部位(X)がある。ピラー314は、gで示される初期ギャップ幅を有する。アレイ床805(ピラーが立つ基板302の床)がある。図8Cは、図8A中の空の反応部位(X)を描写する拡大図820を示す。図820では、反応部位(X)はリガンドに付着しておらず、リガンドは、図8Bに示されるようにピラー・アレイ320に付けられる。
図8Bを参照すると、ピラーの表面へのリガンド810の化学的付着により、リガンド詰め込みの特性によって決まる厚さを有する単分子815層が形成される。単分子層815の厚さの増加により、ギャップ幅が(初期ギャップ幅gから)新たな実効ギャップ幅(g)まで低減する。リガンド構造(特に骨格)、ならびに単分子層815の詰め込みおよび欠陥密度の調整により、単分子層815の厚さを調整することができ、したがって実効ギャップ(g)を調整することができる。実効ギャップ(g)は、粒子がアレイ320を通って流れるときに遭遇する新たな物理的ギャップ・サイズであり、ピラーの物理的バリアに単分子層の付加立体バリアを加えた組合せから形成される。実効ギャップは一般に、粒子分別の実施条件のもとでの単分子層の構造、機構、および動的特性に依存する近似値である。図8Dは、反応部位(X)がリガンド810に付着し、それによってピラー314の直径が拡大している拡大図830を示す。
分別アレイのさらなる改善および改良は、図9の概略図に示されるように、流体または分別すべき粒子あるいはその両方と特定の相互作用をするように選択することが可能であるリガンドの末端基(A)によって導入することができる。粒子がピラー・アレイ320を通って流れるとき、単分子層の915の末端基との相互作用により、付着力が増大し、ピラー314のピラー壁に一時的に保持されることになる。これらの相互作用により、粒子の流れが遅くなるとともに、粒子が概してピラーの壁により多く位置するようになり、したがって、それがサンプリングする流れ場が減少する。アレイのピッチが平均流体流に対して非対称であるので、とどまり、またピラー314間を移行する粒子(粒子910など)が、アレイの臨界角に沿って効果的に移動され分別される。1つの例では、PEG型リガンドの端のチオール末端基を使用して、チオールで標識されたタンパク質または他の分子などの移行粒子間にジスルフィド結合を形成することができる。流体中で適切な触媒剤と組み合わせた状態で、タンパク質(粒子910など)がアレイ320を通って流れるときに、それがピラー314とジスルフィド結合を形成して、その流れを一時的に抑えることができる。別の例では、ペプチド核酸(PNA)などの化学的に安定した核酸の小さなセグメントをピラー壁に付着させて、可逆的塩基対合によってDNAまたはRNA分析物を選択的に遅らせ分別することができる。別の例では、親水性単分子層内に埋め込まれた疎水性リガンドのパッチをピラーの上に導入することができ、1つのこのような対は脂肪族炭化水素リガンドとPEGである。疎水性パッチをタンパク質上の疎水性領域と相互作用するように使用して、タンパク質を溶液から選択的に分別することができる。
図9Aは、本発明の一実施形態による、化学的に修飾された分別アレイ中の粒子流の実例を、表面単分子層915に対する親和性がない粒子905、および単分子層915と相互作用する粒子910を用いて提示する。親和性がない粒子905は、アレイ320中の流線をたどり(すなわち、ジグザグ・モードを示す)、ピラー314との強い相互作用を全く受けない。これらの粒子905の軌道は概して影響を受けず、粒子はアレイ320内で分別されずに流れる。たとえば、粒子905は出口940に流れ込む。しかし、表面の分子による物理化学的親和性を持つ粒子910は、ピラー314の表面の単分子層915の分子との相互作用を受ける。この相互作用により、これらの粒子910がピラー314の表面に一時的に結合し、また粒子910が概してピラー314のピラー壁により近接してとどまることになる。いくつかの連続した結合と分離の事象を経て、粒子910はピラー314の方向に沿って移送され(すなわち、臨界角αの方向に衝突モードを示し)、化学的親和性によりアレイ320によって分別される。粒子910は、出口945の中へ分別される。図9Bは、本発明の一実施形態による、ナノピラー314、単分子層915、および親和性を持つ粒子910の断面の拡大図である。
ピラー・アレイ320を化学的に修飾するために、リガンドを化学気相成長(CVD)または湿式化学作用あるいはその両方に導入することができる。金属を付けるために、CVD、スパッタリング、または湿式化学作用あるいはこれらの組合せを利用することができる。単分子層を付加することによってピラー314を化学的に修飾する2つの詳細な例が、限定ではなく説明を目的として論じられ、湿式化学作用を用いるこれら2つの例が以下に提示される。
説明を目的として、ポリ(エチレンオキシド)(PEG)リガンド調節剤を使用してマイクロ流体デバイスを修飾する例示的方法が以下に提示される。クロロシランにさらされるすべてのガラス器は、水酸化ナトリウムで飽和したイソプロパノール槽内で少なくとも24時間まず洗浄し、次に、脱イオン水で完全にすすぎ、140℃で12時間、オーブン内で乾燥させる。
100mL丸底フラスコを140℃オーブンから取り出し、セプタムで素早く封止する。窒素ガス・パージを、針を使用してセプタムを通して開始し、フラスコを10分間パージさせる。30mLの無水トルエンをフラスコの中にカニューレを介して移す。シリンジを介して、600μLのn−オクチルデシルトリクロロシランを注入して49mM溶液を作る。フラスコを一瞬ボルテックスして、試薬を均一に混合する。これにより不動態化溶液が作られる。500mL反応器および3ネック・ヘッドを140℃オーブンから取り出し、次に、各入口をセプタムで閉じて、素早く一緒に封止する。窒素ガス・パージを、針を使用してセプタムを通して開始し、フラスコを10分間パージさせる。カニューレを介して、100mLフラスコ内の20mLの不動態化溶液を反応器に移す。反応器を静かに揺さぶって、不動態化溶液を反応器の壁のまわりに十分に動かす。同じことを100mLフラスコについて、残っている不動態化溶液を使用して行う。この静かに揺さぶることを10〜15分ごとに1時間繰り返す。揺さぶりと揺さぶりの間は、ガラス器を周囲温度で放置しておく。この手順は、さらなるシリル化(silizanizaiton)に対してガラス器の壁を不動態化するためのものである。次に、不動態化溶液を反応器からあけ、反応器をトルエン、アセトン、イソプロパノールおよび脱イオン水で、順にそれぞれ3回洗浄する。同じことを100mLフラスコについて行う。次に、ガラス器を140℃オーブンに戻し、12〜14時間乾燥させる。
100mL丸底フラスコを140℃オーブンから取り出し、セプタムで素早く封止する。窒素ガス・パージを、針を使用してセプタムを通して開始し、フラスコを30分間パージさせる。100mLの無水トルエンをフラスコの中にカニューレを介して移す。シリンジを介して100μLの2−(メトキシポリ(エチレンオキシ)6-9プロピル)ジメチルクロロシランを注入して、およそ2mMの溶液を作る。フラスコを一瞬ボルテックスして、試薬を均一に混合する。この修飾溶液は、それを調製した日のうちに使用する。
シリカ/シリコン・ベースのマイクロ流体デバイス(チップ)は、酸素プラズマ中で30分間洗浄して有機表面汚染物を除去する。次に、チップを0.1M硝酸水溶液に10分間移して、シラノールとのいかなる表面シロキサン結合も加水分解する。次にチップを、スクイズ・ボトル流を使用して、脱イオン水、アセトン、エタノール、次にイソプロパノールで順に洗浄する。次に、チップを新しいテックスワイプの上に上向きに置き、溶剤をチップの中部から外側に押し出しながら、窒素ガス流を使用してすぐに乾燥させる。次に、チップをカスタムのガラス・ホルダの上に置く(以下で説明するように、ガラス・ホルダはチップを反応器の内部で水平/上向きに固定する)。
500mL反応器および3ネック・ヘッドを140℃オーブンから取り出す。撹拌ビーズをガラス・ホルダおよびチップと一緒に反応器の中に入れ、各入口をセプタムで閉じて、素早く一緒に封止する。窒素ガス・パージを、針を使用してセプタムを通して開始し、反応器を30分間パージさせる。
カニューレを介して修飾溶液(リガンドを有する)を反応フラスコの中へ、溶液レベルがチップを越えるまで移す。次に、バブラを使用して窒素陽圧を保つ。反応は周囲温度で、撹拌しながら2時間進行させる。次に、反応器を開放し、チップを(1つずつ)、スクイズ・ボトル流を使用してトルエン、アセトン、イソプロパノール、次に脱イオン水で順にすすぐことによって清浄にする。次に、チップを新しいテックスワイプの上に上向きに置き、溶剤をチップの中部から外側に押し出しながら、窒素ガス流を使用してすぐに乾燥させる。次に、チップをセプタム付きガラス保持ジャーの中に置く。窒素ガス・パージを、針を使用してセプタムを通して開始し、反応器を一晩(およそ12〜14時間)乾燥させる。
ここで小見出しの使用を終える。図11は、一実施形態による、ピラー・アレイ320を有するチップ1100(流体デバイス)を表す。チップ1100は、異なるサイズの粒子(すなわち、生物学的エンティティ)を含む、分別すべき流体を受け入れる入口1105を有する。入口1105は、ナノピラー・アレイ320のまわりの壁の開口または孔でも、流体(たとえば、水、電解液、有機溶剤など)および粒子(たとえば、生物学的エンティティ)が通り抜けて流れることができる、ナノピラー・アレイ320の幅に及ぶものでもよい。臨界寸法よりも大きいサイズを有する粒子は、臨界角の方向にピラー・アレイ320を通って衝突し(すなわち、衝突モード)、臨界寸法よりも大きいこれらの粒子は出口940で集められる。臨界寸法は、ナノアレイ320中をジグザグに進むには大きすぎるDNAなどの、丸形粒子のサイズ(たとえば、直径)または連鎖構造の持続長あるいはその両方である。臨界寸法よりもサイズが小さい粒子は、ピラー・アレイ320中を流体流の方向にジグザグに進み(すなわち、ジグザグ・モード)、これら小さい方の粒子は出口945に集められる。臨界寸法よりもサイズが小さい粒子は流体流の方向をたどり、分別されて出口945を通る。1つの例では、ピラー314は、ギャップ・サイズをさらに低減すること、または化学修飾に対する親和性を持つ粒子を分別すること、あるいはその両方ができる本明細書で論じた化学修飾を有することができる。出口940および945は、分別後に分別粒子が通り抜けて流れ、それをビンの中に集めることができる開口とすることができる。
図12は、本発明の一実施形態による、流体装置1100(たとえば、チップ1100)を提供する方法1200である。上で論じた図1〜図11を参照することができる。ブロック1205で、入口1105が、流体を受け入れるように構成される。ブロック1210で、出口(たとえば、出口940、945)が、流体が出るように構成される。ブロック1215で、ナノピラー・アレイ320が入口および出口と結合され、ナノピラー・アレイ320が、流体が入口から出口まで流れることができるように構成される。
ブロック1220で、ナノピラー・アレイ320は、生物学的エンティティ(粒子)をサイズによって分離するように配置したナノピラー314を含む。ブロック1225で、ナノピラー314は、ギャップGが一方のナノピラー314を他方のナノピラー314から分離するように配置され、ギャップは、ナノスケール範囲(たとえば、100nm未満)になるように構築される。
一方のナノピラーは、他方のナノピラーのそばに、ギャップGが中間にくるようにある。一方のナノピラーと他方のナノピラーの間のギャップは、一方のナノピラーと他方のナノピラーの垂直軸に沿って均一である(たとえば、図10Bに示されるギャップG5など)。
ナノピラー・アレイは、ナノピラーに施した酸化物層316を含み、酸化物層316によりギャップは、一方のナノピラーと他方のナノピラーの垂直軸に沿って均一になる(たとえば、ギャップG5は、図10Bの2つのナノピラー314の上下の間隔が均一である)。
酸化物層316により、ギャップ(たとえば、ギャップG5)のサイズが約20ナノメートルにまで小さくなるが、このギャップは垂直軸(たとえば、図10Bのy軸)に沿って均一のままである。酸化物層316により、ナノピラーの直径の不均一さが(たとえば、図10Aのピラー314の直径が均一ではない)図10Bでは均一になって、ギャップは、一方のナノピラーと他方のナノピラーの垂直軸に沿って均一になる。酸化物層316の厚さが増大すると、ギャップのサイズが減少する。
ある場合には、ギャップのサイズは20〜300nmの範囲にある。別の事例では、ギャップのサイズは、分離すべき粒子の所望のサイズに応じて、100nm未満にすることができ、80nm未満にすることができ、60nm未満にすることができ、40nm未満にすることができ、30nm未満にすることができ、25nm未満にすることなどができる。たとえば、100nm粒子は、本発明の一実施形態によれば、240nmサイズのギャップで分別/分離することができる。
単分子層(たとえば、図7A、図7Bの単分子層、図8Bの単分子層815、または図9Aの単分子層915あるいはこれらの組合せ)をナノピラー314に施して、ギャップのサイズを低減する。サイズが低減したギャップは、単分子層がナノピラーに施されない場合に比べて、より小さいエンティティを分離する構成になる。
図13は、本発明の一実施形態による、ナノピラー・アレイ320を形成する方法1300である。図1〜図12を参照することができる。
ブロック1305で、ハード・マスク層304が基板302上に配置される。ブロック1310で、レジスト層306が、ハード・マスク層304上にレジスト層306が配置されたナノピラー・アレイ320のパターン(レジスト・パターン308)になるように、パターニングされる。
ブロック1315で、レジスト層(レジスト・パターン308)を利用してハード・マスク層304をナノピラー・アレイ320のパターン(ハード・マスク・パターン312)になるようにパターニングし、それによりレジスト層もハード・マスク層もナノピラー・アレイ320のパターンを有するようにする。
ブロック1320で、基板302がナノピラー・アレイ320のパターンになるようにパターニングされ、それによりここでナノピラー・アレイ320が形成され、ここでレジスト層(レジスト・パターン308)およびハード・マスク層(ハード・マスク・パターン312)が除去され、ナノピラー・アレイのナノピラー314が、互いに横方向に対して第1のギャップ・サイズ(たとえば、図10Aのギャップ・サイズG1またはG2あるいはその両方)を有する。ブロック1325で、酸化物層316をナノピラー・アレイ320上に配置することによって、第1のギャップ・サイズが第2のギャップ・サイズ(たとえば、ギャップ・サイズG5)にまで低減する。
電子ビーム・リソグラフィまたはナノインプリント・リソグラフィあるいはその両方、または他のリソグラフィの形のうちの少なくとも1つによって、レジスト層をナノピラー・アレイ320のパターン(レジスト・パターン308)になるようにパターニングする。
レジスト層を利用してハード・マスク層をナノピラー・アレイのパターンになるようにパターニングすることは、反応性イオン・エッチングを実行してハード・マスクをナノピラー・アレイ320のパターン(ハード・マスク・パターン312)になるようにエッチングすることを含む。
基板302をナノピラー・アレイのパターンになるようにパターニングしてナノピラー・アレイが形成されるようにすることが、基板をナノピラー・アレイ320になるようにエッチングするための反応性イオン・エッチングを実行することを含む。
酸化物層316をナノピラー・アレイ320上に配置することによって、ギャップ・サイズ(たとえば、ギャップ・サイズG1およびG2)を第2のギャップ・サイズにまで低減することが、第2のギャップ・サイズを低減すること(たとえば、300ナノメートル未満にまで、100ナノメートル未満にまでなど)を含む。
酸化物層をナノピラー・アレイ上に配置することによって、第1のギャップ・サイズを第2のギャップ・サイズにまで低減すると、ナノピラーのそれぞれが均一な形状を有することになり、また第2のギャップ・サイズが、ナノピラーの横方向に対して、ナノピラー・アレイ全体にわたって均一になる(図10Aおよび図10Bに示すように)。酸化物層を配置することによって、第1のギャップ・サイズを第2のギャップ・サイズにまで低減する前に、ナノピラーは、ナノピラーの中央に内向きの弓形をナノスケール・レベルで有する。酸化物層を配置することによって、第1のギャップ・サイズを第2のギャップ・サイズにまで低減すると、中央の内向きの弓形を埋めることにも、ナノピラーを円筒状の形にまっすぐにすることにもなる。
本明細書で論じたように、諸実施形態は、ミクロン領域からナノメートル領域に至るまでのサイズによって分子および粒子を分離できる、ナノピラーおよびナノギャップを有するシリコン・チップを提供する。分離できる2つ以上のエンティティ(粒子)のサイズは、ナノピラー間のギャップ(すなわち、ナノギャップ)のサイズによって決まる。最新技術には、エンティティをサイズによって10〜100nmスケールで分別する技術はない。しかし、本明細書で論じた本発明の実施形態は、この範囲(10〜100nm)の中、上、および下のエンティティを分別するための機構を提供する。たとえば、本発明の実施形態では、30nm粒子を40nm粒子から分別することができる。さらに、諸実施形態は連続流生物分離を提供し、このことは、粒子分別が流体のように連続的であり、かつ(分別すべき)エンティティがナノピラー・アレイ320の1つまたは複数の入口に導入されることを意味し、連続流生物分離ナノピラー・アレイ320は、いかなるタイプのリセットも必要とせずにエンティティを連続して分別する。
たとえば、本発明の実施形態の技術を用いてチップ1100中に溶液混合物を流し、それによって、指定サイズ範囲内の粒子の連続分離を得ることができる。異種粒子溶液がチップ1100の入口に導入され、溶液流により粒子がピラー網(すなわち、ピラー・アレイ320)を通して搬送される。大きい方のサイズの粒子が、ナノピラー314のオフセットδおよびピッチλによって規定された事前設定角度(すなわち、臨界角α)により、ナノピラー314に当たって跳ね返る。こうして、大きい方の粒子の軌道は、特定のマイクロチャネル出口(たとえば、出口940)に向けられ(衝突モード)、ここで分別試料を抽出できるのに対し、小さい方の粒子は、流体流の方向と平行にナノピラー314中をジグザグに進み、この場合、小さい方の粒子は、別のマイクロチャネル(たとえば、出口945)を通ってチップ1100を出る。
本発明の実施形態の改善が、この種の連続流分離がナノメートル・スケールで機能することを可能にして、生物マーカ、生物分子、細胞以下構成要素、エキソソーム、ウイルス、イムノアッセイ、薬物スクリーニング、およびタンパク質凝集物の、Siチップ(たとえば、チップ1100など)上での効率的な分離が可能になる。本発明の実施形態は、最新技術におけるミクロン・スケールからの大幅な縮小になる。最新技術に勝る改善は、粒子をナノスケールで分別できるナノピラーのナノ加工によって実現された。本発明の実施形態はまた、この新たなスケールで、異なる流れ領域が適合し、分別方法を改善することも実証した。このスケールでは、ナノピラー間の滞流(dead flow)領域がナノピラー・サイズに対応して重要になる。これらのデッド・フロー領域が存在することが、ナノピラー壁と壁間隔によって画定された物理的ギャップ(G)よりも狭いナノピラー間の流体ギャップをもたらす。これにより、当初理論的に予測したものよりも小さい粒子サイズを分別する機能が得られる。
図14は、本発明の一実施形態による、ナノピラー・アレイ320中のピラー314の配置を表す概略上面図である。この例では、ピラー・アレイ320は複数のピラー・アレイと考えることができる。たとえば、ピラー・アレイ320は、ピラー314の対称部分/配列1405、およびピラー314の非対称部分/配列1410を含む。対称部分1405は、(実質的に)0°である臨界角を有するのに対し、非対称部分1410は、(図14のz軸に対して規定された)臨界角αを有する。
図14では、流れの向き(すなわち、流体流方向)は概して水平であり、ピラー列は、ナノピラー・アレイ320の非対称部分1410において、ある角度に傾斜している(すなわち、臨界角αを形成する)。十分に遅い流体の流速では、ピラー314間の距離(ギャップG)は、臨界角とともに、ピラー314を通り抜けてジグザグに進むことによって流れ方向に従うことができる粒子のサイズ(臨界寸法よりも小さい)と、ピラー列の角度によって変位する(衝突する)粒子のサイズ(臨界寸法以上)とを規定する。ある場合には、遅い流速は、500μm/sよりも遅い流れに相当し得る。
ピラー314は、ある直径、ピラー・ピッチλ、ギャップ(G)、および列間シフト(δ)を有する。列間シフト(δ)は、対称部分1405には列間シフトがないので、非対称部分1410にある。図14の例では、サイズが異なる2つの例示的な粒子が、ピラー・アレイ320を通り抜けて進んでいる。大きい方の粒子1450が、ピラー角(すなわち、臨界角α)に応じて、アレイ320全体にわたって変位する(破線で示す)のに対し、小さい方の粒子1455は、アレイ320を通る決定論的流れ(実線)に従って、ピラー314を通り抜けてジグザグに進む。
図15は、一実施形態による、現在2つの入口があり、サイズの異なる粒子がナノピラー・アレイ320中を横断するチップ1100の概略図である。大きい方の粒子1450が破線に沿って、ピラー角(臨界角)に応じてアレイ320全体にわたり変位するのに対し、小さい方の粒子1455は、ピラー314を通り抜けてジグザグに進むことによって、アレイを通る決定論的流れに従う。大きいナノ粒子1450および小さい方のナノ粒子1455は、別々のマイクロ流体チャネルを通ってアレイを出る。たとえば、大きいナノ粒子1450(たとえば、臨界寸法以上)は、出口940を通ってアレイを出るのに対し、小さいナノ粒子1455(たとえば、臨界寸法未満)は、出口945を通ってアレイを出る。この例では、緩衝溶液であり得る流体は、入口1105としてのバッファから導入することができる。緩衝溶液(pH緩衝液または水素イオン緩衝液とも呼ばれる)は、弱い酸とその共役塩基、またはその逆の混合物からなる水溶液である。分別すべきナノ粒子1450および1455を含む試料は、同一の入口1510から導入される。2つの粒子しか示されていないが、同じ分別プロセスが、試料入口1510に導入されるサイズの異なる多数の粒子に適用される。
図16A、図16B、図16C、および図16Dは、本発明の一実施形態による、ナノ・ビーズの2つの集団にナノピラー・アレイ320を通過させた実験結果を表す。図16Aおよび図16Bは直径70nmビーズの集団に対応し、図16Cおよび図16Dは直径50nmビーズの集団に対応する。図16Aおよび図16Cでは、それぞれのビーズの軌道が蛍光顕微鏡のビデオ・カメラで記録されている。この例では、ナノピラー314間のギャップ・サイズ(G)は210nmである。
図16Aは、5.7°臨界角アレイ(320)内で変位している70nmビーズの粒子軌道の画像である。図16Aは、直径70nmビーズのビーズ軌道に、流れ方向に対する角度が付いていることを示す。70nmビーズについて観察された平均軌道角は、5.7°の角度である。3つの70nm粒子の印付き軌道が示されている。流れ方向(すなわち、流体流方向)と粒子軌道の間の角度は、粒子がアレイ320内で衝突している度合いを示す。これら70nm粒子については、速度の関数としての軌道角度のグラフが、図16Bでは臨界角に近い正の値を示している。言い換えると、図16Bでグラフ化された70nmビーズの平均軌道角はおよそ5.7°であり、これは、実験におけるアレイ320の5.7°臨界角で予測されるものである。
図16Cは、同じアレイ320内で分別された直径50nmビーズの粒子軌道の画像である。同じ条件下で(5.7°臨界角を有する同じナノピラー・アレイ320を含めて)、50nmビーズがアレイ320内に導入され、その軌道が図16Cに記録されている。これら50nm粒子はアレイ320内で変位せず(すなわち、衝突モードではない)、このことは図16Dの軌道角と速度のグラフで確認される。図16Dのグラフは、対称に近い軌道角の分布を0°のまわりに示す(すなわち、アレイ320の流れ軸に一致して)。また、図16Cは、50nmビーズがたどる平均軌道角が0°に近いことを示す。
図16A、図16B、図16C、および図16Dで分かるように、ナノピラー・アレイ320は、70nmビーズを第2の方向にピラー・アレイ320の臨界角に沿って出力しながら、50nmビーズを第1の方向に流れ軸に沿って出力することによって、50nmビーズを70nmビーズから分別するように構成される。
図17は、本発明の一実施形態によるナノピラー・アレイ320を利用して、あるサイズの粒子を別のサイズの粒子から分離するためのおおよそのギャップ・サイズの例示的なデータを示す表である。図17の表の例示的なデータは、限定ではなく説明を目的とするものであることに留意されたい。ナノピラー間のギャップ・サイズ(G)が横に列記され、粒子直径が縦に列記されている。各実験の結果が、変位なし、部分的変位、または変位100%として示されている。変位100%とは、アレイ上の粒子の軌道角が設定ピラー臨界角と同じである、またはこの角度の15%以内であることを意味する。部分的変位は、ナノピラー臨界角の15%から85%の範囲にある粒子軌道に相当する。変位なしは、粒子の軌道角がナノピラー・アレイ臨界角の15%未満であるすべての実験結果である。
1つの実施態様では、本発明の実施形態は、ピラー間の、100nm未満の領域の寸法を有する、均一なギャップがある製造可能な(シリコン)ピラー・アレイ320に依拠する。これらのアレイ320は、DNA、RNA、エキソソーム、個々のタンパク質、およびタンパク質複合体などの、これらの寸法の生物学的エンティティを分別および分離するためのものである。本発明の実施形態によれば、均一なギャップ・サイズを利用して、たとえば20nm粒子を10nm粒子から分別するための効率的な分別が得られる。これは特に、分別すべき粒子の寸法よりも大きい固有変化があり得る100nm未満の領域のギャップでは、困難である。これは通常は、このスケールにおける不均一なナノパターニング、および反応性イオン・エッチング(RIE)プロセスによるサイズおよび形状のフィーチャ変化が原因となる。最新技術において見出される実証済み分別ピラー・ギャップは、ミクロン範囲の寸法を有し、したがって、このスケールの細さの近くでさえも分別することができない。
したがって、ナノメートル領域において不変のギャップが、たとえばタンパク質凝集物を分別するには必要になる。個々のタンパク質(たとえば、1〜10nmのサイズ範囲)の分別は従来、イオン交換クロマトグラフィまたはゲル電気泳動法を使用して行われているが、これらは、連続流ではなくロード・アンド・ラン技法であり、したがって非常に遅い。しかし、本発明の実施形態は連続流分離プロセスおよび機構を提供し、これは、イオン交換クロマトグラフィまたはゲル電気泳動を必要とせずに、1〜10nmの範囲の個々のタンパク質(または他の粒子)を分別するように構成される。
図18は、本発明の一実施形態による、エンティティを分別する方法1800である。図1〜図17を参照することができる。
ブロック1805で、エンティティがナノピラー・アレイ320に導入され、このエンティティは第1の集団および第2の集団を含む。ナノピラー・アレイ320は、ギャップが一方を他方から分離するように配置されたナノピラー314を含み、このナノピラーは、あるアレイ角を流体流方向に対して有するように整列される。
ブロック1810で、既定の臨界サイズ未満のエンティティの第1の集団を第1の方向に(たとえば、出口945に向けて)移送することによって、また少なくとも既定のサイズのエンティティの第2の集団を第1の方向とは異なる第2の方向に(たとえば、出口940に向けて)移送することによって、エンティティがナノピラー・アレイ320に通されて分別される。
ブロック1815で、100nm未満のサイズを有するエンティティを分別するために、ナノピラー・アレイ320が、300ナノメートル未満または100ナノメートル未満のギャップ・サイズを有するギャップを使用するように構成される。
エンティティが7ナノメートル以上のナノメートル・サイズを有する場合、ナノピラー・アレイは、それに応じて7ナノメートル以上のナノメートル・サイズを有するエンティティを分別するように構成される。エンティティが7ナノメートル以上のナノメートル・サイズを有する場合、ギャップ・サイズは、それに応じて7ナノメートル以上のナノメートル・サイズを有するエンティティを分別するように構成される。
ギャップ・サイズの下限は約20ナノメートルとすることができる。ナノピラー・アレイ320に施される酸化物層316の厚さにより、ギャップのギャップ・サイズは約20ナノメートルになるとともに、ギャップは均一のままである。言い換えると、ギャップは、任意の2つのナノピラー314間の垂直軸(たとえば、y軸)に沿って均一であり(すなわち、ギャップ変化なし)、またギャップのそれぞれが、ナノピラー・アレイ320全体にわたって同じギャップ・サイズを有する。
ギャップのギャップ・サイズは、少なくとも既定のサイズのエンティティの第2の集団を第2の方向に分別しながら、既定の臨界サイズ未満のエンティティの第1の集団を第1の方向に分別するように調整される。ギャップ・サイズを調整するのは、ナノピラー・アレイ320に施される酸化物層316の厚さに基づく。ギャップ・サイズをさらに調整するのは、化学修飾によってナノピラーに施される単分子層に基づき得る(たとえば、図7Aで付けられた金属なしで、または図7Bで付けられた金属ありで、あるいはその両方で)。化学修飾により、単分子層(たとえば、単分子層815、915など)をナノピラー314上に、第1の集団が単分子層に対し親和性を持ち、第2の集団が単分子層に対し親和性を持たないように形成する。単分子層に対し親和性を持つことにより、エンティティの第1の集団(たとえば、エンティティ910など)が、第1の方向に(たとえば、出口945に)移送されるように導かれる。単分子層に対し親和性を持たないことにより、第2の集団(たとえば、エンティティ905など)が、出口940に至るまで第2の方向に衝突することが可能になる。ある場合には、両方のエンティティ905と910をほぼ同じサイズとすることができ、エンティティ910の親和性によりエンティティ910が出口945に向かって進むことになる。エンティティには、生物マーカ、生物分子、細胞以下構成要素、エキソソーム、ウイルス、イムノアッセイ、またはタンパク質凝集物あるいはこれらの組合せのうちの少なくとも1つが含まれる。
エキソソームはますます重要な科学になっているが、最新技術のアレイによって分別するには、たとえば30〜100nmと、小さすぎる。現在エキソソームは、あらゆる体液中に存在すると考えられており、細胞シグナル伝達についての新しい考え方を提示する。これらの小さい細胞外小胞は、多数の生物学的機能において役割を果たすと考えられている。たとえば、エキソソームは、DNA、RNA、タンパク質などを含み移送することができるメッセージ伝達系および制御系である。ナノピラー・アレイ320では、ギャップ・サイズは、1つのサイズのエキソソームをより大きいサイズのエキソソームから分別するために、またはより小さいエキソソームを異なる(より大きい)粒子から分別するために、あるいはその両方のために、酸化物層316によって狭くすることができる。加えて、エキソソームは、特定のリガンドに対して特別な親和性(すなわち、引力)を有する。たとえば、[6-(ピレン−2−イル)オクチル]シランまたは3−[(8−シリルオクチル)オキシ]コレステロールなどの、脂質膜統合リガンドからなる単分子層815、915をピラー314に施してエキソソームを第1の方向に向けることが、別の粒子を、別の粒子は特別な親和性を持たないので第2の方向に向けながら、可能である。したがって、ある場合に別の粒子がエキソソームと同じ(または類似の)サイズを有するとしても、エキソソームはやはり、特定のリガンドに対するその特別な親和性の故に、分別することができる。エキソソームに対する特別な親和性を有する特定のリガンドが説明を目的として論じられているが、エキソソームに対する特別な親和性を有する特定のリガンドはこれらの例に限定されないことを理解されたい。
図19は、本発明の一実施形態による、エンティティを分別する方法1900である。図1〜図18を参照することができる。
ブロック1905で、分別すべきエンティティがナノピラー・アレイ320に導入され(たとえば、入口1105または入口1510あるいはその両方を介して)、このエンティティは第1の集団および第2の集団を含む。ナノピラー・アレイ320は、ギャップGが一方を他方から分離するように配置されたナノピラー314を含み、このナノピラーは、あるアレイ角(たとえば、臨界角)を流体流方向に対して有するように整列される。
ブロック1910で、ナノピラー・アレイ320は、エンティティの第1の集団は第1の方向に出力され、エンティティの第2の集団は第1の方向とは異なる第2の方向に出力されるように、出口(収集トレイまたは収集ビンにそれぞれ装着/結合することができる出口940または945あるいはその両方など)で、分別されることに基づいてエンティティを受けるように構成される。
ブロック1915で、ギャップGのギャップ・サイズが、第1の集団を第1の方向に、第2の集団を第2の方向に分別するように調整され、ギャップ・サイズは、ナノピラー・アレイ320上に配置された酸化物層316の厚さ、またはギャップへの化学修飾(図7〜図9など)の少なくとも一方あるいは両方により調整される。
ギャップ・サイズが酸化物層316によって調整される場合、酸化物層316はギャップ・サイズを第1の寸法にまで低減する。ギャップ・サイズが化学修飾によって調整される場合、化学修飾はギャップ・サイズを第2の寸法にまでさらに低減し、この第2の寸法は第1の寸法よりも小さい。
第1の寸法は、ギャップ・サイズを約20ナノメートルにまで低減する酸化物層316に対応するとともに、ギャップは均一のままである。第2の寸法は、ギャップ・サイズを20ナノメートル未満にまでさらに低減する(たとえば、酸化物層316が堆積された後に)化学修飾(たとえば、リガンドの付着)に対応する。第2の寸法に対し、化学修飾はギャップ・サイズを18、16、14、12、または10ナノメートルにまで低減することができる。ある場合には、化学修飾により、ギャップ・サイズを第2の寸法として10ナノメートル未満にまで低減することができる。別の場合には、化学修飾(より長いリガンドを使用する)により、ギャップ・サイズを第2の寸法として8、6、4、または2ナノメートルにまで低減することができる。望まれる場合、化学修飾によりギャップ・サイズを第2の寸法として2ナノメートル未満にまで低減することによって、ギャップをほとんど閉鎖することができる。
ギャップ・サイズが化学修飾によって調整される場合、化学修飾によりギャップ・サイズを第1の寸法にまで低減する。酸化物層316が施されない場合でも、化学修飾がナノピラー314に施され得ることが企図されている。
化学修飾では単分子層をナノピラー上に、第1の集団が単分子層に対し親和性を持ち、第2の集団が単分子層に対し親和性を持たないように形成する。単分子層に対し親和性を持つことにより、エンティティの第1の集団を第1の方向に出力されるように導く。エンティティには、生物マーカ、生物分子、細胞以下構成要素、エキソソーム、ウイルス、イムノアッセイ、またはタンパク質凝集物あるいはこれらの組合せのうちの少なくとも1つが含まれる。
図20は、本発明の一実施形態による、エンティティを分別する方法2000である。図1〜図19を参照することができる。
ブロック2005で、エンティティがナノピラー・アレイ320に導入され、このエンティティは第1の集団および第2の集団を含む。ナノピラー・アレイ320は、整列配置のナノピラー314を含む。ナノピラーは化学修飾を有する。化学修飾についての様々な説明が、図7〜図9に論じられている。
ブロック2010で、出力部(たとえば、出口940および945)は、エンティティの第1の集団が、化学修飾に対する親和性を持つ第1の集団に基づいて第1の方向(たとえば、図9Aの出口945)に出力され、エンティティの第2の集団が第1の方向とは異なる第2の方向(たとえば、図9Aの出力940)に出力されるように、分別後にエンティティを受ける。また、1つまたは複数の出口(出口940および945)に入る/を通る、今はもう分離された分別エンティティを受ける操作者がいることもある。操作者は、別個の収集装置を利用または装着して、収集エンティティを別々に受け保持することができる。
第2の集団は、図9Aのエンティティ905のように、化学修飾に対する親和性を持たない。化学修飾に対する親和性を持たない第2の集団によって、第2の集団が第2の方向(たとえば、出力出口940)に出力される。
堆積は、材料をウェハの上に成長させる、コーティングする、または別の方法で移す任意のプロセスである。利用可能な技術には、それだけには限らないが、熱酸化、物理的気相成長法(PVD)、化学的気相成長法(CVD)、電気化学堆積(ECD)、分子ビーム・エピキタシ(MBE)、またより最近には、原子層堆積(ALD)がとりわけ含まれる。
除去は、ウェハから材料を除去する任意のプロセスであり、例としては、エッチング処理(湿式または乾式)、および化学的機械的平坦化(CMP)などが含まれる。
パターニングは、堆積した材料の整形または変更であり、一般にリソグラフィと呼ばれる。たとえば、従来のリソグラフィでは、ウェハがフォトレジストと呼ばれる化学物質でコーティングされ、次に、ステッパと呼ばれる機械がマスクの焦点を合わせ、マスクを位置合わせし、動かして、下のウェハの選択部を短波長光で露光し、露光された領域が現像液で洗い流される。エッチングまたは他の処理後、残っているフォトレジストが除去される。パターニングにはまた、電子ビーム・リソグラフィ、ナノインプリント・リソグラフィ、および反応性イオン・エッチングも含まれる。
図中の流れ図およびブロック図は、本発明の様々な実施形態による方法の実現可能な実施態様の機能および動作を示す。いくつかの代替実施態様では、ブロック中に示された機能が、図に示された順序から外れて行われ得る。たとえば、連続して示された2つのブロックが、実際には実質的に同時に実行されることがあり、あるいは各ブロックが、含まれる機能に応じて、場合により逆の順序で実行されることがある。

Claims (14)

  1. 分別の方法であって、
    第1の集団および第2の集団を含むエンティティをナノピラー・アレイに導入することであって、前記ナノピラー・アレイが、前記第1の集団および第2の集団の一方を他方から分離するギャップを有するように配置されたナノピラーを含み、前記ナノピラーが、あるアレイ角を流体流方向に対して有するように整列されている、導入することと、
    前記エンティティの前記第1の集団が第1の方向に出力され、前記エンティティの前記第2の集団が前記第1の方向とは異なる第2の方向に出力されるように、前記エンティティを、分別されることに基づいて受けることと、を含み、
    前記ギャップのギャップ・サイズが、前記第1の集団を前記第1の方向に、また前記第2の集団を前記第2の方向に分別するように調整され、前記ギャップ・サイズが、前記ナノピラー・アレイの前記ナノピラーに配置された酸化物層の厚さにより調整され、
    前記ナノピラーの上部および底部よりも細い中心部が、前記ナノピラーに配置された前記酸化物層で埋められていて、前記ギャップの前記ギャップ・サイズが、前記上部から前記底部まで均一である、
    方法。
  2. 前記ナノピラーが化学修飾を有し、前記ギャップ・サイズが、前記化学修飾によって調整される、請求項1に記載の方法。
  3. 前記ギャップ・サイズが前記酸化物層によって調整される場合、前記酸化物層が前記ギャップ・サイズを第1の寸法にまで低減する、請求項2に記載の方法。
  4. 前記ギャップ・サイズが前記化学修飾によって調整される場合、前記化学修飾により前記ギャップ・サイズを第2の寸法にまでさらに低減し、
    前記第2の寸法が前記第1の寸法よりも小さい、請求項3に記載の方法。
  5. 前記第1の寸法が、前記ギャップ・サイズを20ナノメートルにまで低減する前記酸化物層に対応するとともに、前記ギャップは均一のままである、請求項3に記載の方法。
  6. 前記第2の寸法が、前記ギャップ・サイズを20ナノメートル未満にまで低減する前記化学修飾に対応する、請求項4に記載の方法。
  7. 前記ギャップ・サイズが前記化学修飾によって調整される場合、前記化学修飾により前記ギャップ・サイズを第1の寸法にまで低減する、請求項2に記載の方法。
  8. 前記化学修飾により単分子層を前記ナノピラー上に、前記第1の集団が前記単分子層に対する親和性を持ち、前記第2の集団が前記単分子層に対する親和性を持たないように形成し、
    前記単分子層に対する前記親和性を持つことにより、前記エンティティの前記第1の集団を前記第1の方向に出力されるように導く、請求項7に記載の方法。
  9. 前記エンティティに、生物マーカ、生物分子、細胞以下構成要素、エキソソーム、ウイルス、イムノアッセイ、およびタンパク質凝集物のうちの少なくとも1つが含まれる、請求項1または2に記載の方法。
  10. 分別の方法であって、
    第1の集団および第2の集団を含むエンティティをナノピラー・アレイに導入することであって、前記ナノピラー・アレイが、あるアレイ角を流体流方向に対して有するように整列配置されたナノピラーを含み、前記ナノピラーが化学修飾を有する、導入することと、
    分別後に前記エンティティを受けることであって、前記エンティティの前記第1の集団が、前記第1の集団が前記化学修飾に対する親和性を持つことに基づいて第1の方向に出力され、前記エンティティの前記第2の集団が前記第1の方向とは異なる第2の方向に出力される、受けることと、
    を含
    前記ナノピラーの上部および底部よりも細い中心部が、前記ナノピラーに配置された酸化物層で埋められていて、前記ナノピラー間のギャップのギャップ・サイズが、前記上部から前記底部まで均一である、
    方法。
  11. 前記化学修飾が、前記ナノピラーに付着したリガンドであり、前記リガンドが、前記ナノピラーに付着する結合基、いくつかのスペーサ分子からなる骨格、前記エンティティと相互作用する末端基を含む、請求項10に記載の方法。
  12. 前記第2の集団が前記化学修飾に対する前記親和性を持たない、請求項10または11に記載の方法。
  13. 前記化学修飾に対する前記親和性を前記第2の集団が持たないことによって、前記第2の集団が前記第2の方向に出力される、請求項1に記載の方法。
  14. 前記エンティティに、生物マーカ、生物分子、細胞以下構成要素、エキソソーム、ウイルス、イムノアッセイ、およびタンパク質凝集物のうちの少なくとも1つが含まれる、請求項10または11に記載の方法。
JP2017526888A 2014-11-26 2015-11-23 ナノピラー・アレイを使用してエンティティを分別する方法 Active JP6744304B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201462084647P 2014-11-26 2014-11-26
US62/084,647 2014-11-26
US14/697,072 2015-04-27
US14/697,072 US10058895B2 (en) 2014-11-26 2015-04-27 Continuous flow, size-based separation of entities down to the nanometer scale using nanopillar arrays
US14/749,309 US9975147B2 (en) 2014-11-26 2015-06-24 Continuous flow, size-based separation of entities down to the nanometer scale using nanopillar arrays
US14/749,309 2015-06-24
PCT/IB2015/059033 WO2016083975A1 (en) 2014-11-26 2015-11-23 Continuous flow, size-based separation of entities down to the nanometer scale using nanopillar arrays

Publications (2)

Publication Number Publication Date
JP2017536824A JP2017536824A (ja) 2017-12-14
JP6744304B2 true JP6744304B2 (ja) 2020-08-19

Family

ID=56009280

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017526888A Active JP6744304B2 (ja) 2014-11-26 2015-11-23 ナノピラー・アレイを使用してエンティティを分別する方法

Country Status (6)

Country Link
US (2) US10058895B2 (ja)
JP (1) JP6744304B2 (ja)
CN (1) CN107075435B (ja)
DE (1) DE112015005304B4 (ja)
GB (1) GB2549627B (ja)
WO (1) WO2016083975A1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11009464B2 (en) * 2015-12-11 2021-05-18 International Business Machines Corporation Smartphone compatible on-chip biodetection using integrated optical component and microfluidic channel with nanopillar array
US9559240B1 (en) * 2015-12-17 2017-01-31 International Business Machines Corporation Nano-pillar-based biosensing device
US10386276B2 (en) 2016-09-20 2019-08-20 International Business Machines Corporation Phosphoprotein detection using a chip-based pillar array
US10253350B2 (en) 2016-09-20 2019-04-09 International Business Machines Corporation Separation of molecules using nanopillar arrays
US10010883B2 (en) 2016-09-20 2018-07-03 International Business Machines Corporation Deterministic lateral displacement arrays
US10471425B2 (en) * 2017-02-16 2019-11-12 International Business Machines Corporation Automated machine for sorting of biological fluids
US11161281B2 (en) 2017-12-22 2021-11-02 International Business Machines Corporation Structure and method for monitoring directed self-assembly pattern formation
US10830724B2 (en) 2017-12-22 2020-11-10 International Business Machines Corporation Micro-capacitance sensor array containing spaced apart first and second overlapping and parallel electrode plates for sensing analytes
US10946380B2 (en) * 2018-01-19 2021-03-16 International Business Machines Corporation Microfluidic chips for particle purification and fractionation
US11458474B2 (en) 2018-01-19 2022-10-04 International Business Machines Corporation Microfluidic chips with one or more vias
US20190226953A1 (en) 2018-01-19 2019-07-25 International Business Machines Corporation Microscale and mesoscale condenser devices
US11185861B2 (en) 2018-06-13 2021-11-30 International Business Machines Corporation Multistage deterministic lateral displacement device for particle separation
KR102083845B1 (ko) * 2018-07-31 2020-03-03 광주과학기술원 혈액 진단 소자
US11192101B2 (en) 2018-08-28 2021-12-07 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap filling materials
US11084032B2 (en) 2018-08-28 2021-08-10 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap fill and spin-on glass tone inversion
KR102401909B1 (ko) * 2018-08-30 2022-05-24 주식회사 엘지화학 반응 최적화를 위한 고속 스크리닝 분석 시스템
US11033901B2 (en) 2018-10-23 2021-06-15 International Business Machines Corporation Biomarker detection using integrated purification-detection devices
US10685906B2 (en) * 2018-11-13 2020-06-16 International Business Machines Corporation Electrically conductive deterministic lateral displacement array in a semiconductor device
CN112044479A (zh) * 2019-06-05 2020-12-08 曦医生技股份有限公司 微流道装置
KR20220019824A (ko) * 2019-06-18 2022-02-17 어플라이드 머티어리얼스, 인코포레이티드 편평한 광 디바이스들을 위한 공극 캡슐화된 유전체 나노기둥들
US11255769B2 (en) * 2019-08-01 2022-02-22 International Business Machines Corporation Up-concentration and size sorting of nanoparticles in microfluidic devices
US11266989B2 (en) 2019-08-07 2022-03-08 International Business Machines Corporation Immunodetection and separation on nanoDLD
US11565262B2 (en) 2020-03-10 2023-01-31 International Business Machines Corporation Continous band-pass filter size separation using a negative angle DLD array
CN114433485B (zh) * 2022-04-11 2022-07-12 天津美腾科技股份有限公司 风力分选的控制方法及系统

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US583715A (en) 1897-06-01 Burial rough box
WO2002065515A2 (en) * 2001-02-14 2002-08-22 Science & Technology Corporation @ Unm Nanostructured devices for separation and analysis
US7014747B2 (en) 2001-06-20 2006-03-21 Sandia Corporation Dielectrophoretic systems without embedded electrodes
CA2396408C (en) * 2001-08-03 2006-03-28 Nec Corporation Fractionating apparatus having colonies of pillars arranged in migration passage at interval and process for fabricating pillars
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
CA2482566C (en) 2002-04-16 2010-07-20 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
EP1585583B1 (en) 2002-10-23 2010-04-07 The Trustees of Princeton University Method for continuous particle separation using obstacle arrays asymmetrically aligned to fields
JP2006087372A (ja) 2004-09-24 2006-04-06 Fuji Photo Film Co Ltd 核酸の分離精製方法を行うためのマイクロデバイス
US20070090026A1 (en) * 2005-10-06 2007-04-26 Jongyoon Han Continuous biomolecule separation in a nanofilter
US8137912B2 (en) * 2006-06-14 2012-03-20 The General Hospital Corporation Methods for the diagnosis of fetal abnormalities
WO2010006174A2 (en) * 2008-07-10 2010-01-14 Reichenbach Steven H Method and apparatus for sorting particles using asymmetrical particle shifting
WO2010011934A2 (en) 2008-07-24 2010-01-28 The Trustees Of Princeton University Bump array device having asymmetric gaps for segregation of particles
WO2010124155A1 (en) 2009-04-23 2010-10-28 Logos Energy, Inc. Lateral displacement array for microfiltration
CA2844056A1 (en) 2011-08-04 2013-02-07 Sage Science, Inc. Systems and methods for processing fluids
JP6388605B2 (ja) * 2013-02-08 2018-09-12 コーネル ユニバーシティー 生体分子処理プラットフォーム及びその使用
CN105264127B (zh) * 2013-03-15 2019-04-09 Gpb科学有限责任公司 颗粒的片上微流体处理
US10324011B2 (en) * 2013-03-15 2019-06-18 The Trustees Of Princeton University Methods and devices for high throughput purification
US20160250637A1 (en) 2013-10-25 2016-09-01 Monash University Virtual deterministic lateral displacement for particle separation using surface acoustic waves

Also Published As

Publication number Publication date
CN107075435B (zh) 2020-06-12
GB201708235D0 (en) 2017-07-05
US20160144405A1 (en) 2016-05-26
JP2017536824A (ja) 2017-12-14
DE112015005304B4 (de) 2022-02-24
US10058895B2 (en) 2018-08-28
US20160144406A1 (en) 2016-05-26
GB2549627B (en) 2021-10-13
DE112015005304T5 (de) 2017-08-24
US9975147B2 (en) 2018-05-22
CN107075435A (zh) 2017-08-18
GB2549627A (en) 2017-10-25
WO2016083975A1 (en) 2016-06-02

Similar Documents

Publication Publication Date Title
JP6744304B2 (ja) ナノピラー・アレイを使用してエンティティを分別する方法
US10393642B2 (en) Pillar array structure with uniform and high aspect ratio nanometer gaps
US9835539B2 (en) Biopolymer separation using nanostructured arrays
US11292713B2 (en) Integrated analysis device analysis techniques
US10781475B2 (en) Separation of molecules using nanopillar arrays
JP3603886B2 (ja) 分離装置およびその製造方法
US20070289943A1 (en) Block copolymer mask for defining nanometer-scale structures
US10247700B2 (en) Embedded noble metal electrodes in microfluidics
US7150812B2 (en) Method for continuous particle separation using obstacle arrays asymmetrically aligned to fields
US20060065528A1 (en) Nanostructured devices for separation and analysis
US20020125192A1 (en) Nanostructured devices for separation and analysis
EP2048497B1 (en) Column for chromatography and method for producing the same
US11648557B2 (en) Metal assisted chemical etching for fabricating high aspect ratio and straight silicon nanopillar arrays for sorting applications
US9914118B2 (en) Nanogap structure for micro/nanofluidic systems formed by sacrificial sidewalls
JP4661125B2 (ja) 成分分離素子およびその製造方法
KAJI et al. Nanopillars and Nanoballs for DNA Analysis
Andagana Junta Nanofabrication of Optofluidic Photonic Crystal Resonators for Biosensing

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200414

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200707

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200721

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200730

R150 Certificate of patent or registration of utility model

Ref document number: 6744304

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150