CN107075435B - 使用纳米柱阵列的低至纳米尺度的实体的连续流式的基于大小的分离 - Google Patents

使用纳米柱阵列的低至纳米尺度的实体的连续流式的基于大小的分离 Download PDF

Info

Publication number
CN107075435B
CN107075435B CN201580060721.4A CN201580060721A CN107075435B CN 107075435 B CN107075435 B CN 107075435B CN 201580060721 A CN201580060721 A CN 201580060721A CN 107075435 B CN107075435 B CN 107075435B
Authority
CN
China
Prior art keywords
gap
array
size
entities
population
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580060721.4A
Other languages
English (en)
Other versions
CN107075435A (zh
Inventor
Y·A·阿斯迪尔
G·A·斯托洛维特斯基
J·T·史密斯
王超
B·H·万施
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN107075435A publication Critical patent/CN107075435A/zh
Application granted granted Critical
Publication of CN107075435B publication Critical patent/CN107075435B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502753Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by bulk separation arrangements on lab-on-a-chip devices, e.g. for filtration or centrifugation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502746Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by the means for controlling flow resistance, e.g. flow controllers, baffles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B07SEPARATING SOLIDS FROM SOLIDS; SORTING
    • B07BSEPARATING SOLIDS FROM SOLIDS BY SIEVING, SCREENING, SIFTING OR BY USING GAS CURRENTS; SEPARATING BY OTHER DRY METHODS APPLICABLE TO BULK MATERIAL, e.g. LOOSE ARTICLES FIT TO BE HANDLED LIKE BULK MATERIAL
    • B07B1/00Sieving, screening, sifting, or sorting solid materials using networks, gratings, grids, or the like
    • B07B1/46Constructional details of screens in general; Cleaning or heating of screens
    • B07B1/4609Constructional details of screens in general; Cleaning or heating of screens constructional details of screening surfaces or meshes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B07SEPARATING SOLIDS FROM SOLIDS; SORTING
    • B07BSEPARATING SOLIDS FROM SOLIDS BY SIEVING, SCREENING, SIFTING OR BY USING GAS CURRENTS; SEPARATING BY OTHER DRY METHODS APPLICABLE TO BULK MATERIAL, e.g. LOOSE ARTICLES FIT TO BE HANDLED LIKE BULK MATERIAL
    • B07B13/00Grading or sorting solid materials by dry methods, not otherwise provided for; Sorting articles otherwise than by indirectly controlled devices
    • B07B13/04Grading or sorting solid materials by dry methods, not otherwise provided for; Sorting articles otherwise than by indirectly controlled devices according to size
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N30/00Investigating or analysing materials by separation into components using adsorption, absorption or similar phenomena or using ion-exchange, e.g. chromatography or field flow fractionation
    • G01N30/02Column chromatography
    • G01N30/60Construction of the column
    • G01N30/6095Micromachined or nanomachined, e.g. micro- or nanosize
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/01Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials specially adapted for biological cells, e.g. blood cells
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/02Investigating particle size or size distribution
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/02Investigating particle size or size distribution
    • G01N2015/0288Sorting the particles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Dispersion Chemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • General Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Clinical Laboratory Science (AREA)
  • Hematology (AREA)
  • Nanotechnology (AREA)
  • Engineering & Computer Science (AREA)
  • Molecular Biology (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Micromachines (AREA)
  • Separation Of Solids By Using Liquids Or Pneumatic Power (AREA)
  • Peptides Or Proteins (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

技术涉及分选实体。将实体引入纳米柱阵列中。实体包括第一群体和第二群体,并且纳米柱阵列包括布置为具有将一个纳米柱与另一个纳米柱分离的间隙的纳米柱。纳米柱被排序为相对于流体流动方向具有阵列角。通过在第一方向上输送小于预定大小的实体的第一群体以及通过在与第一方向不同的第二方向上输送至少为预定大小的实体的第二群体穿过纳米柱阵列,对实体进行分选。纳米柱阵列被配置为采用具有小于300纳米的间隙大小的间隙以分选具有亚100纳米大小的实体。

Description

使用纳米柱阵列的低至纳米尺度的实体的连续流式的基于大 小的分离
技术领域
本发明涉及实体的连续流式的基于大小的分离,以及更具体地,涉及使用纳米柱阵列结构分离实体。
背景技术
生物实体(诸如,细胞、蛋白质、脱氧核糖核酸(DNA)、核糖核酸(RNA)等等)的分离和分选对包括诊断学、治疗学、细胞生物学和蛋白质组学的大量生物医学应用非常重要。
传统上通过凝胶电泳进行用于分析目的的蛋白质和DNA/RNA分离,其中蛋白质混合物经受强电场(典型地,每厘米30伏特(V/cm))。蛋白质或者DNA/RNA以取决于它们的大小和表面电荷的速率移动穿过凝胶。由已知有毒的丙烯酰胺聚合物或者琼脂糖制备凝胶。通过用染料给蛋白质染色或者用严重致癌的溴化乙锭(ethydium bromide)给DNA/RNA染色来光学地展现电泳实验的结果。凝胶需要足够量的材料以使电泳结果可被检测,但是凝胶基质中的不良交联通常导致不确定的结果和样本的完全损失。如果凝胶基质大小不适合样本分子大小或者如果让电泳运行太久,则也损失样本。
为了分离大分子(诸如,DNA、RNA、蛋白质和它们的片段),广泛地采用凝胶电泳。凝胶电泳目前具有每年世界范围销售额大于十亿美元的市场。应用于医疗诊断的凝胶电泳代表数十亿美元市场。
与传统技术相比,硅(Si)纳米制造技术提供了纳米结构尺度的更加精确和准确控制以及定位,以及因此可以产生基于颗粒大小的可靠的颗粒分选。迄今为止,使用硅(Si)柱阵列的硅基芯片上实验室(Lab-on-a-Chip)方法已经表现出前景。然而,使用这些技术仅已展示了在微米(106或者微米(μm))范围的分选,这没有取得分选DNA、蛋白质等等需要的纳米尺寸。
发明内容
根据本发明的一个实施例,提供了用于一种分选实体的方法。将实体引入纳米柱阵列中,并且实体包括第一群体和第二群体。纳米柱阵列包括布置为具有将一个纳米柱与另一个纳米柱分离的间隙的纳米柱,并且纳米柱被排序为相对于流体流动方向具有阵列角。通过在第一方向上输送小于预定大小的实体的第一群体以及通过在与第一方向不同的第二方向上输送至少为预定大小的实体的第二群体,来通过纳米柱阵列对实体进行分选。纳米柱阵列被配置为采用具有小于300纳米的间隙大小的间隙以分选具有亚100纳米大小的实体。
根据本发明的一个实施例,提供了一种分选的方法。将实体引入纳米柱阵列中,并且实体包括第一群体和第二群体。纳米柱阵列包括布置为具有将一个纳米柱与另一个纳米柱分离的间隙的纳米柱,并且纳米柱被排序为相对于流体流动方向具有阵列角。基于分选接收实体,使得在第一方向上输出实体的第一群体以及在与第一方向不同的第二方向上输出实体的第二群体。间隙的间隙大小被调整为在第一方向上分选第一群体以及在第二方向上分选第二群体。根据纳米柱阵列上设置的氧化物层的厚度和/或对间隙的化学改性中的至少一个来调整间隙大小。
根据本发明的一个实施例,提供了一种分选的方法。将实体引入纳米柱阵列中,并且实体包括第一群体和第二群体。纳米柱阵列包括有序布置的纳米柱,以及纳米柱具有化学改性。在分选之后接收实体,使得基于第一群体对化学改性具有亲和力而在第一方向上输出实体的第一群体,以及在与第一方向不同的第二方向上输出实体的第二群体。
通过本发明的技术实现另外的特征和优点。本文对本发明的其它实施例和方面进行了详细描述并将它们看作所要求保护的发明的一部分。为了更好地理解具有优点和特征的本发明,参照下面的说明和附图。
附图说明
在本申请文件的结论部分处的权利要求中特别指出并明确地要求保护被看作本发明的主题。通过下面结合附图理解的详细说明,将明了本发明的前述特征和其它特征以及优点,在附图中:
图1是示出阵列参数的定义的确定性侧向位移(DLD)阵列的示意图。
图2A图示了中性区与微流体超材料(metamaterial)元件之间的界面处的颗粒轨迹的示意图。
图2B图示了最简单的超材料元件是相对于通道壁和主体流体流动以角+α倾斜的桩(post)的不对称阵列。
图2C图示了示出微制造的桩阵列的截面SEM图像。
图2D图示了基于颗粒大小的等效微流体双折射,其示出通过界面并从法线偏转的2.7μm红色荧光的时间追踪。
图3A至图3G图示了根据本发明实施例的纳米柱阵列制造的工艺流程的示意图,其中:
图3A图示了衬底上设置的硬掩模层;
图3B图示了在硬掩模层上设置抗蚀剂层;
图3C图示了对抗蚀剂层进行图案化;
图3D图示了对硬掩模层进行图案化;
图3E图示了将衬底蚀刻成柱阵列;
图3F图示了去除硬掩模图案的柱阵列;以及
图3G图示了在柱阵列上设置氧化物层。
图4A和图4B是用于图示根据本发明实施例的去除硬掩模之前反应性离子蚀刻的结果的相同晶片的扫描电子显微镜图像。
图4C和图4D是用于图示根据本发明实施例的去除硬掩模之后反应性离子蚀刻的结果的并行处理的晶片的扫描电子显微镜图像。
图5A和图5B是用于图示根据本发明实施例的没有热氧化物的制造的纳米柱阵列的另一个晶片的扫描电子显微镜图像。
图5C、图5D和图5E是用于图示根据实施例的在纳米柱阵列上生长热氧化物的影响的并行处理的晶片的扫描电子显微镜图像。
图6A和图6B是用于图示根据本发明实施例的从较小间隙大小开始的另一个晶片的扫描电子显微镜图像。
图6C和图6D是用于图示根据本发明实施例的初始间隙大小较小时的氧化工艺的并行处理的晶片的扫描电子显微镜图像。
图7A图示了根据本发明实施例的对柱阵列进行化学改性以形成分选阵列表面的一般化学示意图。
图7B图示了根据本发明实施例的通过对柱阵列施加金属进行化学改性以形成分选阵列表面的化学示意图。
图8A至图8D是图示根据本发明实施例的作为改变柱之间的间隙大小的手段的分选阵列的化学改性的截面视图,其中:
图8A图示了化学改性之前柱之间的间隙大小;
图8B图示了化学改性之后柱之间减小的间隙大小;
图8C图示了图8A中的反应部位的放大视图;以及
图8D图示了图8B中单层的放大视图。
图9A是图示根据本发明实施例的化学改性的分选阵列中的颗粒流动的俯视图,其中与对表面单层具有亲和力的颗粒相比有颗粒对表面单层没有亲和力。
图9B是根据本发明实施例的纳米柱、单层和具有亲和力的颗粒的截面的放大视图。
图10A是图示根据本发明实施例的具有间隙变化的柱的截面视图。
图10B是图示根据本发明实施例的去除间隙变化的氧化工艺的截面视图。
图11是图示根据本发明实施例的具有柱阵列的芯片(流体器件)的俯视图。
图12是根据本发明实施例的提供流体装置(例如,芯片)的方法。
图13是根据本发明实施例的形成纳米柱阵列的方法。
图14是表示根据本发明实施例的纳米柱阵列中的柱的布置的示意图的俯视图。
图15是根据本发明实施例的芯片的示意图,现有两个入口以及横向通过纳米柱阵列的不同大小的颗粒。
图16A是根据本发明实施例的70纳米直径珠粒的颗粒轨迹的扫描电子显微镜图像。
图16B是根据本发明实施例的作为70纳米珠粒的速度的函数的轨迹角的绘图。
图16C是根据本发明实施例的50纳米直径珠粒的颗粒轨迹的扫描电子显微镜图像。
图16D是根据本发明实施例的作为50纳米珠粒的速度的函数的轨迹角的图示。
图17是根据本发明实施例的示例数据的图表。
图18是根据本发明实施例的分选实体的方法。
图19是根据本发明实施例的分选实体的方法。
图20是根据本发明实施例的分选实体的方法。
具体实施方式
已经证实了使用硅基芯片上实验室方法在微米(106μm)范围中的分选。在KeithJ.Morton等人的标题为“Hydrodynamic Metamaterials:Microfabricated Arrays ToSteer,Refract,And Focus Streams Of Biomaterials”的论文(PNAS 2008 105(21)7434-7438(在2008年5月21日印刷前公开))中对关于此另外信息进行了进一步讨论。
论文“Hydrodynamic Metamaterials:Microfabricated Arrays To Steer,Refract,And Focus Streams Of Biomaterials”讨论了他们的光学理解来自将光看作沿直线移动并折射到光速依赖于材料的介质中的颗粒。该论文表明:以层状高Peclet数流移动通过结构化的各向异性流体动力介质的对象沿着类似光学器件中的光射线的轨迹移动。一个示例是称为确定性侧向位移(DLD)阵列、高分辨率微流体颗粒分选器的周期性的微制造的桩阵列。该桩阵列是非对称的。每个相继的下游行相对于前一行移位,使得阵列轴相对于通道壁以及流体流动方向形成角α,如图1所示。在操作期间,在每行处由桩侧向地位移大于某个临界大小的颗粒,并且颗粒以所谓的“碰撞”模式遵循确定性路径通过阵列。碰撞颗粒的轨迹遵循阵列轴线角α。小于临界大小的颗粒遵循流动流路(steamline),以周期性“Z形”模式穿行通过桩阵列。
图1是示出阵列参数的定义的确定性侧向位移(DLD)阵列的示意图:以间隔λ周期性地布置桩,以及每个下游行与前一行侧向地偏离了破坏阵列对称性的量δ。该阵列轴相对于通道壁以及因此流体流动的方向形成角α=tan-1(δ/λ)=tan-1(ε)。由于阵列不对称,桩之间的间隙G中的流体流动被分成1/ε个狭槽。这些狭槽中的每一个每1/ε行重复,这样通过阵列的流动平均而言是直的。如果颗粒半径大于间隙中的狭槽宽度,则可以使经过桩附近的间隙的颗粒位移到相邻流路中(从狭槽1到狭槽2)。因此,较大颗粒在每个桩处确定性地位移以及以相对于流成角α迁移。较小颗粒简单地遵循流路路径,以及在流体流动方向上流过阵列。
图2A表明流过跨展通道的微制造的桩的流体动力介质的颗粒的基于大小的双折射。两个不同大小的颗粒法向地入射到对称的桩阵列(通道的左半)与不对称的桩阵列(右半)之间的界面上。通过阵列的压力驱动的流体流动为从左到右,其总方向由较大的微流体通道确定。图2B图示了中性区与微流体超材料元件之间的界面处的颗粒轨迹的示意图。大于临界大小的颗粒遵循阵列不对称性,而较小颗粒遵循流体流动。图2B图示了最简单的超材料元件是相对于通道壁和主体流体流动成角+α倾斜的桩的不对称阵列。示出了中性阵列(α=0°)与具有阵列角α=11.3°的阵列(间隙G=4μm以及桩节距λ=11μm对于两侧都是相同的)之间的界面的俯视扫描电子显微照片(SEM)。图2C图示了示出微制造的桩阵列的截面SEM图像。图2D图示了基于颗粒大小的等效微流体双折射,其示出通过界面以及从法线偏转的2.7μm红色荧光的时间追踪。较小的1.1μm绿色珠粒在界面处不偏转。
阵列元件可以被定制为通过用图1所示的设计参数(包括障碍物大小D、桩之间的间隔G和桩节距λ)构建阵列来相对于流成一定角度引导特定颗粒大小。不对称性由行间移位δ的幅度确定,以及由斜率ε=δ/λ表征。于是,最终阵列角为α=tan-1(ε)。对于给定阵列角,用于碰撞模式的临界颗粒大小由颗粒直径与桩间隔或者间隙之间的比率确定。先前已经针对1.0°与16°之间的阵列角范围描述了该临界颗粒大小。对于给定间隙大小,碰撞的临界大小在较陡的角度处较大。通过使用这些设计准则,对于基于大小的分离应用,已经使珠粒、细胞和DNA的流全部确定性地移动。对于图1中给出的具有11.3°的阵列角、间隙G=4μm以及桩节距λ=11μm的示例,阈值颗粒大小≈2.4μm。因此,如图所示,2.7μm红色珠粒以碰撞模式沿着阵列轴线角行进,而1.0μm绿色珠粒以Z字形模式沿着流路行进。通过使用包括光刻法和蚀刻术的标准微制造技术来在硅晶片中制造阵列元件和任何辅助微流体通道和储液器。还可以通过使用类似精制的硅母版将阵列模制在PDMS中。对于硅蚀刻,使用优化的深反应性离子蚀刻(DRIE)以维持平滑、垂直的侧壁,确保如图2C所示桩之间的均匀的自上而下的间隔。
不同于现有技术,本发明的实施例被设计为以亚100纳米(nm)范围中的尺寸产生在柱(也称为桩)之间具有均匀间隙的可制造硅柱阵列。这些柱阵列可以例如以如上所述的碰撞器阵列配置,用于分选和分离在这些尺寸的生物实体(诸如DNA、RNA、外来体、单个蛋白质和蛋白质复合物)。特别地,柱阵列设计有氧化物涂层(诸如SiO2涂层),该氧化物涂层可以用于“修复”沿着柱的整个轴线的间隙大小的变化。利用均匀间隙大小来获得高效分选,例如,从10nm颗粒中分选20nm颗粒。这对于亚100nm范围中的间隙尤其有挑战性,对于这样的间隙,受限于该尺度下反应性离子蚀刻(RIE)工艺,间隙大小有固有的大于要分选的颗粒的尺寸的变化。现有技术中找到的已证实的分选柱间隙具有微米范围中的尺寸,以及因此,现有技术不能接近于实施例中公开的这么精细的尺度进行分选。即使对于具有非常小的角节距(也称为阵列角和临界角)(例如,0.57度)的柱阵列,在分选效率最高的情况下,也将仅分选大于间隙的12%的颗粒。因此,需要纳米范围中的一致间隙以对例如蛋白质聚集体进行分选。传统上使用离子交换色谱法或者凝胶电泳来执行单个蛋白质(例如,1-10nm的大小范围)的分选,这些方法是加载和分选技术而不是连续流式Si基解决方案。然而,现有技术没有现有的用于分选10-100nm尺度的实体的方案,而实施例提供了在这两个范围(例如,1-10nm范围和10-100nm范围)中的解决方案。实施例还包括通过分子的附着和/或接合的柱的化学改性以使给定间隙进一步降低至定制大小。
为了便于理解,有时可能利用子标题。应当注意,子标题仅用于解释目的并不是限制。
柱阵列制造
图3A至图3G图示了根据本发明实施例的纳米柱阵列制造的工艺流程的示意图。在图3A中,工艺流程301图示了衬底302。将硬掩模304设置在衬底302上。衬底302可以是晶片,诸如,硅(Si)晶片。氧化物硬掩模304可以是用于蚀刻的二氧化硅(SiO2)。氧化物是一个示例,但是也可以利用氮化物或者其它硬材料。可以通过在体硅(衬底302)上沉积和/或生长来设置氧化物硬掩模304。取决于产生柱的高度需要的蚀刻深度以及衬底302对比硬掩模材料304的RIE化学物质的选择性,氧化物硬掩模304的厚度可以在从数十到数百纳米的范围中。其它材料也可以用于衬底302和硬掩模层304。
在图3B中,工艺流程303图示了在氧化物硬掩模304上设置抗蚀剂306。抗蚀剂306可以是正性抗蚀剂或者负性抗蚀剂。取决于抗蚀剂306、硬掩模(304)蚀刻选择性、硬掩模304的厚度和需要的纳米柱间隙分辨率,抗蚀剂306的厚度可以在100nm-1μm的范围中。对于窄的亚100nm间隙和浅的柱深度,利用100-500nm的抗蚀剂厚度范围来以小的间隙大小变化实现较高分辨率特征。抗蚀剂306还可以是包括各自具有不同蚀刻选择性的两个或者更多个层的多层抗蚀剂叠层以改善分辨率。
在图3C中,工艺流程305图示了将抗蚀剂306图案化为抗蚀剂图案308。可以限定抗蚀剂图案308,但不限于使用电子束光刻、纳米压印光刻、干涉光刻、极紫外线光刻和/或深紫外线光刻或者这些技术的组合。抗蚀剂图案308被形成为在将来的纳米柱阵列的图案中的抗蚀剂柱。在一个实例中,抗蚀剂图案308可以包括针对不同纳米柱阵列的多个图案。
工艺流程307图示了从抗蚀剂图案308到氧化物硬掩模304的图案转移,用以产生图3D中的蚀刻的硬掩模图案312。可以使用反应性离子蚀刻(RIE)来执行到硬掩模304的图案转移。工艺流程307示出了对应的蚀刻的硬掩模图案312上的抗蚀剂图案308。
在图3E中,工艺流程309图示了图案化形成要限定在蚀刻的硬掩模图案312下面的衬底302中的纳米柱314。可以使用反应性离子蚀刻蚀刻纳米柱314。可以在图案化衬底302中的纳米柱314之前或者在对纳米柱314进行图案化之后,从蚀刻的硬掩模图案312上去除抗蚀剂图案308。可以在蚀刻纳米柱314之后执行抗蚀剂图案308的去除,因为它可以用来避免可能会在纳米柱314RIE工艺期间发生的硬掩模图案312磨蚀。硬掩模磨蚀又可能导致具有锥形(不期望的)侧壁角的柱。
在图3F中,工艺流程311图示了硬掩模图案312的去除。如果硬掩模材料是SiO2,则可以在稀释的氢氟(DHF)酸中去除硬掩模图案312。工艺流程311示出了纳米柱314的纳米柱阵列320。
为了进一步减小纳米柱314中的每一个之间的间隙大小以及减小间隙变化,在图3G中,工艺流程313图示了设置氧化物316以覆盖衬底302中形成的纳米柱阵列320的表面。在一个实例中,可以利用热氧化来生长二氧化硅316以覆盖纳米柱阵列320的表面以缩窄间隙。在另一个实例中,可以例如使用原子层沉积在纳米柱阵列320(由硅制成)上沉积氧化物316。
通常,柱阵列包括硅柱的密集阵列,其由RIE及随后用来缩小柱桩之间的间隙以及使间隙变化最小化的氧化操作(例如,工艺流程313)所限定。在可能需要进一步的间隙缩放(即,大小的减小)的情况下,纳米柱阵列制造还可以包括可选的化学改性操作。类似于由针对细胞或者大颗粒分选的论文“Hydrodynamic Metamaterials:Microfabricated ArraysTo Steer,Refract,And Focus Streams Of Biomaterials”所说明的工作,这些柱和/或间隙阵列可以被实现为成角度的柱设计以在单分子水平集中样本或者分离生物学实体的非均相混合物。图3A和图3B中的纳米柱阵列制造的工艺流程可以用于产生以任何期望的纳米柱314之间间隔的间隙G、期望的柱节距λ、期望的行对行移位δ以及期望的阵列角α(也称为临界角α)(如图1所示)移位的纳米柱314阵列。
如图3A和图3B中讨论的,可以在相同的衬底302上制造多个纳米柱阵列320(例如,1-N,其中N是纳米柱阵列320的最末序号)。第一纳米柱阵列320可以具有第一组参数(期望的纳米柱314之间间隔的间隙G、期望的柱节距λ、期望的行对行移位δ以及期望的阵列角α)。第二纳米柱阵列320可以具有第二组参数(期望的纳米柱314之间间隔的间隙G、期望的柱节距λ、期望的行对行移位δ以及期望的阵列角α),其中第一组参数中的一个或者多个可以与第二组参数不同。第三纳米柱阵列320可以具有第三组参数(期望的纳米柱314之间间隔的间隙G、期望的柱节距λ、期望的行对行移位δ以及期望的阵列角α),其中第一组参数中的一个或者多个可以与第二组参数中的一些不同和/或相同,以及第三组参数中的一个或者多个可以与第一组参数和第二组参数中的一些不同和/或相同。同样的类似性可以适用于直到可以具有最后(N)一组参数(期望的纳米柱314之间间隔的间隙G、期望的柱节距λ、期望的行对行移位δ以及期望的阵列角α)的最后(N)的纳米柱阵列320,其中最后一组参数中的一个或者多个可以与第一、第二、第三以及第N-1组参数中的任意一组不同和/或相同。
为了限定柱和间隙,负调(negative-tone)纳米尺度光刻技术可能是更好的,以确保小于(<)100nm的图案化的间隙大小从例如在工艺流程305中所示的抗蚀剂图案308中限定柱和间隙开始。在柱阵列图案较小的情况下,电子束光刻是一个选择。然而,在受控良好的剂量条件下,也可以应用可制造性更高的纳米压印光刻方法以及极紫外线(EUV)和深紫外线(DUV)光刻。为了实现高纵横比(aspect ratio)的柱,须在对(Si)衬底302进行蚀刻之前将所写入的图案(即,抗蚀剂图案308)转移至硬掩模304(硬掩模图案312)。高纵横比的柱允许较大的流体通过量,并且可以减少与微/纳流体特征相关联的堵塞问题。因此,只要可以在相邻柱之间维持间隙大小,高纵横比的柱就是可以具有的有用特征。通过将在抗蚀剂图案308中限定柱以及将它们首先转移至蚀刻的硬掩模图案312,蚀刻选择性的益处提高了纵横比,同时在执行柱阵列(320)蚀刻时维持更一致的间隙大小。
下面将一些实验数据作为示例实现方式进行讨论。实验数据用于解释而不是限制。在该情况下,利用电子束光刻在作为双层抗蚀剂叠层(例如,抗蚀剂306)的一部分的氢倍半硅氧烷(HSQ)中限定柱尺寸(例如,抗蚀剂图案308),随后将其转移至150nm的非致密化(undensified)低温氧化物(LTO)硬掩模(例如,蚀刻的硬掩模图案312)。也可以考虑致密化的LTO、热氧化物和/或SiO2/SiN/SiO2硬掩模。实验随后使用基于RIE的硅蚀刻工艺以在衬底中限定柱(例如,柱314)。现在将对RIE工艺的更多细节进行描述。
RIE工艺细节:在Applied Materials DPSII ICP蚀刻室中进行干蚀刻以用于图案转移,来由电子束抗蚀剂图案制造400nm高的硅柱。首先,使用显影的负调(negative tone)电子束抗蚀剂(HSQ),在400瓦特(W)源功率、100W偏置功率以及4毫托(mTorr)压力下,在65℃,使用N2/O2/Ar/C2H4化学物质,蚀刻穿过有机平坦化层(OPL)掩模。然后,在500W源功率、100W偏置功率以及30毫托压力下,在65℃,使用CF4/CHF3化学物质,将图案进一步转移至SiO2硬掩模中。随后在250℃在Applied Materials Axiom下游灰化器中使用O2/N2化学物质对碳硬掩模进行剥离。使用SiO2硬掩模,在650W源功率、85W偏置功率以及4毫托压力下,在65℃,通过首先CF4/C2H4穿透步骤以及然后Cl2/HBr/CF4/He/O2/C2H4主蚀刻,使用DPSII将硅柱蚀刻至400nm深。注意,使用三个掩模来最终蚀刻柱,以及这三个掩模是显影的HSQ电子束抗蚀剂(掩模)、OPL掩模以及SiO2硬掩模。
间隙分析
图4A、图4B、图4C和图4D是针对两个单独实例的该RIE工艺的结果的扫描电子显微镜图像。图4A和图4B图示了去除(诸如,在工艺流程309中)硬掩模(例如,硬掩模图案312)之前的柱(例如,柱314),并且柱(上面具有硬掩模图案312的柱314)的顶部具有圆形形状。利用150nm的LTO(非致密化的)硬掩模连同RIE蚀刻一起产生图4A和图4B中的柱314。图4C和图4D图示了在不同晶片上实施了通过稀释的氢氟酸进行的硬掩模(例如,硬掩模图案312)去除之后的柱(例如,柱314),并且在图4C和图4D中,柱314的顶部是平的。在两种情况下,由于阵列中柱的高密度,Si柱在中心处向内弯曲。即,由于柱的直径在中心处减小,因此柱之间的间隙在柱314的中心处变宽。柱具有向内弯曲的形状或者沙漏形状。注意,阵列边界处的柱非常垂直(未示出)。这使纳米尺度下的间隙不均匀性的问题突出,其中如图4C和图4D所示,大约(~)100nm的间隙大小从柱的顶部到同一柱的底部(即,深度或者高度)具有大约50nm的间隙变化。由间隙限定的阵列中的柱的紧密接近使得柱在中心处向内弯曲,产生阻止进一步缩放的间隙变化。针对上面描述(即,在设置氧化物层316之前)的蚀刻工艺,已经在具有250nm及以下的尺寸的间隙大小上观察到该效应。
根据本发明的实施例,图5A和图5B是所制造的没有50nm厚热氧化物的晶片5的纳米柱阵列的扫描电子显微镜图像。图5C、图5D和图5E是示出根据本发明实施例的晶片7的扫描电子显微镜图像,其示出在嵌入在Si中的纳米柱阵列上生长50nm厚热氧化物(例如,氧化物层316)的影响。在柱的侧面,有右壁505(在图5A和图5C中示出)、衬底底部510和左壁515(如图5B所示)。
除最终氧化步骤(仅在图5C-5E中的晶片7上执行)以外,在图5A和图5B中对于晶片5的柱的处理与图5C、图5D和图5E中对晶片7的柱的处理相同。在图5B的情况(晶片5)下,对于大约186nm的间隙大小有26nm的变化,而图5D(晶片7)示出了在氧化之后间隙大小仅有13nm的变化,在该情况下间隙大小缩小至大约138nm。氧化的该修复效应作为如图5E所示的这些非平面结构(即,柱)上的氧化物不均匀性的结果而发生。图5E示出了:相对于两个柱(在x轴上从并排视角来看),由于氧化物已经填充在向内弯曲的形状中,因此这两个柱之间的间隙大小可以从上到下(即,沿着y轴的垂直轴)仅变化13nm。使用应用于图5A和图5B(晶片5)的蚀刻工艺,由于氧化在柱中心处(而不是在顶部或底部)更迅速进行,因此如图5C、图5D、图5E(晶片7)所示,发现柱特征上的不均匀氧化“修复”了间隙变化,这还在图10A和图10B中进一步示出。
图6A和图6B(晶片5)图示了从较小间隙大小(诸如,80-89nm(变化9nm))开始,其中没有设置氧化物来填充沙漏形状。图6C和图6D(晶片7)图示了当原始间隙大小是80-89nm(变化9nm)时施加的50nm氧化步骤。氧化的影响在图6C和图6D中非常明显,其中相同的50nm氧化步骤(上面在图5C、图5D、图5E中讨论的)以12:1(深度:间隙)的比率将间隙大小从80-89nm减小至仅21-25nm(间隙变化4nm)。如图6C和图6D所示,对较小起始间隙大小(例如,诸如在用于缩小间隙以及去除向内弯曲的氧化步骤之前80-89nm(或者更小))的氧化产生在大约300nm蚀刻深度上仅具有几纳米变化(4nm)的大约25nm的间隙,其中300:25的深度与间隙比率产生12:1的比率。该小量的间隙变化(例如,4nm)和工艺提供了形成定制可调间隙大小的机会,尤其在这些纳米柱与化学改性工艺结合时。术语“高纵横比”可以涉及具有大于4:1的深度与间隙比率的结构,这在这种尺度下很难在可制造工艺中实现。
通过如此处讨论的在柱阵列上设置氧化物,实施例被配置为提供具有沿着并排的两个柱的垂直轴(即,深度)均匀的间隙大小的柱阵列(例如,两个并排柱之间的间隙大小变化小于5nm(诸如4nm、3nm、2nm))。例如,图10A和图10B是图示根据本发明实施例的在柱阵列320中去除(减小)间隙变化以及产生均匀间隙大小的修复工艺的截面视图。仅出于图示目的,示出了并排的两个柱314,但是图示适用于柱阵列320中的所有柱314。在y轴上示出了柱314的高度,以及在x轴上示出了宽度/直径。z轴表示阵列320的长度,以及在两个柱314的前面和后面安置阵列中另外的柱314(未示出)。图10A示出了由它们的衬底材料(衬底302)制成的两个示例柱314。柱314向内弯曲以具有沙漏形状。在图10A中,尽管示出了两个间隙大小G1和G2,但是在间隙大小G1与G2之间可以有另外的间隙大小。间隙大小G1在(靠近)柱314的顶部和底部处。间隙大小G2在(靠近)柱314的中心处。由于RIE工艺期间施加于反应性离子的撞击通量的间隙大小G1的尺寸约束,使得如由阵列320中的间隙大小G1限定的柱314的紧密靠近可能导致沙漏形状。
图10B示出了设置氧化物层316之后的两个示例柱314。由于非平面体系结构、柱的纳米大小以及柱阵列320中柱314之间的紧凑的纳米间隔,因此氧化物层316没有在柱314上匀整地分布。相反,在y轴上,在柱314的中心(腔)中比在柱314的顶部和底部处更迅速地形成更多氧化物316。换句话说,以比柱314的顶部和底部快的速率填充向内弯曲的中心。柱314上形成的氧化物316的该不匀整分布用来使各个柱314变直,将它们从沙漏形状改变为圆柱状形状,这又使两个柱314(以及在x轴上并排的任何其它两个柱314)之间的间隙大小G5均匀。因此,所有间隙G(表示阵列的一般间隙大小)在柱阵列320各处是均匀的。
化学改性
可以通过使用化学改性来定制要分选的颗粒与阵列表面之间的相互作用。通常,这可以涉及通过物理吸附和/或化学键的形成,将分子附着和/或接合到柱阵列表面。此外,柱阵列的化学改性可以包括应用(一个或者多个)材料层(诸如,金属、聚合物和/或陶瓷涂层)以及对阵列表面的氧化状态的改变。表面(对于化学改性)可以包括流体柱阵列的分选柱、壁、天花板和/或底板的区域。另外,可以在入口、出口、驱动机构和/或附着至纳流体器件(例如,一个或者多个柱阵列)的其它流体通道中存在的任何表面进行化学改性。
尽管可以如上面讨论的应用化学改性,但是更好的应用是分选柱本身的化学改性,因为这允许设计颗粒与分选阵列表面之间的相互作用。
在一个示例中,小的有机分子或者聚合物(称为配体)可以诸如通过氯硅烷和/或烷氧基硅烷在柱的天然氧化硅上的缩合化学地接合到柱的表面,如图7A所示。此外,配体可以诸如通过在涂敷有薄(例如,10nm)的金或者银层的柱上的硫醇、胺和/或膦类而化学地接合到柱的表面,如图7B所示。产生的配体分子层是单个分子厚,即,单层。与流体和颗粒直接接触的单层的末端基团确定随着颗粒通过阵列时颗粒感受的物理化学相互作用。因此,改变配体的末端基团允许定制阵列内的表面相互作用。
图7A和图7B图示了根据本发明实施例的分选阵列表面的化学改性的方法的一般化学示意图。参照图7A,对于一般衬底(阵列柱),可以使用表面上的反应位点(X)形成小分子配体的物理吸附和/或化学键。配体附着到表面形成新的层,该层是单分子厚(即,单层)。一般配体包括:(i)与衬底反应位点(X)相互作用的键合基团(Z),(ii)包括大体上确定最终单层的厚度的若干间隔物分子(n)的主干(backbone),以及(iii)与在单层和阵列中的流体/颗粒之间的界面相互作用的末端基团(A)。末端基团(A)与要分选的颗粒相互作用。尽管图7A示出了键合基团Z和反应位点X,但是这仅仅是一个示例,以及在该示例中,化学改性不意味着限于一种类型的反应机制。有两个其它的一般机制可能性:(1)直接键合形成,即,Z基团以Z-X键键合至反应位点X,和/或(2)伴随消除的键合形成,即,反应基团Z-W以Z-X键键合至反应位点X-V,伴随副产物W、V被消除。例如,氯硅烷R-Si-Cl与二氧化硅表面上的硅烷醇(H-O-Si)的反应形成R-Si-O-Si键,伴随HCl的消除。
参照图7B,可以在预沉积到柱阵列上的金属层(M)上形成单层。例如,可以在柱上沉积一个或者多个金属层(M)(例如,在产生均匀间隙大小的氧化工艺之后),使得柱现在在衬底之上(和/或在填充向内的弯曲的氧化物层之上)具有金属表面(M)。在图7B中,与图7A中的‘Z’不同,用‘Q’标识键合基团。配体(例如,具有键合基团(Q))可以与柱阵列的金属表面(M)直接地形成配位复合物,形成紧密包封的单层。
化学改性可以用于通过减小间隙大小来调整柱阵列以分选较小的颗粒,如图8A和图8B所示。可以用各种长度的分子(包括脂族或者芳香族低聚物/聚合物)对分选柱314的表面进行改性,这有效地增大了柱的厚度以及从而减小它们之间的间隙空间。通过选择较长的配体,可以使间隙大小更小,以及因此使有效截止颗粒大小减小(即,可以分选较小颗粒)。可以选择配体的主干以提供在刚性的紧密包封的分子层和/或柔性无序层之间的机械性质范围。配体可以包括小有机分子、蛋白质、肽、核酸、寡糖和/或合成聚合物。在一个示例中,通过硅氧烷链接(linkage)用聚乙二醇(PEG)的低聚物对柱表面进行改性。按照每个氧化乙烯残基(ethylene oxide residue)大约0.36nm,对于12残基PEG低聚物,这在间隙大小上产生大约9nm的减小。对于20残基PEG低聚物,这在间隙大小上减小大约14nm。
图8A至图8D图示了根据本发明实施例的作为改变柱之间的间隙大小的手段的分选阵列化学改性的示意图。参照图8A,对于具有其天然氧化物、生长的氧化物层和/或沉积的替换材料层(例如,金属、陶瓷、聚合物)的柱314,在柱的表面上有反应位点(X)。柱314具有由g指示的初始间隙宽度。有阵列底板805(柱竖立其上的衬底302的底板)。图8C示出了描绘图8A中的空反应位点(X)的放大视图820。在视图820中,反应位点(X)未附着至配体,但是要将配体应用至柱阵列320,如图8B所示。
参照图8B,配体810化学附着至柱表面形成单层815,该单层815具有由配体包封的性质确定的厚度。增加的单层815的厚度将间隙宽度(从初始间隙宽度g)减小到新的有效间隙宽度
Figure BDA0001289323200000171
。配体结构(尤其主干)的调节以及单层815的包封和缺陷密度可以定制单层815的厚度以及因此定制有效间隙(ge)。有效间隙(ge)是当颗粒流过阵列320时颗粒经受的新物理间隙大小,并且由柱的物理屏障加上所增加的单层的空间屏障(steric barrier)的组合形成。取决于颗粒分选的操作条件下的单层的结构、机械和动态性质,有效间隙通常是近似值。图8D示出了反应位点(X)已经附着至配体810从而延展了柱314的直径的放大视图820。
可以通过配体的(一个或者多个)末端基团(A)引入分选阵列的进一步改善和精细化,配体的(一个或者多个)末端基团(A)可以被选择为具有与流体和/或要分选的颗粒的特定相互作用,如图9的示意图所示。当颗粒流过柱阵列320时,与单层915的末端基团的相互作用导致柱314的柱壁上的增加的粘附以及暂时保留。这些相互作用使颗粒的流动减慢,以及使得颗粒平均来说更多安置在柱的壁处,因此减小它采样的流场的量。由于阵列节距相对于平均液体流不对称,因此使在柱314之间保留和迁移的颗粒(诸如颗粒910)沿着阵列的临界角有效地移动以及分选出。在一个示例中,PEG型配体末端的硫醇末端基团可以用于在经过的颗粒(诸如,用硫醇标记的蛋白质或者其它分子)之间形成二硫链接。与流体中的合适的催化剂试剂结合,当蛋白质(诸如颗粒910)流过阵列320时,它们可以与柱314形成二硫键,暂时地阻止它们的流动。在另一个示例中,化学稳定的核酸的小片段(诸如,肽核酸(PNA))可以附着至柱壁,以通过可逆碱基配对选择性地阻滞以及分选出DNA或者RNA分析物。在另一个示例中,可以将嵌入在亲水单层内的疏水配体的块引入到柱上,一个这种对是脂族烃配体和PEG。疏水块可以用于与蛋白质上的疏水域相互作用以将它们从溶液选择性地分选出。
图9A提供了化学改性的分选阵列中的颗粒流动的图示,其中有对表面单层915没有亲和力的颗粒905和与单层915相互作用的颗粒910。没有亲和力的颗粒905遵循流动流路通过阵列320(即,呈现Z形模式),并且不经受与柱314的任何强相互作用。这些颗粒905的轨迹平均来说不受影响,以及它们流动而没有在阵列320中进行分选。例如,颗粒905流到出口940中。然而,具有由它们表面上的分子引起的物理化学亲和力的颗粒910经历与柱314的表面上的单层915的分子的相互作用。该相互作用可以将这些颗粒910暂时地结合到柱314的表面,以及使得颗粒910平均而言保持更靠近柱314的柱壁。通过若干顺序结合和解离事件,颗粒910沿着柱314的方向转移(即,在临界角α的方向上呈现碰撞模式)以及由于化学亲和力而由阵列320分选出。颗粒910被分选到出口945中。图9B是根据本发明实施例的纳米柱314、单层915和具有亲和力的颗粒910的截面的放大视图。
为了对柱阵列320进行化学改性,可以通过化学气相沉积(CVD)和/或湿法化学引入配体。为了施加金属,可以利用CVD、溅射和/或湿法化学。下面提供了为了解释目的而并非限制讨论的通过添加单层对柱314进行化学改性的两个详细示例以及使用湿法化学的两个示例。
出于例示目的,在下面提供了使用聚(氧化乙烯)(PEG)配体改性剂的微流体器件的改性的示例方法:首先在氢氧化钾饱和的异丙醇浴中洗涤要暴露于氯硅烷的所有玻璃器皿至少达24小时,然后用去离子水彻底地清洗并在140℃处的烘箱中干燥12小时。
从140℃的烘箱中取出100mL圆底烧瓶以及用隔膜将其快速密封。使用针穿过隔膜建立氮气吹扫,并且允许吹扫烧瓶10分钟。通过插管将30mL的无水甲苯转移到烧瓶中。通过注射器,注入600μl的正辛基癸基三氯硅烷(n-octyldecyltrichlorosilane)以形成49mM的溶液。使烧瓶暂时涡旋以均质地混合反应剂。这形成钝化溶液。从140℃烘箱中取出500mL的反应器和3颈头,以及随后将它们快速地密封在一起,其中每个入口用隔膜封闭。使用针穿过隔膜建立氮气吹扫,以及允许烧瓶被吹扫10分钟。通过插管,将100mL烧瓶中的20mL钝化溶液转移至反应器。轻轻地摇动反应器以使钝化溶液围绕反应器壁彻底地搅动。使用剩余的钝化溶液对100mL烧瓶进行相同的操作。在1小时内,每10-15分钟重复该轻轻的摇动。在摇动之间,允许玻璃器皿置于环境温度。该过程使玻璃器皿的壁钝化,防止进一步硅氮烷化(silizanizaiton)。随后从反应器中倾倒出钝化溶液,并用甲苯、丙酮、异丙醇和去离子水顺序地洗涤反应器,每个三次。对100mL烧瓶进行同样的操作。随后将玻璃器皿放回140℃烘箱并让玻璃器皿干燥12-14小时。
从140℃的烘箱中取出所述100mL圆底烧瓶以及用隔膜将其快速密封。使用针穿过隔膜建立氮气吹扫,以及允许烧瓶被吹扫30分钟。通过插管将100mL的无水甲苯转移到烧瓶中。通过注射器,注入100μL的2-(甲氧基聚(乙烯氧基)6-9丙基)二甲基氯硅烷以形成大约2mM的溶液。使烧瓶暂时涡旋以均质地混合反应剂。在其制备当天内使用该改性溶液。
在氧等离子体中清洗基于二氧化硅/硅的微流体器件(芯片)30分钟以去除有机表面污染。随后将芯片转移至0.1M的硝酸水溶液10分钟以使任何表面硅氧烷键水解成硅烷醇。随后使用挤压瓶水流,在去离子水、丙酮、乙醇以及随后异丙醇中顺序地洗涤芯片。随后将芯片面朝上置于新的织物(texwipe)上并且立即使用氮气流将其吹干,将溶剂从中间推到芯片外部。随后将芯片放置在定制玻璃托上(如下面描述的,将芯片水平/面朝上放置在反应器内部)。
从140℃烘箱中取出500mL反应器和3颈头。将搅拌珠粒连同玻璃托和芯片一起放置到反应器中,随后将它们快速地密封在一起,其中每个入口用隔膜封闭。使用针穿过隔膜建立氮气吹扫,并允许反应器被吹扫30分钟。
通过插管,将改性溶液(具有配体)转移至反应烧瓶中,直到液面高于芯片为止。随后使用鼓泡器维持氮气正压。让反应伴随着搅拌在环境温度进行2小时。随后打开反应器并通过使用挤压瓶水流、甲苯、丙酮、异丙醇、随后去离子水顺序地冲洗以(一个接一个地)清洗芯片。随后将芯片面朝上置于新的织物(texwipe)上并立即使用氮气流将其吹干,将溶剂从中间推到芯片外部。随后将芯片放置在具有隔膜的玻璃固定罐中。使用针穿过隔膜建立氮气吹扫,并允许芯片干燥整夜(大约12-14小时)。
现在停止使用副标题。图11图示了根据本发明实施例的具有柱阵列320的芯片1100(流体器件)。芯片1100具有入口1105,用以接收包括要分选的不同大小颗粒(即,生物学实体)的流体。入口1105可以是围绕纳米柱阵列320的壁中的开口或者孔或者可以跨展流体(例如,水、电解质溶液、有机溶剂等等)和颗粒(例如,生物学实体)可以流过的纳米柱阵列320的宽度。具有大于临界尺寸的大小的颗粒在临界角方向上碰撞(即,碰撞模式)通过柱阵列320,并且在出口940处收集大于临界尺寸的这些颗粒。临界尺寸是太大而不能Z字形通过纳米阵列320的圆形形状颗粒的大小(例如,直径)和/或链式结构(诸如DNA)的持续长度。具有小于临界尺寸的大小的颗粒在流体流动方向上Z字形(即,Z字形模式)穿过柱阵列320,并且在出口945处收集这些较小的颗粒。具有小于临界尺寸的大小的颗粒遵循流体流动的方向,并且被通过出口945分选出来。在一个实例中,柱314可以具有如此处讨论的化学改性,其可以进一步减小间隙大小和/或对化学改性具有亲和力的颗粒进行分选。出口940和945可以是所分选颗粒可以流过以及在分选之后被收集在箱中的开口。
图12是根据本发明实施例的提供流体装置1100(例如,芯片1100)的方法1200。可以对上面讨论的图1-图11进行参照。在块1205处,入口1105被配置为接收流体。在块1210处,出口(例如,出口940、945)被配置为排出流体。在块1215处,纳米柱阵列320耦合至入口和出口,并且纳米柱阵列320被配置为允许流体从入口流到出口。
在块1220处,纳米柱阵列320包括被布置为按大小分离生物学实体(颗粒)的纳米柱314。在块1225处,纳米柱314被布置为具有将一个纳米柱314与另一个纳米柱314分离的间隙G,并且间隙被构造为在纳米尺度范围(例如,亚100nm)中。
一个纳米柱在另一个纳米柱的一侧,使得间隙G在其间。一个纳米柱与另一个纳米柱之间的间隙沿着所述一个纳米柱和另一个纳米柱的垂直轴是均匀的(诸如,如图10B所示的间隙G5)。
纳米柱阵列包括施加在纳米柱上的氧化物层316,并且氧化物层316使得间隙沿着所述一个纳米柱和另一个纳米柱的垂直轴是均匀的(例如,在图10B中,间隙G5在两个纳米柱314之间的空间上下都是均匀的)。
氧化物层316使得间隙(例如,间隙G5)的大小小到大约20纳米,同时间隙沿着垂直轴(例如,图10B中的y轴)保持均匀。在图10B中,氧化物层316使得纳米柱的直径的不匀整(例如,图10A中,柱314的直径不均匀)变得均匀,使得间隙沿着所述一个纳米柱和另一个纳米柱的垂直轴均匀。氧化物层316厚度的增大使得间隙大小减小。
在一个实例中,间隙的大小在从20-300nm的范围中。在另一个实例中,根据要分离的颗粒的期望大小,间隙大小可以被形成为小于100nm,可以小于80nm,可以小于60nm,可以小于40nm,可以小于30nm,可以小于25nm,等等。例如,根据本发明实施例,可以利用240nm大小的间隙分选/分离100nm的颗粒。
将单层(例如,图7A、图7B中的单层,图8B中的单层815和/或图9A中的单层915)施加至纳米柱314以减小间隙大小。具有减小的大小的间隙被配置为相对于没有将单层施加至纳米柱时分离更小的实体。
图13是根据本发明实施例的形成纳米柱阵列320的方法1300。可以对图1-图12进行参照。
在块1305处,将硬掩模层304设置在衬底302上。在块1310处,将抗蚀剂层306图案化成纳米柱阵列320的图案(抗蚀剂图案308),其中抗蚀剂层306设置在硬掩模层304上。
在块1315处,利用抗蚀剂层(抗蚀剂图案308)以将硬掩模层304图案化成纳米柱阵列320的图案(硬掩模图案312),使得抗蚀剂层和硬掩模层两者具有纳米柱阵列320的图案。
在块1320处,将衬底302图案化成纳米柱阵列320的图案,使得现在形成纳米柱阵列320,其中去除抗蚀剂层(抗蚀剂图案308)和硬掩模层(硬掩模图案312),并且其中纳米柱阵列中的纳米柱314在相对于彼此边对边的关系中具有第一间隙大小(例如,在图10A中,间隙大小G1和/或G2)。在块1325处,通过在纳米柱阵列320上设置氧化物层316,将第一间隙大小减小为第二间隙大小(例如,间隙大小G5)。
通过电子束光刻和/或纳米压印光刻或者其他形式的光刻中的至少一个将抗蚀剂层图案化成纳米柱阵列320的图案(抗蚀剂图案308)。
利用抗蚀剂层将硬掩模层图案化成纳米柱阵列的图案包括执行反应性离子蚀刻以将硬掩模蚀刻成纳米柱阵列320的图案(硬掩模图案312)。
将衬底302图案化成纳米柱阵列的图案以形成纳米柱阵列包括执行反应性离子蚀刻以将衬底蚀刻成纳米柱阵列320。
通过在纳米柱阵列320上设置氧化物层316将第一间隙大小(例如,间隙大小G1和G2)减小至第二间隙大小(间隙大小G5)包括减小第二间隙大小(例如,至小于300纳米、至小于100纳米等等)。
通过在纳米柱阵列上设置氧化物层将第一间隙大小减小至第二间隙大小使得纳米柱中的每一个具有均匀形状,并且使得对于纳米柱的边对边关系第二间隙大小在纳米柱阵列各处均匀(如图10A和图10B所示)。在通过设置氧化物层将第一间隙大小减小至第二间隙大小之前,纳米柱在纳米级水平在纳米柱中间处具有向内弯曲的形状。通过设置氧化物层将第一间隙大小减小至第二间隙大小,既在中间处填充向内弯曲的形状又使纳米柱直成圆柱状形状。
如此处讨论的,实施例提供了具有可以按大小(从微米范围下降至纳米范围)分离分子和颗粒的纳米柱和纳米间隙的硅芯片。可以分离的两个或者更多种实体(颗粒)的大小取决于纳米柱之间的间隙(即,纳米间隙)的大小。现有技术没有用于在10-100nm尺度按大小分选实体的技术。然而,此处描述的本发明的实施例提供了用于对该范围(10-100nm)内、高于该范围以及低于该范围的实体进行分选的机制。例如,本发明的实施例可以将30nm颗粒与40nm颗粒分选开。此外,实施例提供了连续流式生物分离,其意指当将流体和实体(要分离的)引入纳米柱阵列320的一个或者多个入口中时颗粒分选是连续的,并且连续流式生物分离纳米柱阵列320连续地分选实体而不需要任何类型的重置。
例如,本发明实施例的技术可以用于使溶液混合物流式通过芯片1100,获得指定大小范围内的颗粒的连续分离。在芯片1100的入口处引入非均相颗粒溶液,并且溶液流将颗粒运送通过柱网络(即,柱阵列320)。较大大小的颗粒根据由纳米柱314的偏移δ和节距λ限定的预定角(即,临界角α)从纳米柱314弹回。以这种方式,较大颗粒的轨迹被引导(碰撞模式)朝向特定微通道出口(例如,出口940),在该出口处,可以提取所分离的样本;而较小颗粒将平行于流体流动方向Z字形通过纳米柱314,其中较小颗粒通过不同的微通道离开芯片1100(例如,出口945)。
本发明实施例中的改进允许这种类型的连续流式分离在纳米尺度工作,允许Si芯片(诸如,芯片1100)上的生物标志物、生物分子、亚细胞成分、外来体、病毒、免疫测定、药物筛选和蛋白质聚集体的高效分离。本发明的实施例是从现有技术的微米尺度的显著尺度缩小。通过能够在纳米尺度分选颗粒的纳米柱的纳米制造实现了现有技术的改善。本发明的实施例还展示了,在该新尺度下,不同流动方式适用以及改善分离方法。在该尺度下,纳米柱之间的死流区域相对于纳米柱大小成比例地显著。这些死流区域的存在促成比由纳米柱壁到壁距离限定的物理间隙(G)窄的纳米柱之间的流体间隙。这产生分选小至原始理论所预测的颗粒大小的能力。
图14是表示根据本发明实施例的纳米柱阵列320中的柱314的布置的示意图的俯视图。在该示例中,柱阵列320可以被看作多个柱阵列。例如,柱阵列320包括柱314的对称部分/布置1405以及柱314的不对称部分/布置1410。对称部分1405具有(几乎)0°的临界角,而不对称部分1410具有临界角α(在图14中相对于z轴限定的)。
在图14中,流动流(即,流体流动方向)平均而言是水平的,并且在纳米柱阵列320的不对称部分1410中柱行倾斜至一定角度(即,形成临界角α)。在流体足够慢的流动速率下,柱314之间的距离(间隙G)连同临界角一起限定能够通过Z字形通过柱314而遵循流动方向的颗粒的大小(小于临界尺寸),以及将通过柱行的角度位移(碰撞)的颗粒的大小(等于和/或大于临界尺寸)。在一个实例中,慢流动速率可以对应于比500μm/s慢的流动。
柱314具有直径、柱节距λ、间隙(G)和行间移位(δ)。由于在对称部分1405中没有行间移位,因此行间移位(δ)在不对称部分1410中。在图14的示例中,不同大小的两个示例颗粒横向穿过柱阵列320。较大颗粒1450根据柱角度(即,临界角α)位移(由虚线指示)穿过阵列320,而较小颗粒1455遵循穿过阵列320的确定性流动(实线),Z字形穿过柱314。
图15是根据本发明实施例的现在具有两个入口以及横向地穿过柱阵列320的不同大小的两个颗粒的芯片1100的示意图。较大颗粒1450根据柱角度(临界角)沿着虚线位移穿过阵列320,而较小颗粒1455通过Z字形通过柱314而遵循通过阵列的确定性流动。大的纳米颗粒1450和较小纳米颗粒1455通过分开的微流体通道离开阵列。例如,大的纳米颗粒1450(例如,等于和/或超过临界尺寸)通过出口940离开阵列,而小的纳米颗粒1455(例如,低于临界尺寸)通过出口945离开。在该示例中,可以通过缓冲剂在入口1105中引入流体(可以是缓冲溶液)。缓冲溶液(也称为pH缓冲剂或者氢离子缓冲剂)是包括弱酸及其共轭碱的混合物的水溶液,或者反之亦然。通过相同的入口1510引入包括要分选的纳米颗粒1450和1455的样本。尽管仅示出了两个颗粒,但是相同的分选工艺适用于引入样本入口1510中的具有不同大小的多种颗粒。
图16A、图16B、图16C和图16B图示了根据本发明实施例的使两个纳米珠粒群体通过纳米柱阵列320的实验结果。图16A和图16B与70nm直径的珠粒群体相对应,而图16C和图16D与50nm直径的珠粒群体相对应。在图16A和图16C中,在荧光显微镜上用摄影机记录相应珠粒的轨迹。在该示例中,纳米柱314之间的间隙大小(G)是210nm。
图16A是在5.7°临界角阵列(320)中位移的70nm珠粒的颗粒轨迹的图像。图16A示出了70nm直径珠粒的珠粒轨迹相对于流动方向成角度。针对70nm珠粒观察的平均轨迹角是5.7°角。示出了所标记的三个70nm颗粒的轨迹。流动方向(即,流体流动方向)与颗粒轨迹之间的角度描述了颗粒在阵列320中碰撞的程度。在图16B中,对于这些70nm颗粒,作为速度的函数的轨迹角的图示示出了接近临界角的正值。换句话说,图16B中绘制的70nm珠粒的平均轨迹角是大约5.7°,在实验中这是针对阵列320的5.7°临界角所预期的。
图16C是在相同阵列320中分选的50nm直径珠粒的颗粒轨迹的图像。在相同条件下(包括具有5.7°临界角的相同纳米柱阵列320),在阵列320中引入50nm的珠粒,并且在图16C中记录它们的轨迹。这些50nm的颗粒在阵列320中不被位移(即,不是以碰撞模式),这在图16D中的轨迹角和速度图示中观察到。图16D中的图示示出了大约0°轨迹角的近似对称分布(即,与阵列320中的流动轴线一致)。此外,图16C示出了50nm珠粒遵循的平均轨迹角接近于0°。
如图16A、图16B、图16C和图16D所示,纳米柱阵列320被配置为通过在沿着流动轴线的第一方向上输出50nm珠粒同时在沿着柱阵列320的临界角的第二方向上输出70纳米珠粒,来将50纳米珠粒从70纳米珠粒分选开。
图17是图示根据本发明实施例的利用纳米柱阵列320将一个大小的颗粒与另一个大小的颗粒分离的近似间隙大小的示例数据的图表。注意,图17中图表中的示例数据意图用于例示目的而非限制性的。在水平方向上列出了纳米柱之间的间隙大小(G),以及在垂直方向上列出了颗粒直径。每个实验的结果被描述为“没有位移”、“部分位移”或者“位移100%”。位移100%意指:颗粒在阵列上的轨迹角与所设定的柱临界角相同,或者在该角度的15%内。部分位移说明颗粒轨迹的范围从纳米柱临界角的15%到85%。没有位移表示任何实验都是颗粒的轨迹角小于纳米柱阵列临界角的15%。
在一个实现方式中,本发明的实施例依赖于在柱之间具有均匀间隙以及具有在亚100nm范围中的尺寸的可制造(硅)柱阵列320。这些阵列320用于分选和分离这些尺寸的生物学实体(诸如DNA、RNA、外来体、单个蛋白质和蛋白质复合物)。根据本发明的实施例,利用均匀间隙大小来获得高效分选,例如,将20nm颗粒与10nm颗粒分选开。这对于可能有大于要分选的颗粒的尺寸的固有变化的亚100nm范围中的间隙尤其有挑战性。这通常由在该尺度的不均匀纳米图案化以及由于反应性离子蚀刻(RIE)工艺产生的大小和形状的特征变化而引起。现有技术中找到的展示的分选柱间隙具有微米范围中的尺寸,并且因此,现有技术甚至不能够接近于这么精细的尺度进行分选。
因此,需要纳米范围中的一致间隙来对例如蛋白质聚集体进行分选。传统上使用离子交换色谱法或者凝胶电泳(加载和运行技术而不是连续流式并因此慢得多)执行单个蛋白质(例如,1-10nm的大小范围)的分选。然而,本发明的实施例提供了连续流式分离工艺和机制,其配置为在不需要离子交换色谱法或者凝胶电泳的情况下分选1-10nm范围中的各蛋白质(或者其它颗粒)。
图18是根据本发明实施例的用于分选实体的方法1800。可以对图1-图17进行参照。
在块1805处,将实体引入纳米柱阵列320中,并且所述实体包括第一群体和第二群体。纳米柱阵列320包括布置为具有将一个纳米柱与另一个纳米柱分离的间隙的纳米柱314,并且纳米柱被排序为相对于流体流动方向具有阵列角。
在块1810处,通过在第一方向(例如,朝向出口945)上输送小于预定临界大小的实体的第一群体以及通过在与第一方向不同的第二方向上(例如,朝向出口940)输送至少为预定大小的实体的第二群体,来通过纳米柱阵列320分选实体。
在块1815处,纳米柱阵列320被配置为采用具有小于300纳米或者小于100纳米的间隙大小的间隙,以分选具有亚100纳米大小的实体。
当实体具有等于或者大于7纳米的纳米大小时,纳米柱阵列被相应地配置为分选具有等于或者大于7纳米的纳米大小的实体。当实体具有等于或者大于7纳米的纳米大小时,间隙大小被相应地配置为分选具有等于或者大于7纳米的纳米大小的实体。
间隙大小的下限可以是大约20纳米。施加至纳米柱阵列320的氧化物层316的厚度使得间隙的间隙大小为大约20纳米,同时间隙保持均匀。换句话说,间隙沿着任何两个纳米柱314之间的垂直轴(例如,y轴)均匀(即,没有间隙变化),并且纳米柱阵列320各处的间隙中的每一个具有相同间隙大小。
间隙的间隙大小被调整为在第一方向上分选小于预定临界大小的实体的第一群体,同时在第二方向上分选至少为预定大小的实体的第二群体。调整间隙大小是基于施加至纳米柱阵列320的氧化物层316的厚度的。进一步调整间隙大小可以基于通过化学改性施加至纳米柱的单层(例如,在图7A中没有施加金属,和/或在图7B施加有金属)。化学改性在纳米柱314上形成单层(例如,单层815、915),以使得第一群体对单层具有亲和力而第二群体对单层没有亲和力。对单层具有亲和力引导实体的第一群体(例如,实体910)在第一方向(例如,向出口945)上输送。对单层没有亲和力允许第二群体(例如,实体905)在第二方向上碰撞至出口940。在一个实例中,实体905和910两者可以大约相同大小,而实体910的亲和力使得实体910朝出口945前进。实体包括生物标志物、生物分子、亚细胞成分、外来体、病毒、免疫测定物和/或蛋白质聚集体中的至少一个。
尽管外来体成为越来越重要的科学,但是其太小(例如,30-100nm)而不能由现有技术阵列进行分选。外来体现在被认为存在于所有体液中,并且表示思考细胞信号传递的新方式。这些小细胞外囊泡被认为在大量生物机能中起作用。例如,外来体是消息传送系统和调节系统,可以包含以及转移DNA、RNA、蛋白质等等。在纳米柱阵列320中,可以由氧化物层316缩小间隙大小以从较大大小的外来体分选一个大小的外来体,和/或从不同(较大)颗粒分选较小的外来体。另外,外来体对某些配体具有特殊亲和力(即,吸引力)。例如,可以将整合配体的脂类膜的单层815、915(诸如[6-(芘-2-基)辛基]硅烷或者3-[(8-甲硅烷基辛基)氧基]胆固醇)施加至柱314以在第一方向上引导外来体,同时在第二方向上引导所述不同颗粒,因为所述不同颗粒没有特殊亲和力。因此,在一个实例中,即使所述不同颗粒具有与外来体相同(或者类似的)大小,由于外来体对某些配体的特殊亲和力,也仍然可以分选外来体。尽管出于解释目的讨论了对外来体具有特殊亲和力的某些配体,但是,应当理解对外来体具有特殊亲和力的某些配体不限于这些示例。
图19是根据本发明实施例的分选实体的方法1900。可以对图1-图18进行参照。
在块1905处,将要分选的实体引入纳米柱阵列320中(例如,通过入口1105和/或入口1510),并且实体包括第一群体和第二群体。纳米柱阵列320包括布置为具有将一个纳米柱与另一个纳米柱分离的间隙G的纳米柱314,并且纳米柱被排序为相对于流体流动方向具有阵列角(例如,临界角)。
在块1910处,纳米柱阵列320被配置为基于分选在出口处接收实体(诸如,出口940和/或945,其中每个出口可以附着/耦合至收集托盘或者收集箱),使得在第一方向上输出实体的第一群体以及在与第一方向不同的第二方向上输出实体的第二群体;
在块1915处,间隙G的间隙大小被调整为在第一方向上分选第一群体以及在第二方向上分选第二群体,并且根据纳米柱阵列320上设置的氧化物层316的厚度和/或对间隙的化学改性(诸如,在图7-图9中)中的至少一个来调整间隙大小。
当通过氧化物层316调整间隙大小时,氧化物层316将间隙大小减小至第一尺寸。当通过化学改性调整间隙大小时,化学改性将间隙大小进一步减小至第二尺寸,并且第二尺寸小于第一尺寸。
第一尺寸对应于氧化物层316将间隙大小减小至约20纳米,同时间隙保持均匀。第二尺寸对应于化学改性(例如,附着的配体)将间隙大小进一步减小至低于20纳米(例如,在已经沉积了氧化物层316之后)。对于第二尺寸,化学改性可以将间隙大小减小至18、16、14、12和/或10纳米。在一个实例中,化学改性可以将间隙大小减小至低于10纳米作为第二尺寸。在另一个实例中,化学改性(使用较长配体)可以将间隙大小减小至8、6、4和/或2纳米作为第二尺寸。如果需要,则化学改性可以通过将间隙大小减小至小于2纳米作为第二尺寸以几乎闭合间隙。
当通过化学改性调整间隙大小时,化学改性将间隙大小减小至第一尺寸。可以设想,即使在不施加氧化物层316的情况下也可以对纳米柱314施加化学改性。
化学改性在纳米柱上形成单层,以使得第一群体对单层具有亲和力而第二群体对单层没有亲和力。对单层具有亲和力引导实体的第一群体在第一方向上输出。实体包括生物标志物、生物分子、亚细胞成分、外来体、病毒、免疫测定物和/或蛋白质聚集体中的至少一个。
根据本发明实施例,图20是分选实体的方法2000。可以对图1-图19进行参照。
在块2005处,将实体引入纳米柱阵列320中,并且实体包括第一群体和第二群体。纳米柱阵列320包括有序布置的纳米柱314。纳米柱具有化学改性。已经在图7-图9中对各种化学改性例示进行了讨论。
在块2010处,输出(例如,出口940和945)在分选之后接收实体,使得基于实体的第一群体对化学改性具有亲和力在第一方向上(例如,图9A中的出口945)输出实体的第一群体,并且在与第一方向不同的第二方向上(例如,图9A中的出口940)输出实体的第二群体。此外,可以有接收现在分到一个或者多个出口(出口940和945)中/分离通过一个或者多个出口(出口940和945)的所分选实体的操作员。操作员可以利用或者附着分开的收集装置以分开地接收和保存所收集的实体。
第二群体对化学改性没有亲和力,诸如图9A中的实体905。通过第二群体对化学改性没有亲和力,在第二方向上(例如,输出出口940)输出第二群体。
沉积是使材料生长、涂敷或者以其它方式转移到晶片上的任何工艺。可用技术包括但不限于:热氧化、物理气相沉积(PVD)、化学气相沉积(CVD)、电化学沉积(ECD)、分子束外延(MBE)以及更新近的原子层沉积(ALD)以及其它技术。
去除是从晶片去除材料的任何工艺:示例包括蚀刻工艺(湿蚀刻或者干蚀刻)以及化学机械平坦化(CMP)等等。
图案化是所沉积材料的成型或者改变,并且通常称为光刻。例如,在常规光刻中,用称为光致抗蚀剂的化学制品涂敷晶片;随后称为步进光刻机的机器聚焦、对准和移动掩模,将下面的晶片的选择部分暴露于短波长光;通过显影液将暴光区冲洗掉。在蚀刻或者其它处理之后,去除剩余的光致抗蚀剂。图案化还包括电子束光刻、纳米压印光刻和反应性离子蚀刻。
附图中的流程图和框图图示了根据本发明各种实施例的方法的可能实现的功能和操作。在一些替代实现中,块中指示的功能可以不按附图中指示的顺序出现。例如,取决于所涉及的功能,实际上可以基本上并行地执行连续示出的两个块,或者有时可以以相反顺序执行块。

Claims (19)

1.一种用于分选的方法,所述方法包括:
将实体引入到纳米柱阵列中,所述实体包括第一群体和第二群体,其中所述纳米柱阵列包括有序布置的纳米柱,所述纳米柱被布置为具有将一个纳米柱与另一个纳米柱分离的间隙,并且其中所述纳米柱被排序为相对于流体流动方向具有阵列角;
通过在第一方向上输送小于预定大小的所述实体的第一群体以及通过在与所述第一方向不同的第二方向上输送至少为所述预定大小的所述实体的第二群体,来通过所述纳米柱阵列对所述实体进行分选;
其中所述纳米柱阵列被配置为采用具有小于300纳米的间隙大小的所述间隙以分选具有亚100纳米大小的所述实体;以及
其中通过氧化物层填充纳米柱中心处的腔,从而所述氧化物层被配置为使得所述间隙的间隙大小在所述纳米柱之间是均匀的。
2.根据权利要求1所述的方法,其中当所述实体具有等于或者大于7纳米的纳米大小时,所述纳米柱阵列被配置为对具有等于或者大于7纳米的纳米大小的所述实体进行分选。
3.根据权利要求1所述的方法,其中当所述实体具有等于或者大于7纳米的纳米大小时,所述间隙大小被配置为对具有等于或者大于7纳米的纳米大小的所述实体进行分选。
4.根据权利要求1所述的方法,其中所述间隙大小的下限为20纳米。
5.根据权利要求4所述的方法,其中施加至所述纳米柱阵列的氧化物层的厚度使得所述间隙的间隙大小为20纳米,同时所述间隙保持均匀。
6.根据权利要求1所述的方法,其中所述间隙的间隙大小被调整为在所述第一方向上分选小于所述预定大小的所述实体的第一群体,同时在所述第二方向上分选至少为所述预定大小的所述实体的第二群体;
其中调整所述间隙大小是基于施加至所述纳米柱阵列的氧化物层的厚度的。
7.根据权利要求6所述的方法,其中进一步调整所述间隙大小是基于通过化学改性施加至所述纳米柱的单层的。
8.根据权利要求1所述的方法,其中化学改性在所述纳米柱上形成单层,使得所述第一群体对所述单层具有亲和力而所述第二群体对所述单层没有亲和力;
其中对所述单层具有亲和力引导所述实体的第一群体在所述第一方向上输送。
9.根据权利要求1所述的方法,
所述方法包括基于分选接收所述实体;
其中所述间隙的间隙大小被调整为在所述第一方向上分选所述第一群体以及在所述第二方向上分选所述第二群体,根据所述纳米柱阵列上设置的氧化物层的厚度和对所述间隙的化学改性中的至少一个调整所述间隙大小。
10.根据权利要求9所述的方法,其中当通过所述氧化物层调整所述间隙大小时,所述氧化物层将所述间隙大小减小至第一尺寸。
11.根据权利要求10所述的方法,其中当通过所述化学改性调整所述间隙大小时,所述化学改性将所述间隙大小进一步减小至第二尺寸;以及
其中所述第二尺寸小于所述第一尺寸。
12.根据权利要求10所述的方法,其中所述第一尺寸对应于所述氧化物层将所述间隙大小减小至20纳米同时所述间隙保持均匀。
13.根据权利要求11所述的方法,其中所述第二尺寸对应于所述化学改性将所述间隙大小减小至低于20纳米。
14.根据权利要求9所述的方法,其中当通过所述化学改性调整所述间隙大小时,所述化学改性将所述间隙大小减小至第一尺寸。
15.根据权利要求14所述的方法,其中所述化学改性在所述纳米柱上形成单层,使得所述第一群体对所述单层具有亲和力而所述第二群体对所述单层没有亲和力;以及
其中对所述单层具有所述亲和力引导所述实体的第一群体在所述第一方向上输出。
16.根据权利要求1所述的方法,其中所述纳米柱具有化学改性;
所述方法包括在分选之后接收所述实体;
其中所述第一群体对所述化学改性具有亲和力。
17.根据权利要求16所述的方法,其中所述第二群体对所述化学改性没有亲和力。
18.根据权利要求17所述的方法,其中通过所述第二群体对所述化学改性没有亲和力,在所述第二方向上输出所述第二群体。
19.根据前述权利要求中的任一项所述的方法,其中所述实体包括生物标志物、生物分子、亚细胞成分、外来体、病毒、免疫测定物和蛋白质聚集体中的至少一个。
CN201580060721.4A 2014-11-26 2015-11-23 使用纳米柱阵列的低至纳米尺度的实体的连续流式的基于大小的分离 Active CN107075435B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201462084647P 2014-11-26 2014-11-26
US62/084,647 2014-11-26
US14/697,072 2015-04-27
US14/697,072 US10058895B2 (en) 2014-11-26 2015-04-27 Continuous flow, size-based separation of entities down to the nanometer scale using nanopillar arrays
US14/749,309 US9975147B2 (en) 2014-11-26 2015-06-24 Continuous flow, size-based separation of entities down to the nanometer scale using nanopillar arrays
US14/749,309 2015-06-24
PCT/IB2015/059033 WO2016083975A1 (en) 2014-11-26 2015-11-23 Continuous flow, size-based separation of entities down to the nanometer scale using nanopillar arrays

Publications (2)

Publication Number Publication Date
CN107075435A CN107075435A (zh) 2017-08-18
CN107075435B true CN107075435B (zh) 2020-06-12

Family

ID=56009280

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580060721.4A Active CN107075435B (zh) 2014-11-26 2015-11-23 使用纳米柱阵列的低至纳米尺度的实体的连续流式的基于大小的分离

Country Status (6)

Country Link
US (2) US10058895B2 (zh)
JP (1) JP6744304B2 (zh)
CN (1) CN107075435B (zh)
DE (1) DE112015005304B4 (zh)
GB (1) GB2549627B (zh)
WO (1) WO2016083975A1 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11009464B2 (en) * 2015-12-11 2021-05-18 International Business Machines Corporation Smartphone compatible on-chip biodetection using integrated optical component and microfluidic channel with nanopillar array
US9559240B1 (en) * 2015-12-17 2017-01-31 International Business Machines Corporation Nano-pillar-based biosensing device
US10010883B2 (en) 2016-09-20 2018-07-03 International Business Machines Corporation Deterministic lateral displacement arrays
US10253350B2 (en) 2016-09-20 2019-04-09 International Business Machines Corporation Separation of molecules using nanopillar arrays
US10386276B2 (en) 2016-09-20 2019-08-20 International Business Machines Corporation Phosphoprotein detection using a chip-based pillar array
US10471425B2 (en) 2017-02-16 2019-11-12 International Business Machines Corporation Automated machine for sorting of biological fluids
US10830724B2 (en) 2017-12-22 2020-11-10 International Business Machines Corporation Micro-capacitance sensor array containing spaced apart first and second overlapping and parallel electrode plates for sensing analytes
US11161281B2 (en) 2017-12-22 2021-11-02 International Business Machines Corporation Structure and method for monitoring directed self-assembly pattern formation
US10946380B2 (en) 2018-01-19 2021-03-16 International Business Machines Corporation Microfluidic chips for particle purification and fractionation
US11458474B2 (en) 2018-01-19 2022-10-04 International Business Machines Corporation Microfluidic chips with one or more vias
US20190226953A1 (en) 2018-01-19 2019-07-25 International Business Machines Corporation Microscale and mesoscale condenser devices
US11185861B2 (en) 2018-06-13 2021-11-30 International Business Machines Corporation Multistage deterministic lateral displacement device for particle separation
KR102083845B1 (ko) * 2018-07-31 2020-03-03 광주과학기술원 혈액 진단 소자
US11192101B2 (en) 2018-08-28 2021-12-07 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap filling materials
US11084032B2 (en) 2018-08-28 2021-08-10 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap fill and spin-on glass tone inversion
KR102401909B1 (ko) * 2018-08-30 2022-05-24 주식회사 엘지화학 반응 최적화를 위한 고속 스크리닝 분석 시스템
US11033901B2 (en) 2018-10-23 2021-06-15 International Business Machines Corporation Biomarker detection using integrated purification-detection devices
US10685906B2 (en) * 2018-11-13 2020-06-16 International Business Machines Corporation Electrically conductive deterministic lateral displacement array in a semiconductor device
CN112044479A (zh) * 2019-06-05 2020-12-08 曦医生技股份有限公司 微流道装置
EP3987333A4 (en) * 2019-06-18 2023-07-26 Applied Materials, Inc. AIRSPACE ENCAPSULATED DIELECTRIC NANOPILLARS FOR FLAT OPTICAL DEVICES
US11255769B2 (en) * 2019-08-01 2022-02-22 International Business Machines Corporation Up-concentration and size sorting of nanoparticles in microfluidic devices
US11266989B2 (en) 2019-08-07 2022-03-08 International Business Machines Corporation Immunodetection and separation on nanoDLD
US11565262B2 (en) 2020-03-10 2023-01-31 International Business Machines Corporation Continous band-pass filter size separation using a negative angle DLD array
CN114433485B (zh) * 2022-04-11 2022-07-12 天津美腾科技股份有限公司 风力分选的控制方法及系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1410155A (zh) * 2001-08-03 2003-04-16 日本电气株式会社 分离装置及其制造方法
US8783466B2 (en) * 2005-10-06 2014-07-22 Massachusetts Institute Of Technology Continuous biomolecule separation in a nanofilter

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US583715A (en) 1897-06-01 Burial rough box
AU2002251946A1 (en) * 2001-02-14 2002-08-28 Science And Technology Corporation @ Unm Nanostructured devices for separation and analysis
US7014747B2 (en) 2001-06-20 2006-03-21 Sandia Corporation Dielectrophoretic systems without embedded electrodes
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
AU2003269813A1 (en) 2002-04-16 2003-12-31 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
ATE463292T1 (de) 2002-10-23 2010-04-15 Univ Princeton Verfahren zur kontinuierlichen teilchentrennung unter verwendungvon zu feldern asymmetrisch ausgerichteten hindernisarrays
JP2006087372A (ja) 2004-09-24 2006-04-06 Fuji Photo Film Co Ltd 核酸の分離精製方法を行うためのマイクロデバイス
US8137912B2 (en) * 2006-06-14 2012-03-20 The General Hospital Corporation Methods for the diagnosis of fetal abnormalities
EP2321055A4 (en) * 2008-07-10 2012-01-18 Steven H Reichenbach METHOD AND DEVICE FOR SORTING PARTICLES USING ASYMMETRIC PARTICLE SHIFT
EP3196642A1 (en) 2008-07-24 2017-07-26 The Trustees of Princeton University Bump array device having asymmetric gaps for segregation of particles
EP2421628A4 (en) 2009-04-23 2012-10-10 Logos Energy Inc LATERAL DISPLACEMENT ARRANGEMENT FOR MICROFILTRATION
CA2844056A1 (en) 2011-08-04 2013-02-07 Sage Science, Inc. Systems and methods for processing fluids
AU2014214709B2 (en) * 2013-02-08 2018-05-31 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Biomolecular processing platform and uses thereof
WO2014145075A2 (en) * 2013-03-15 2014-09-18 The Trustees Of Princeton University Methods and devices for high throughpout purification
EP3569313A1 (en) * 2013-03-15 2019-11-20 GPB Scientific, LLC On-chip microfluidic processing of particles
US20160250637A1 (en) 2013-10-25 2016-09-01 Monash University Virtual deterministic lateral displacement for particle separation using surface acoustic waves

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1410155A (zh) * 2001-08-03 2003-04-16 日本电气株式会社 分离装置及其制造方法
US8783466B2 (en) * 2005-10-06 2014-07-22 Massachusetts Institute Of Technology Continuous biomolecule separation in a nanofilter

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
A deterministic lateral displacement device for continuous-flow separation of nanometer-sized particles;Luigi Sasso et.al;《American biotechnology laboratory》;20091031;第27卷(第10期);第13页,第14页左栏第1段,图1-2,5 *
Luigi Sasso et.al.A deterministic lateral displacement device for continuous-flow separation of nanometer-sized particles.《American biotechnology laboratory》.2009,第27卷(第10期),第13-15页. *

Also Published As

Publication number Publication date
DE112015005304T5 (de) 2017-08-24
US9975147B2 (en) 2018-05-22
GB2549627B (en) 2021-10-13
WO2016083975A1 (en) 2016-06-02
GB201708235D0 (en) 2017-07-05
US20160144406A1 (en) 2016-05-26
CN107075435A (zh) 2017-08-18
DE112015005304B4 (de) 2022-02-24
US20160144405A1 (en) 2016-05-26
JP2017536824A (ja) 2017-12-14
GB2549627A (en) 2017-10-25
US10058895B2 (en) 2018-08-28
JP6744304B2 (ja) 2020-08-19

Similar Documents

Publication Publication Date Title
CN107075435B (zh) 使用纳米柱阵列的低至纳米尺度的实体的连续流式的基于大小的分离
US10393642B2 (en) Pillar array structure with uniform and high aspect ratio nanometer gaps
US9835539B2 (en) Biopolymer separation using nanostructured arrays
US11292713B2 (en) Integrated analysis device analysis techniques
Xia et al. Fabrication of nanofluidic biochips with nanochannels for applications in DNA analysis
Xia et al. Nanostructures and functional materials fabricated by interferometric lithography
US11648557B2 (en) Metal assisted chemical etching for fabricating high aspect ratio and straight silicon nanopillar arrays for sorting applications
EP2048497B1 (en) Column for chromatography and method for producing the same
US20060065528A1 (en) Nanostructured devices for separation and analysis
US9782773B2 (en) Nanogap structure for micro/nanofluidic systems formed by sacrificial sidewalls
Hu et al. Nanofluidic channels of arbitrary shapes fabricated by tip-based nanofabrication
Ginige et al. Solvent vapor annealing, defect analysis, and optimization of self-assembly of block copolymers using machine learning approaches
Charlot et al. DNA on rails: Combing DNA fibers on nanogratings
Andagana Junta Nanofabrication of Optofluidic Photonic Crystal Resonators for Biosensing
Junta et al. Nanofabrication of Optofluidic Photonic Crystal Resonators for Biosensing
Mao Ultra-high-aspect-ratio nanofluidic channels for high-throughput biological applications
KAJI et al. Nanopillars and Nanoballs for DNA Analysis
Shi et al. Fabrication of Nano Channels Using IBE for Nanofluidics Study

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant