JP5885904B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP5885904B2
JP5885904B2 JP2009184237A JP2009184237A JP5885904B2 JP 5885904 B2 JP5885904 B2 JP 5885904B2 JP 2009184237 A JP2009184237 A JP 2009184237A JP 2009184237 A JP2009184237 A JP 2009184237A JP 5885904 B2 JP5885904 B2 JP 5885904B2
Authority
JP
Japan
Prior art keywords
gas
microwave
hole
film
silicon substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009184237A
Other languages
Japanese (ja)
Other versions
JP2011040457A (en
Inventor
剛直 根本
剛直 根本
大見 忠弘
忠弘 大見
朋貢 大橋
朋貢 大橋
後藤 哲也
哲也 後藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Tokyo Electron Ltd
Original Assignee
Tohoku University NUC
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC, Tokyo Electron Ltd filed Critical Tohoku University NUC
Priority to JP2009184237A priority Critical patent/JP5885904B2/en
Priority to PCT/JP2010/004937 priority patent/WO2011016242A1/en
Priority to TW099126181A priority patent/TWI512890B/en
Publication of JP2011040457A publication Critical patent/JP2011040457A/en
Application granted granted Critical
Publication of JP5885904B2 publication Critical patent/JP5885904B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Description

本発明は、貫通ビアを有する半導体装置およびその製造方法に関する。   The present invention relates to a semiconductor device having a through via and a manufacturing method thereof.

最近、シリコン貫通ビア(TSV:Through Silicon Via)が、半導体デバイスの小型、高集積化および高性能化を同時に達成できる次世代の半導体実装技術として注目されている。   Recently, a through silicon via (TSV) has been attracting attention as a next-generation semiconductor mounting technology that can simultaneously achieve miniaturization, high integration, and high performance of a semiconductor device.

TSVは、半導体チップを垂直に貫通する電極または配線である。複数のチップを積み重ねてTSVによりチップ相互間を接続することで、3次元集積回路の小型化、大容量化、高性能化を容易に実現することができる。   TSV is an electrode or wiring that penetrates the semiconductor chip vertically. By stacking a plurality of chips and connecting the chips with each other by TSV, it is possible to easily realize a reduction in size, capacity, and performance of a three-dimensional integrated circuit.

一般に、TSV加工のプロセスは、ウエハプロセスの中の工程序列に応じて、配線工程(BEOL:Back End Of Line)の前に行われるビア・ファースト(Via-first)と、BEOLの後に行われるビア・ラスト(Via-Last)の2種類に分けられる。ビア・ファーストは、TSVの微細加工に有利で、ビア径を細くすることや、多数本(数千本以上)のTSVを形成するのは容易であるが、ビアの導体が抵抗率の高いポリシリコンに限られるという制約がある。これに対して、ビア・ラストは、ビア径を細くすることやTSVの本数を多くするのは難しいが、ビアの導体に抵抗率の低いCuを使える利点や、設計の自由度が大きいなどの利点がある。   In general, TSV processing is performed in accordance with the sequence of steps in a wafer process, via-first performed before a wiring process (BEOL: Back End Of Line), and via performed after BEOL.・ It can be divided into two types: Via-Last. Via First is advantageous for microfabrication of TSV, and it is easy to reduce the via diameter and to form a large number (thousands or more) of TSVs, but the via conductor has a high resistivity. There is a restriction that it is limited to silicon. On the other hand, it is difficult to reduce the via diameter and increase the number of TSVs in the via last, but the advantage that Cu having low resistivity can be used for the via conductor and the degree of freedom in design are large. There are advantages.

TSV加工のプロセスは、基本的には、シリコン基板にビアを形成する工程と、ビアの底部が露出するまでシリコン基板の裏面を削って薄板化する工程と、シリコン基板同士を積層して電気的かつ物理的に接続する工程とからなる。   The TSV processing process basically includes a step of forming a via in a silicon substrate, a step of cutting the back surface of the silicon substrate until the bottom of the via is exposed, and a thin plate, and laminating the silicon substrates together to electrically And a step of physically connecting.

その中で、ビア形成の工程は、より詳細には、シリコン基板に穴を開ける工程と、この穴の内壁に絶縁膜を形成する工程と、穴の中に導体を埋め込む工程とを含んでいる。ここで、穴開けには、エッチングまたはレーザビーム加工が用いられている。また、穴の内壁に形成される絶縁膜は、ビア導体を基板のSiから隔壁するためのものであり、化学的気相成長(CVD:Chemical Vapor Deposition)法により堆積されるシリコン酸化膜(SiO2)が一般的である。導体の埋め込みには、ポリシリコン(ビア・ファースト)の場合はCVDが用いられ、Cu(ビア・ラスト)の場合はめっきが用いられている。 Among them, the via forming step includes, more specifically, a step of forming a hole in the silicon substrate, a step of forming an insulating film on the inner wall of the hole, and a step of embedding a conductor in the hole. . Here, etching or laser beam processing is used for drilling. The insulating film formed on the inner wall of the hole is for partitioning the via conductor from Si of the substrate, and is a silicon oxide film (SiO) deposited by a chemical vapor deposition (CVD) method. 2 ) is common. For embedding the conductor, CVD is used in the case of polysilicon (via first), and plating is used in the case of Cu (via last).

また、基板の薄板化(ビア底露出)の工程では、特殊な砥石を用いてシリコン基板の裏面をグライディングする。その際、シリコン基板のおもて面にウエハと略同形の支持部材を貼り合わせて、シリコン基板がグライディングによって徐々に薄くなっても研磨加工に耐えられるようにしている。   In the process of thinning the substrate (via bottom exposure), the back surface of the silicon substrate is ground using a special grindstone. At this time, a support member having substantially the same shape as the wafer is bonded to the front surface of the silicon substrate so that the silicon substrate can withstand polishing even if the silicon substrate is gradually thinned by gliding.

グライディングの後、シリコン基板を軽く洗浄してから、ビアの上下両端にCuまたはハンダ等からなるバンプを取り付け、シリコン基板同士間の位置合わせとTSVの電気的接続を行う。   After the gliding, the silicon substrate is lightly washed, and bumps made of Cu or solder are attached to the upper and lower ends of the via, and the silicon substrates are aligned and the TSV is electrically connected.

特開2009−10311JP2009-10311A

従来のTSV加工においては、薄板化(ビア底露出)工程の際に、シリコン基板の裏面がグライディングによって不可避的に外部応力を受け、基板裏面の所々に格子欠陥等の傷が付くだけでなく、ビア底近くの側壁の絶縁膜(SiO2膜)も損傷しやすいことが問題となっている。 In conventional TSV processing, during the thinning (via bottom exposure) process, the back surface of the silicon substrate is inevitably subjected to external stress due to gliding, and scratches such as lattice defects are attached to the back surface of the substrate. The problem is that the insulating film (SiO 2 film) on the side wall near the bottom of the via is also easily damaged.

また、従来のTSV加工において、ビア内壁の絶縁膜(SiO2)は、反応ガスとしてTEOS−O2系のガスを使用する高周波の容量結合型または誘導結合型プラズマCVD装置により低温成膜で形成されるが、Si-OHやSi-H等の不純物を多く含んで吸湿性があり、膜質のよくないことが問題となっている。 In the conventional TSV processing, the insulating film (SiO 2 ) on the inner wall of the via is formed by low-temperature film formation using a high-frequency capacitively or inductively coupled plasma CVD apparatus using a TEOS-O 2 gas as a reaction gas. However, it has a problem that it contains a large amount of impurities such as Si—OH and Si—H, is hygroscopic and has poor film quality.

上記のようにビア内壁ないし側壁の絶縁膜に損傷や欠陥があると、リーク電流やクロストークが増して、デバイス特性が不安定になり、TSV実装技術の信頼性が損なわれる。   As described above, if the insulating film on the inner wall or side wall of the via is damaged or defective, the leakage current and the crosstalk increase, the device characteristics become unstable, and the reliability of the TSV mounting technology is impaired.

本発明は、上記のような従来技術の問題点に鑑みてなされたものであり、半導体基板の裏面側で貫通ビア回りに損傷や欠陥を生じないようにし、あるいは大幅に低減する半導体装置の製造方法を提供する。   The present invention has been made in view of the above-described problems of the prior art, and is capable of manufacturing a semiconductor device that does not cause damage or defects around the through via on the back surface side of the semiconductor substrate or greatly reduces it. Provide a method.

さらに、本発明は、貫通ビア回りの電気的特性を改善した半導体装置を提供する。   Furthermore, the present invention provides a semiconductor device with improved electrical characteristics around the through via.

本発明における半導体装置の製造方法は、半導体基板にそのデバイス形成面側から所望の深さで穴を開ける第1の工程と、前記穴の内壁に、TEOSを含む処理ガスを用い、プラズマの生成にラジアルラインスロットアンテナを用いてマイクロ波放電を利用するマイクロ波励起プラズマCVD法によりシリコン酸化膜を形成する第2の工程と、前記穴の内壁に形成されたシリコン酸化膜を、処理ガスとして不活性ガスとNH3ガスもしくはN2ガスとを含む混合ガスを用い、マイクロ波放電にラジアルラインスロットアンテナを用いるマイクロ波励起プラズマ窒化法により窒化してシリコン窒化酸化膜とする第3の工程と、前記穴に導体を埋め込む第4の工程と、20〜30重量%のフッ酸と40〜20重量%の硝酸と5〜15重量%の酢酸とを含有するエッチング液を用いてエッチング速度を30μm/min以上とするウエットエッチングにより前記半導体基板の裏面を前記導体が露出するまで削る第5の工程とを有する。
The method of manufacturing a semiconductor device according to the present invention uses a first step of opening a hole in a semiconductor substrate at a desired depth from the device formation surface side , and a processing gas containing TEOS on the inner wall of the hole. And a second step of forming a silicon oxide film by a microwave-excited plasma CVD method using a microwave discharge using a radial line slot antenna, and a silicon oxide film formed on the inner wall of the hole as a processing gas. A third step of forming a silicon oxynitride film by nitriding by a microwave-excited plasma nitriding method using a mixed gas containing an active gas and NH 3 gas or N 2 gas and using a radial line slot antenna for microwave discharge; fourth step and, 20 to 30 wt% of hydrofluoric acid and 40 to 20 wt% nitric acid and 5 to 15 wt% acetic acid for embedding conductors in the hole By wet etching using etch rate and 30 [mu] m / min or more by using an etchant containing, and a fifth step of grinding the back surface of the semiconductor substrate until the conductor is exposed.

上記半導体装置の製造方法においては、半導体基板に開けた穴に、TEOSを含む処理ガスを用いて、プラズマの生成にラジアルラインスロットアンテナを用いてマイクロ波放電を利用するマイクロ波励起プラズマCVD法によりシリコン酸化膜を形成する。このシリコン酸化膜(プラズマTEOS膜)は、不純物が少なくて吸湿性が低い。次に、不活性ガスとNH 3 ガスもしくはN 2 ガスとを含む混合ガスを用いて、マイクロ波放電にラジアルラインスロットアンテナを用いるマイクロ波励起プラズマ窒化法により該シリコン酸化膜を窒化してシリコン窒化酸化膜とする。これにより、次工程の半導体基板の裏面を削るウエットエッチングにおいて貫通電極の穴壁のシリコン窒化酸化膜は吸湿性が低いためにエッチング液に露出した際に溶けにくくて損傷や欠陥を起こしにくい。このことにより、半導体基板の裏面側で貫通ビア回りに損傷や欠陥を生じないようにし、あるいは大幅に低減することができる。また、上記ウエットエッチングの工程では、20〜30重量%のフッ酸と40〜20重量%の硝酸と5〜15重量%の酢酸とを含有するエッチング液を用いることで、30μm/min以上のエッチング速度を達成することができる。
In the method for manufacturing a semiconductor device, a process gas containing TEOS is used for a hole formed in a semiconductor substrate, a radial line slot antenna is used for plasma generation, and microwave excitation plasma CVD using microwave discharge is used. A silicon oxide film is formed. This silicon oxide film (plasma TEOS film) has few impurities and low hygroscopicity. Next, using a mixed gas containing an inert gas and NH 3 gas or N 2 gas, the silicon oxide film is nitrided by a microwave-excited plasma nitridation method using a radial line slot antenna for microwave discharge to form silicon nitride An oxide film is used. Thereby, a silicon nitride oxide film of the hole wall of the through electrode in the wet etching grinding the back surface of the semiconductor substrate in the next step is difficult to cause difficulty rather by damage or defects melted when exposed to the etchant for less hygroscopic . As a result, damage or defects around the through vias on the back side of the semiconductor substrate can be prevented or greatly reduced. In the wet etching step, an etching solution containing 20 to 30% by weight of hydrofluoric acid, 40 to 20% by weight of nitric acid and 5 to 15% by weight of acetic acid is used to etch at 30 μm / min or more. Speed can be achieved.

本発明における半導体装置の製造方法によれば、上記のような構成および作用により、半導体基板の裏面側で貫通ビア回りに損傷や欠陥を生じないようにし、あるいは大幅に低減することができる。また、本発明における半導体装置は、上記のような構成により、貫通ビア回りの電気的特性を向上させることができる。   According to the method for manufacturing a semiconductor device of the present invention, damage and defects can be prevented or greatly reduced around the through via on the back surface side of the semiconductor substrate by the configuration and operation as described above. In addition, the semiconductor device according to the present invention can improve the electrical characteristics around the through via with the above-described configuration.

本発明の一実施形態におけるTSV加工プロセスの一段階を示す断面図である。It is sectional drawing which shows one step of the TSV processing process in one Embodiment of this invention. 実施形態におけるTSV加工プロセスの一段階を示す縦断面図である。It is a longitudinal cross-sectional view which shows one stage of the TSV processing process in embodiment. 実施形態におけるTSV加工プロセスの一段階を示す縦断面図である。It is a longitudinal cross-sectional view which shows one stage of the TSV processing process in embodiment. 実施形態におけるTSV加工プロセスの一段階を示す縦断面図である。It is a longitudinal cross-sectional view which shows one stage of the TSV processing process in embodiment. 実施形態におけるTSV加工プロセスの一段階を示す縦断面図である。It is a longitudinal cross-sectional view which shows one stage of the TSV processing process in embodiment. 実施形態におけるTSV加工プロセスの一段階を示す縦断面図である。It is a longitudinal cross-sectional view which shows one stage of the TSV processing process in embodiment. 実施形態におけるTSV加工プロセスの一段階を示す縦断面図である。It is a longitudinal cross-sectional view which shows one stage of the TSV processing process in embodiment. 実施形態におけるTSV加工プロセスの一段階を示す縦断面図である。It is a longitudinal cross-sectional view which shows one stage of the TSV processing process in embodiment. 上記TSV加工プロセスに使用可能な枚葉型ウエットエッチング装置の主要な構成を示す一部断面側面図である。It is a partial cross section side view which shows the main structures of the single wafer type wet etching apparatus which can be used for the said TSV processing process. 上記TSV加工プロセスに使用可能なマイクロ波プラズマCVD装置の構成を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structure of the microwave plasma CVD apparatus which can be used for the said TSV processing process. 上記マイクロ波プラズマCVD装置に備えられるRLSAの構成を示す平面図である。It is a top view which shows the structure of RLSA with which the said microwave plasma CVD apparatus is equipped.

以下、添付図を参照して本発明の好適な実施形態を説明する。   Hereinafter, preferred embodiments of the present invention will be described with reference to the accompanying drawings.

先ず、図1〜図8につき、本発明の一実施形態によるTSV加工プロセスの一連の工程を説明する。なお、このTSV加工プロセスはビア・ラストである。   First, a series of steps of a TSV machining process according to an embodiment of the present invention will be described with reference to FIGS. This TSV processing process is via last.

ビア・ラストの場合は、TSV加工に先立って基板工程または前工程(FEOL:Front End Of Line)と配線工程または後工程(BEOL)とが済んでおり、図1に示すように、シリコン基板またはシリコンウエハ10のおもて面つまりデバイス形成面にはトランジスタ等の半導体素子12が作り込まれ、デバイス形成面の上には多層配線構造14が形成されている。   In the case of via last, a substrate process or a front process (FEOL: Front End Of Line) and a wiring process or a post process (BEOL) have been completed prior to TSV processing. As shown in FIG. A semiconductor element 12 such as a transistor is formed on the front surface of the silicon wafer 10, that is, the device formation surface, and a multilayer wiring structure 14 is formed on the device formation surface.

この実施形態では、BEOLまで終えたシリコン基板10に対し、図2に示すように、先ず基板のおもて面つまりデバイス形成面側から所望の位置で所望の深さに穴16を開ける。この穴開けには、ドライエッチングあるいはレーザビーム加工を使用できる。ドライエッチングの場合は、いわゆるBoshプロセスにより、ビア側壁をポリマー膜で保護しながらビア底部のSiのみを選択的に除去して、異方性の高い高アスペクト比で穴16を開けることができる。サイズ的には、たとえば、シリコン基板10の厚さAが通常約700μmであるのに対して、穴16の深さBは80〜120μm、穴16の直径Cは20〜50μmに選ばれる。   In this embodiment, as shown in FIG. 2, a hole 16 is first drilled to a desired depth at a desired position from the front surface of the substrate, that is, the device forming surface side, as shown in FIG. For this drilling, dry etching or laser beam processing can be used. In the case of dry etching, the hole 16 can be formed with a high aspect ratio with high anisotropy by selectively removing only Si at the bottom of the via while protecting the via sidewall with a polymer film by a so-called Bosh process. In terms of size, for example, the thickness A of the silicon substrate 10 is usually about 700 μm, while the depth B of the hole 16 is selected to be 80 to 120 μm, and the diameter C of the hole 16 is selected to be 20 to 50 μm.

次に、図3に示すように、穴16の内壁を含むシリコン基板10の主面上に絶縁膜としてシリコン酸化膜(SiO2)18を形成する。このシリコン酸化膜18を形成する工程は、この実施形態における特徴の1つであり、後に詳述するように、ラジアルラインスロットアンテナ(RLSA:Radial Line Slot Antenna)を備えるマイクロ波プラズマCVD装置によって行われる。 Next, as shown in FIG. 3, a silicon oxide film (SiO 2 ) 18 is formed as an insulating film on the main surface of the silicon substrate 10 including the inner wall of the hole 16. The step of forming the silicon oxide film 18 is one of the features in this embodiment, and is performed by a microwave plasma CVD apparatus equipped with a radial line slot antenna (RLSA) as will be described in detail later. Is called.

次に、図4に示すように、穴16の内壁を含むシリコン基板10の主面上に、つまりシリコン酸化膜18の上に、拡散防止用のたとえばTiN層20およびめっき電極用のCuシード層22をスパッタ法により順次重ねて形成する。   Next, as shown in FIG. 4, on the main surface of the silicon substrate 10 including the inner wall of the hole 16, that is, on the silicon oxide film 18, for example, a TiN layer 20 for preventing diffusion and a Cu seed layer for a plating electrode. 22 are sequentially stacked by sputtering.

そして、図5に示すように、穴16の中にビア導体24としてCuを電解めっき法により埋め込む。穴16の上にはみ出たCuめっきは、図6に示すように、化学的機械研磨(CMP:Chemical Mechanical Polishing)で除去し、シリコン基板10の主面を平坦化する。   Then, as shown in FIG. 5, Cu is embedded in the hole 16 as the via conductor 24 by electrolytic plating. As shown in FIG. 6, the Cu plating protruding from the hole 16 is removed by chemical mechanical polishing (CMP), and the main surface of the silicon substrate 10 is flattened.

次に、図7に示すように、穴16の底部つまりCuビア導体24の底部が露出するまでシリコン基板10の裏面を削り、シリコン基板10を100μm程度の厚さに薄板化する。このウエハ薄板化またはビア底露出化の工程も、この実施形態における特徴の1つであり、後に詳述するように、HF、HNO3およびカルボン酸(たとえば酢酸)の混合液を薬液に使用する枚葉型のウエットエッチング装置によって行われる。 Next, as shown in FIG. 7, the back surface of the silicon substrate 10 is shaved until the bottom of the hole 16, that is, the bottom of the Cu via conductor 24 is exposed, and the silicon substrate 10 is thinned to a thickness of about 100 μm. This process of thinning the wafer or exposing the bottom of the via is also one of the features in this embodiment. As will be described in detail later, a mixed solution of HF, HNO 3 and carboxylic acid (for example, acetic acid) is used as a chemical solution. This is performed by a single wafer type wet etching apparatus.

次に、図8に示すように、Cuビア導体24の上端(おもて面側)および下端(裏面側)に、たとえばCuあるいはハンダからなる金属バンプ26,28をそれぞれ形成または取付する。図示省略するが、複数のシリコン基板を垂直に積み重ねるときは、これらの金属バンプ26,28が他のシリコン基板の対応するバンプとそれぞれ接続する。また、多層配線構造14内の配線もCuビア導体24あるいはバンプ26,28と電気的に接続される。   Next, as shown in FIG. 8, metal bumps 26 and 28 made of, for example, Cu or solder are formed or attached to the upper end (front surface side) and the lower end (back surface side) of the Cu via conductor 24, respectively. Although not shown, when a plurality of silicon substrates are stacked vertically, these metal bumps 26 and 28 are respectively connected to corresponding bumps of other silicon substrates. The wiring in the multilayer wiring structure 14 is also electrically connected to the Cu via conductor 24 or the bumps 26 and 28.

図9に、上述したTSV加工プロセスの中でウエハ薄板化の工程(図7)に好適に使用可能な枚葉型ウエットエッチング装置の要部の構成を示す。   FIG. 9 shows a configuration of a main part of a single wafer type wet etching apparatus that can be suitably used in the wafer thinning step (FIG. 7) in the above-described TSV processing process.

このウエットエッチング装置は、環状カップ30の内側中心部に回転ステージ32を設置し、この回転ステージ32上にシリコン基板10を上下逆さまの姿勢(基板裏面が上になる姿勢)で載せ、回転ステージ32に備え付けているメカニカル式またはバキューム式のチャック機構(図示せず)によってシリコン基板10を保持する。そして、回転駆動部34により回転軸36を介してシリコン基板10を回転ステージ32と一体に適度な回転速度(たとえば500rpm)でスピン回転させながら、その上方に配置したノズル38より薬液つまりエッチング液を所定の流量(たとえば100ml/min)でシリコン基板10の上面(裏面)に噴き付ける。その際、ノズル38を支持するアーム40を旋回運動または揺動させて、ノズル38をシリコン基板10の半径方向で往復移動させてよい。   In this wet etching apparatus, a rotary stage 32 is installed at the center of the inner side of the annular cup 30, and the silicon substrate 10 is placed on the rotary stage 32 in an upside down posture (post substrate upside). The silicon substrate 10 is held by a mechanical or vacuum chuck mechanism (not shown) provided in the above. Then, while the silicon substrate 10 is spin-rotated at an appropriate rotation speed (for example, 500 rpm) integrally with the rotation stage 32 by the rotation drive unit 34 via the rotation shaft 36, the chemical solution, that is, the etching solution is supplied from the nozzle 38 disposed above the silicon substrate 10. It sprays on the upper surface (back surface) of the silicon substrate 10 at a predetermined flow rate (for example, 100 ml / min). At this time, the arm 40 supporting the nozzle 38 may be swung or swung to reciprocate the nozzle 38 in the radial direction of the silicon substrate 10.

シリコン基板10上の反応で発生したガスや溶解物(反応生成物)は、回転ステージ32の周囲に飛散して、カップ30の底部に導かれ、排液は排液口42からドレインタンク(図示せず)へ送られ、排ガスは排気口44から排気装置(図示せず)へ送られる。   Gases and dissolved substances (reaction products) generated by the reaction on the silicon substrate 10 are scattered around the rotary stage 32 and guided to the bottom of the cup 30, and the drainage is discharged from the drain port 42 to the drain tank (see FIG. The exhaust gas is sent from the exhaust port 44 to an exhaust device (not shown).

この実施形態では、エッチング液として、HF(フッ酸)、HNO3(硝酸)およびCH3COOH(酢酸)の混合液を用いる。ここで、HFおよびHNO3はSiエッチングの酸化・還元反応に直接関わる。すなわち、シリコン基板10のSiがHNO3と反応して酸化され、SiO2となる(その際、NOxガスが発生する)。そして、中間生成物のSiO2がHFと反応してH2SiF6となり、液に溶解する。このように、HNO3がSiの酸化反応を律速する一方で、HFがSiO2の還元または溶解反応を律速し、両者はトレードオフの関係にある。そこで、CH3COOHを添加して、酸化反応と還元反応のバランスをとるようにしている。 In this embodiment, a mixed liquid of HF (hydrofluoric acid), HNO 3 (nitric acid), and CH 3 COOH (acetic acid) is used as the etching liquid. Here, HF and HNO 3 are directly involved in the oxidation / reduction reaction of Si etching. That is, Si of the silicon substrate 10 reacts with HNO 3 and is oxidized to become SiO 2 (NOx gas is generated at that time). The intermediate product SiO 2 reacts with HF to become H 2 SiF 6 and dissolves in the liquid. Thus, while HNO 3 controls the oxidation reaction of Si, HF controls the reduction or dissolution reaction of SiO 2 , and the two are in a trade-off relationship. Therefore, CH 3 COOH is added to balance the oxidation reaction and the reduction reaction.

かかるウエットエッチング機構において全体のエッチング速度を高めるためには、HF、HNO3,CH3COOHの混合比が重要である。本発明者が、上記のような枚葉型ウエットエッチング装置を使用して幾多の実験を重ねたところ、HFは20〜30重量%、HNO3は40〜20重量%、CH3COOHは5〜15重量%が最適な混合比であることが確認された。また、ノズル38よりシリコン基板10上に供給するエッチング液の流量も、エッチング効率およびコスト性の両面から最適な値に選定されるのが望ましく、たとえば300mm口径のシリコン基板10に対しては、100ml/min〜500ml/minの範囲が好ましい、 In order to increase the overall etching rate in such a wet etching mechanism, the mixing ratio of HF, HNO 3 , and CH 3 COOH is important. When the present inventor repeated a number of experiments using the single wafer type wet etching apparatus as described above, HF is 20 to 30% by weight, HNO 3 is 40 to 20% by weight, and CH 3 COOH is 5 to 5%. It was confirmed that 15% by weight was the optimum mixing ratio. The flow rate of the etching solution supplied from the nozzle 38 onto the silicon substrate 10 is preferably selected to be an optimum value in terms of both etching efficiency and cost. For example, for a silicon substrate 10 having a 300 mm aperture, the flow rate is 100 ml. A range of / min to 500 ml / min is preferable.

この実施形態におけるウエハ薄板化の工程は、シリコン基板10の厚さをたとえば700μmから100μm程度まで減ずるSi研磨プロセスを従来のグライディング法からウエットエッチング法に置き換えるものであり、量産性ないしコスト性の面からエッチング速度の可及的な高速化を必要とする。このために、上記のような枚葉型ウエットエッチング装置を使用して、シリコン基板10上の処理液の新陳代謝を良くし、上記のようなHF、HNO3およびCH3COOHを所定の混合比で含有するエッチング液を用いることにより、30μm/min以上のエッチング速度を達成できるようにしている。 The wafer thinning process in this embodiment replaces the Si polishing process, which reduces the thickness of the silicon substrate 10 from, for example, about 700 μm to about 100 μm, from the conventional gliding method to the wet etching method. Therefore, it is necessary to increase the etching rate as much as possible. For this purpose, the above-described single wafer type wet etching apparatus is used to improve the metabolism of the treatment liquid on the silicon substrate 10, and HF, HNO 3 and CH 3 COOH as described above are mixed at a predetermined mixing ratio. By using the contained etching solution, an etching rate of 30 μm / min or more can be achieved.

図10に、上述したTSV加工プロセスの中で穴16の内壁にシリコン酸化膜(SiO2膜)18を形成する工程(図3)に好適に使用可能なマイクロ波プラズマCVD装置の構成を示す。 FIG. 10 shows the configuration of a microwave plasma CVD apparatus that can be suitably used in the step of forming a silicon oxide film (SiO 2 film) 18 on the inner wall of the hole 16 (FIG. 3) in the TSV processing process described above.

このマイクロ波プラズマCVD装置は、たとえばアルミニウムまたはステンレス鋼等の金属製の円筒型真空チャンバ(処理容器)50を有している。チャンバ50は保安接地されている。   This microwave plasma CVD apparatus has a cylindrical vacuum chamber (processing vessel) 50 made of metal such as aluminum or stainless steel. The chamber 50 is a safety ground.

チャンバ50内の下部中央には、被処理体のシリコン基板10を載置する円板状のサセプタ52が高周波電極を兼ねる基板保持台として水平に配置されている。このサセプタ52は、たとえばアルミニウムからなり、チャンバ50の底から垂直上方に延びる絶縁性の筒状支持部54に支持されている。   A disc-shaped susceptor 52 on which a silicon substrate 10 to be processed is placed is horizontally disposed as a substrate holding table that also serves as a high-frequency electrode, in the lower center of the chamber 50. The susceptor 52 is made of, for example, aluminum, and is supported by an insulating cylindrical support portion 54 that extends vertically upward from the bottom of the chamber 50.

筒状支持部54の外周に沿ってチャンバ50の底から垂直上方に延びる導電性の筒状支持部56とチャンバ50の内壁との間に環状の排気路58が形成され、この排気路58の上部または入口に環状のバッフル板60が取り付けられるとともに、底部に排気ポート62が設けられている。各排気ポート62には排気管64を介して真空ポンプを有する排気装置66が接続されている。   An annular exhaust path 58 is formed between the conductive cylindrical support section 56 extending vertically upward from the bottom of the chamber 50 along the outer periphery of the cylindrical support section 54 and the inner wall of the chamber 50. An annular baffle plate 60 is attached to the top or the inlet, and an exhaust port 62 is provided at the bottom. Each exhaust port 62 is connected to an exhaust device 66 having a vacuum pump via an exhaust pipe 64.

サセプタ52の内部にたとえば環状に形成されている冷媒室または冷媒通路68には、チラーユニット(図示せず)より配管70,72を介して所定温度の冷媒たとえばフッ素系液体CWが循環供給される。サセプタ52の上面には、シリコン基板10を静電吸着力で保持するための静電チャック74が設けられている。伝熱ガス供給部(図示せず)からの伝熱ガスたとえばHeガスが、ガス供給管76を介して静電チャック74の上面とシリコン基板10の裏面との間に供給される。静電チャック74のスイッチ78をオンにすると、直流電源80からの直流電圧により静電吸着力でシリコン基板10を静電チャック74上に吸着保持することができる。   A coolant, for example, a fluorine-based liquid CW, having a predetermined temperature is circulated and supplied from a chiller unit (not shown) to the coolant chamber or coolant passage 68 formed, for example, in an annular shape inside the susceptor 52 via pipes 70 and 72. . On the upper surface of the susceptor 52, an electrostatic chuck 74 for holding the silicon substrate 10 with electrostatic attraction is provided. A heat transfer gas such as He gas from a heat transfer gas supply unit (not shown) is supplied between the upper surface of the electrostatic chuck 74 and the back surface of the silicon substrate 10 via the gas supply pipe 76. When the switch 78 of the electrostatic chuck 74 is turned on, the silicon substrate 10 can be attracted and held on the electrostatic chuck 74 by an electrostatic attracting force by a direct current voltage from the direct current power source 80.

また、静電チャック74の絶縁体の中にはヒータ用の抵抗発熱体75も封入されており、ヒータ電源77からの電力を受けて抵抗発熱体75が発熱するようになっている。   A resistance heating element 75 for heater is also enclosed in the insulator of the electrostatic chuck 74, and the resistance heating element 75 generates heat upon receiving electric power from the heater power source 77.

こうして、サセプタ52上のシリコン基板10は、伝熱ガスを介した冷却とヒータ75による加熱とのバランスの下でたとえば200℃〜350℃の範囲内で所望の設定温度に維持されるようになっている。   Thus, the silicon substrate 10 on the susceptor 52 is maintained at a desired set temperature within a range of 200 ° C. to 350 ° C., for example, under a balance between cooling via the heat transfer gas and heating by the heater 75. ing.

このマイクロ波プラズマCVD装置は、プラズマ生成機構の一部として、チャンバ50のサセプタ52と対向する天井面に、マイクロ波導入用のたとえば石英やアルミナ等の誘電体からなる誘電体窓82を気密に取り付けている。この誘電体窓82は、その上面に貼付または配置された導体の放射板84と一体に結合して同心円状に分布する多数のスロットを有する円板形のRLSA86を構成している。このRLSA86は、たとえば石英やアルミナ等の誘電体からなる誘電体板88を介してマイクロ波伝送線路90に電磁的に結合されている。誘電体板88は、その内部を伝搬するマイクロ波の波長を短縮させる働きを持つ。   In this microwave plasma CVD apparatus, as a part of the plasma generation mechanism, a dielectric window 82 made of a dielectric material such as quartz or alumina for introducing microwaves is hermetically sealed on a ceiling surface facing the susceptor 52 of the chamber 50. It is attached. The dielectric window 82 constitutes a disc-shaped RLSA 86 having a large number of concentrically distributed slots by being integrally coupled to a conductor radiation plate 84 attached or disposed on the upper surface thereof. The RLSA 86 is electromagnetically coupled to the microwave transmission line 90 via a dielectric plate 88 made of a dielectric such as quartz or alumina. The dielectric plate 88 has a function of shortening the wavelength of the microwave propagating through the dielectric plate 88.

マイクロ波伝送線路90は、マイクロ波発生器92より出力されるマイクロ波をRLSA86まで伝送する線路であり、導波管94と導波管−同軸管変換器96と同軸管98とを有している。導波管94は、たとえば方形導波管であり、TEモードを伝送モードとしてマイクロ波発生器92からのマイクロ波をチャンバ50に向けて導波管−同軸管変換器96まで伝送する。   The microwave transmission line 90 is a line for transmitting the microwave output from the microwave generator 92 to the RLSA 86, and includes a waveguide 94, a waveguide-coaxial tube converter 96, and a coaxial tube 98. Yes. The waveguide 94 is, for example, a rectangular waveguide, and transmits the microwave from the microwave generator 92 toward the chamber 50 to the waveguide-coaxial tube converter 96 using the TE mode as a transmission mode.

導波管−同軸管変換器96は、方形導波管94の終端部と同軸管98の始端部とを結合し、方形導波管94の伝送モードを同軸管98の伝送モードに変換する。   The waveguide-coaxial tube converter 96 couples the terminal end of the rectangular waveguide 94 and the starting end of the coaxial tube 98 to convert the transmission mode of the rectangular waveguide 94 into the transmission mode of the coaxial tube 98.

同軸管98は、導波管−同軸管変換器96からチャンバ50の上面中心部まで垂直下方に延びて、その同軸線路の終端または下端が誘電体板88を介してRLSA86に結合されている。同軸管98の外部導体100は円筒体からなり、マイクロ波は内部導体102と外部導体100の間の空間をTEMモードで伝播する。   The coaxial tube 98 extends vertically downward from the waveguide-coaxial tube converter 96 to the center of the upper surface of the chamber 50, and the end or lower end of the coaxial line is coupled to the RLSA 86 via the dielectric plate 88. The outer conductor 100 of the coaxial tube 98 is a cylindrical body, and the microwave propagates in the space between the inner conductor 102 and the outer conductor 100 in the TEM mode.

マイクロ波発生器92より出力されたマイクロ波は、上記のような導波管94、導波管−同軸管変換器96および同軸管98からなるマイクロ波伝送線路90を伝播して、誘電体板88を通ってRLSA86に給電される。そして、誘電体板88で半径方向に広げられたマイクロ波はアンテナの各スロットからチャンバ50内に向けて放射されるマイクロ波電力によって付近のガスが電離して、プラズマが生成されるようになっている。マイクロ波は、生成されるプラズマの誘電率がマイクロ波のカットオフ値を超えると、誘電体窓82とプラズマの界面に沿って伝播する表面波となる。   The microwave output from the microwave generator 92 propagates through the microwave transmission line 90 including the waveguide 94, the waveguide-coaxial tube converter 96, and the coaxial tube 98 as described above, and the dielectric plate. The RLSA 86 is powered through 88. Then, the microwave spread in the radial direction by the dielectric plate 88 is ionized by nearby gas by the microwave power radiated from the slots of the antenna toward the chamber 50, and plasma is generated. ing. When the dielectric constant of the generated plasma exceeds the cutoff value of the microwave, the microwave becomes a surface wave that propagates along the interface between the dielectric window 82 and the plasma.

誘電体板88の上には、アンテナ後面板104がチャンバ50の上面を覆うように設けられている。このアンテナ後面板104は、たとえばアルミニウムからなり、誘電体窓82で発生する熱を吸収(放熱)する冷却ジャケットを兼ねており、内部に形成されている流路106にはチラーユニット(図示せず)より配管108,110を介して所定温度の冷媒たとえばフッ素系液体CWが循環供給されるようになっている。   On the dielectric plate 88, an antenna rear plate 104 is provided so as to cover the upper surface of the chamber 50. The antenna rear plate 104 is made of, for example, aluminum and serves also as a cooling jacket that absorbs (dissipates) heat generated in the dielectric window 82. A chiller unit (not shown) is provided in the flow path 106 formed inside. ), A refrigerant of a predetermined temperature, for example, a fluorine-based liquid CW is circulated and supplied through the pipes 108 and 110.

このマイクロ波プラズマCVD装置においては、同軸管98の内部導体102に、その中を軸方向に貫通する中空のガス流路110が設けられている。そして、内部導体102の上端には処理ガス供給源112からの第1ガス供給管114が接続され、第1ガス供給管114のガス流路と同軸管98のガス流路110は連通している。また、内部導体102の下端には誘電体窓82を貫通する導体のインジェクタ部116が接続され、同軸管98のガス流路110とインジェクタ部116のガス流路は連通している。インジェクタ部116はチャンバ50内で天井面の誘電体窓82から適度に突出しており、その先端の吐出口116aから処理ガスが吐出されるようになっている。   In this microwave plasma CVD apparatus, a hollow gas flow path 110 penetrating through the inner conductor 102 of the coaxial tube 98 in the axial direction is provided. A first gas supply pipe 114 from the processing gas supply source 112 is connected to the upper end of the inner conductor 102, and the gas flow path of the first gas supply pipe 114 and the gas flow path 110 of the coaxial pipe 98 communicate with each other. . A conductor injector 116 passing through the dielectric window 82 is connected to the lower end of the inner conductor 102, and the gas flow path 110 of the coaxial tube 98 and the gas flow path of the injector section 116 are in communication. The injector 116 appropriately protrudes from the dielectric window 82 on the ceiling surface in the chamber 50, and the processing gas is discharged from the discharge port 116a at the tip thereof.

かかる構成の第1処理ガス導入部118において、処理ガス供給源112より所定の圧力で送出された処理ガスは、第1ガス供給管114、同軸管110およびインジェクタ部116の各ガス流路を順に流れてインジェクタ部116先端の吐出口116aから吐出され、チャンバ50内のプラズマ生成空間へ拡散するようになっている。なお、第1ガス供給管114の途中には、MFC(マス・フロー・コントローラ)120および開閉弁122が設けられている。   In the first process gas introduction unit 118 having such a configuration, the process gas sent from the process gas supply source 112 at a predetermined pressure passes through the gas flow paths of the first gas supply pipe 114, the coaxial pipe 110, and the injector unit 116 in order. It flows and is discharged from the discharge port 116 a at the tip of the injector unit 116, and diffuses into the plasma generation space in the chamber 50. An MFC (mass flow controller) 120 and an opening / closing valve 122 are provided in the middle of the first gas supply pipe 114.

このマイクロ波プラズマCVD装置においては、チャンバ50内に処理ガスを導入するために、上記第1処理ガス導入部118とは別系統の第2処理ガス導入部124も備えている。この第2処理ガス導入部124は、誘電体窓82より幾らか低い位置でチャンバ50の側壁の中に環状に形成されたバッファ室126と、円周方向に等間隔でバッファ室126からプラズマ生成空間に臨む多数の側壁ガス吐出孔128と、処理ガス供給源124からバッファ室126まで延びるガス供給管128とを有している。ガス供給管128の途中にはMFC130および開閉弁132が設けられている。   This microwave plasma CVD apparatus also includes a second processing gas introduction unit 124 of a system different from the first processing gas introduction unit 118 in order to introduce a processing gas into the chamber 50. The second processing gas introduction section 124 generates plasma from the buffer chamber 126 formed in an annular shape in the side wall of the chamber 50 at a position somewhat lower than the dielectric window 82 and from the buffer chamber 126 at equal intervals in the circumferential direction. A number of side wall gas discharge holes 128 facing the space and a gas supply pipe 128 extending from the processing gas supply source 124 to the buffer chamber 126 are provided. An MFC 130 and an open / close valve 132 are provided in the middle of the gas supply pipe 128.

この第2処理ガス導入部124において、処理ガス供給源112より所定の圧力で送出された処理ガスは、第2ガス供給管128を通ってチャンバ50側壁内のバッファ室126に導入され、バッファ室126内で周回方向の圧力を均一化してから各側壁ガス吐出口134よりチャンバ10の中心に向かって略水平に吐出され、プラズマ処理空間へ拡散するようになっている。   In the second processing gas introduction section 124, the processing gas sent from the processing gas supply source 112 at a predetermined pressure is introduced into the buffer chamber 126 in the side wall of the chamber 50 through the second gas supply pipe 128, and the buffer chamber The pressure in the circulation direction is made uniform in 126, and then discharged from each side wall gas discharge port 134 substantially horizontally toward the center of the chamber 10 and diffuses into the plasma processing space.

なお、第1処理ガス導入部90および第2処理ガス導入部124よりチャンバ50内にそれぞれ導入する処理ガスは、通常は同種のガスでよいが、別種類のガスであってもよく、各MFC120,130を通じて各々独立した流量で、あるいは任意の流量比で導入することができる。   Note that the processing gases introduced into the chamber 50 from the first processing gas introduction unit 90 and the second processing gas introduction unit 124 are usually the same type of gas, but may be different types of gases. , 130 can be introduced at independent flow rates or at any flow rate ratio.

図11に、RLSA86のスロットパターン構造を上面図で示す。図示のように、アンテナ放射板140には同心円状に多数のスロットが形成されている。より詳細には、互いに向きが直交する2種類のスロット140b,140cが交互に同心円状に配列され、半径方向では誘電体板88で伝送されてくるマイクロ波の波長に応じた間隔で配置されている。かかるスロットパターン構造においては、マイクロ波は2つの直交する偏波成分を含む円偏波の略平面波となってスロット板から放射される。このタイプのスロットアンテナは、スロット板の略全面からマイクロ波を均一に放射するのに優れており、均一で安定なプラズマの生成に適している。なお、アンテナ放射板140の中心部には、インジェクタ部116を通すための貫通孔142が形成されている。   FIG. 11 is a top view showing the slot pattern structure of the RLSA 86. As shown in the drawing, the antenna radiation plate 140 has a number of concentric slots. More specifically, two types of slots 140b and 140c whose directions are orthogonal to each other are alternately arranged concentrically, and are arranged at intervals according to the wavelength of the microwave transmitted through the dielectric plate 88 in the radial direction. Yes. In such a slot pattern structure, the microwave is radiated from the slot plate as a circularly polarized substantially plane wave including two orthogonal polarization components. This type of slot antenna is excellent in uniformly radiating microwaves from substantially the entire surface of the slot plate, and is suitable for generating uniform and stable plasma. A through hole 142 for allowing the injector 116 to pass is formed in the center of the antenna radiating plate 140.

このマイクロ波プラズマCVD装置では、上述したようなシリコン基板10上のTSV加工プロセスにおいて穴16の内壁にシリコン酸化膜(SiO2膜)18を成膜するために、処理ガス供給源112よりチャンバ50内に供給する処理ガスまたは反応ガスとして、TEOS(Tetra Ethyl Ortho Silicate)およびO2にArまたはKrを加えた混合ガスを用いる。 In this microwave plasma CVD apparatus, in order to form the silicon oxide film (SiO 2 film) 18 on the inner wall of the hole 16 in the TSV processing process on the silicon substrate 10 as described above, the chamber 50 is supplied from the processing gas supply source 112. As a processing gas or reaction gas supplied into the inside, TEOS (Tetra Ethyl Ortho Silicate) and a mixed gas obtained by adding Ar or Kr to O 2 are used.

上述したように誘電体窓82とプラズマの界面に沿って伝播する表面波マイクロ波を用いて基板処理を行う。ここで、プラズマ生成空間は誘電体窓82近傍(たとえば10mm以内)の領域に限定され、それより下の空間はプラズマが拡散する領域であり、サセプタ52上のシリコン基板10はこのプラズマ拡散領域の中に置かれる。このため、プラズマ生成領域内の電子温度は2〜4eV程度と高くても、シリコン基板10付近では1〜2eV程度と著しく低くなる。処理ガス(特にTEOSガス)は、チャンバ50内のプラズマ拡散領域に導入されるのが好ましい。   As described above, the substrate processing is performed using the surface wave microwave propagating along the interface between the dielectric window 82 and the plasma. Here, the plasma generation space is limited to a region in the vicinity of the dielectric window 82 (for example, within 10 mm), and the space below it is a region where the plasma diffuses, and the silicon substrate 10 on the susceptor 52 is located in this plasma diffusion region. Placed inside. For this reason, even if the electron temperature in the plasma generation region is as high as 2 to 4 eV, it is extremely low as 1 to 2 eV in the vicinity of the silicon substrate 10. The processing gas (particularly TEOS gas) is preferably introduced into the plasma diffusion region in the chamber 50.

Krは、Arよりも質量の高い希ガスであり、プラズマ生成領域内で放電してラジカルを多量に発生させ、ステップカバレッジの向上だけでなく、Si-OH結合やSi-H結合等のダングリングボンドの発生を抑制する作用がある。   Kr is a rare gas having a mass higher than that of Ar, and discharges in the plasma generation region to generate a large amount of radicals, improving not only step coverage but also dangling such as Si—OH bond and Si—H bond. It has the effect of suppressing the occurrence of bonds.

このマイクロ波プラズマCVD装置において、上述したようなシリコン基板10の穴16の内壁にシリコン酸化膜(SiO2膜)18を成膜するための好適なプロセス条件(レシピ)は、一例として次のとおりである。
圧力=350mTorr
処理ガス:TEOS/O2/Ar=5:20:75(流量比)
マイクロ波パワー=3.5kW
処理時間=60sec
In this microwave plasma CVD apparatus, a suitable process condition (recipe) for forming the silicon oxide film (SiO 2 film) 18 on the inner wall of the hole 16 of the silicon substrate 10 as described above is as follows as an example. It is.
Pressure = 350mTorr
Process gas: TEOS / O 2 / Ar = 5: 20: 75 (flow rate ratio)
Microwave power = 3.5kW
Processing time = 60 sec

上記のようなプラズマ放電にRLSAを使用するマイクロ波プラズマCVD装置によれば、350℃以下の低温成膜によって熱酸化膜と遜色の無い良好な(つまり不純物が少なく吸湿性の低い)膜質を有するプラズマTEOS膜を形成することができる。   According to the microwave plasma CVD apparatus using RLSA for the plasma discharge as described above, it has a good (that is, low in impurities and low in hygroscopicity) film quality that is inferior to a thermal oxide film by low-temperature film formation at 350 ° C. or lower. A plasma TEOS film can be formed.

ここで、350℃以下の低温成膜は、ビア・ラストへの適用においては必須要件である。処理温度が通常700℃を超える熱酸化法あるいは熱CVD法は、シリコン基板10上に既に作り込まれている素子や配線へのダメージを与えるため、ビア・ラストには使えない。   Here, low-temperature film formation at 350 ° C. or lower is an essential requirement for application to via / last. A thermal oxidation method or a thermal CVD method in which the processing temperature normally exceeds 700 ° C. damages an element or wiring already formed on the silicon substrate 10 and therefore cannot be used for via / last.

また、ビア内壁のシリコン酸化膜18が不純物および吸湿性の少ない良好な膜質を有することは、ウエハ薄板化の工程(図7)にウエットエッチング法を採用するこの実施形態においても非常に重要な特性となる。   Further, the fact that the silicon oxide film 18 on the inner wall of the via has a good film quality with less impurities and moisture absorption is a very important characteristic also in this embodiment in which the wet etching method is adopted in the wafer thinning process (FIG. 7). It becomes.

すなわち、高周波の容量結合型または誘導結合型プラズマCVD装置により形成されるプラズマTEOS膜は、Si-OHやSi-H等の不純物を多く含んで吸湿性があり、外部応力に弱いだけでなく、HFに溶けやすく、Siウエットエッチングにおいてエッチング選択性が低いという欠点がある。   That is, a plasma TEOS film formed by a high-frequency capacitively coupled or inductively coupled plasma CVD apparatus contains a large amount of impurities such as Si—OH and Si—H and is hygroscopic, and is not only vulnerable to external stress, There is a drawback that it is easily dissolved in HF and has low etching selectivity in Si wet etching.

実際、上記のようなRLSA型のマイクロ波プラズマCVD装置で形成されたプラズマTEOS膜(試料1)と、一般の容量結合型プラズマCVD装置で形成されたプラズマTEOS膜(試料2)と、一般の熱CVD装置により700℃以上の処理温度で形成されたSiO2膜(試料3)と、試料3のSiO2膜に更に約900℃のアニール処理および水蒸気の熱酸化処理を施して得られたSiO2膜(試料4)とを濃度5%のHF溶液に浸けてそれぞれのエッチング速度を測定した実験において、試料1は45nm/min、試料2は75nm/min、試料3は60nm/min、試料4は30nm/minとの実験結果が得られている。 Actually, a plasma TEOS film (sample 1) formed by the above-described RLSA type microwave plasma CVD apparatus, a plasma TEOS film (sample 2) formed by a general capacitively coupled plasma CVD apparatus, An SiO 2 film (sample 3) formed at a processing temperature of 700 ° C. or higher by a thermal CVD apparatus, and an SiO 2 film obtained by subjecting the SiO 2 film of sample 3 to an annealing process of about 900 ° C. and a thermal oxidation process of water vapor. In an experiment in which two films (sample 4) were immersed in an HF solution having a concentration of 5% and the respective etching rates were measured, sample 1 was 45 nm / min, sample 2 was 75 nm / min, sample 3 was 60 nm / min, sample 4 The experimental result of 30 nm / min is obtained.

要するに、RLSA型のマイクロ波プラズマCVD装置で形成されるプラズマTEOS膜は、低温成膜タイプの容量結合型プラズマCVD装置で形成されるプラズマTEOS膜に比して、Siウエットエッチングの選択比を約1.7倍向上させることができる。   In short, the plasma TEOS film formed by the RLSA type microwave plasma CVD apparatus has a Si wet etching selection ratio approximately compared with the plasma TEOS film formed by the low-temperature type capacitively coupled plasma CVD apparatus. It can be improved by 1.7 times.

これにより、この実施形態のウエハ薄板化の工程(図7)においては、シリコン基板10の裏面各部に外部応力による格子欠陥等の損傷を来たさないだけでなく、ビア底近くの側壁の絶縁膜(SiO2)がオーバーエッチングによって凹むこともなく、TSVの電気的特性ないし信頼性を安定化させることができる。 As a result, in the wafer thinning process of this embodiment (FIG. 7), not only the back surface of the silicon substrate 10 is not damaged by lattice stress or the like due to external stress, but also the insulation of the side wall near the via bottom. The electrical characteristics or reliability of the TSV can be stabilized without the film (SiO 2 ) being dented by overetching.

以上本発明の好適な実施形態について説明したが、本発明は上記した実施形態に限定されるものではなく、その技術的思想の範囲内で種々の変形・変更が可能である。   The preferred embodiments of the present invention have been described above. However, the present invention is not limited to the above-described embodiments, and various modifications and changes can be made within the scope of the technical idea.

たとえば、穴16の内壁に形成したシリコン酸化膜(SiO2)18をプラズマ窒化法により窒化してシリコン窒化酸化膜とするのも、好適な膜質改善法である。その場合、シリコン酸化膜(SiO2)18の形成には容量結合型プラズマCVD装置も使用可能であり、プラズマ励起用ガスとして、不活性ガス(アルゴン、クリプトン、キセノン)を使用し、処理ガスとして、アンモニアガスもしくは窒素ガスを使用してよい。もっとも、プラズマ窒化のプロセスには、上記のようなRLSA型のマイクロ波プラズマCVD装置(図10)を使用するのが好ましく、それによってシリコン窒化酸化膜の膜質を一層向上させることができる。 For example, nitriding a silicon oxide film (SiO 2 ) 18 formed on the inner wall of the hole 16 by a plasma nitriding method to form a silicon oxynitride film is also a preferable method for improving the film quality. In that case, a capacitively coupled plasma CVD apparatus can also be used to form the silicon oxide film (SiO 2 ) 18, and an inert gas (argon, krypton, xenon) is used as a plasma excitation gas, and a processing gas is used. Ammonia gas or nitrogen gas may be used. However, it is preferable to use the RLSA type microwave plasma CVD apparatus (FIG. 10) as described above for the plasma nitridation process, whereby the quality of the silicon oxynitride film can be further improved.

なお、上記実施形態のTSV加工に用いるマイクロ波プラズマCVD装置(図10)において、プラズマ励起用のRLSAを金属表面波励起プラズマ(MSEP:Metal Surface wave Excitation Plasma)で代用することも可能である。   In the microwave plasma CVD apparatus (FIG. 10) used for the TSV processing of the above embodiment, it is possible to substitute RLSA for plasma excitation with metal surface wave excitation plasma (MSEP).

また、図10の装置構成は一例であり、アンテナだけでなく、処理ガス導入部やサセプタ周りの構造等においても種種の変形が可能である。 10 is merely an example, and various modifications can be made not only in the antenna but also in the structure around the processing gas introduction part and the susceptor.

また、Siウエットエッチングに用いるエッチング液の組成として、CH3COOH(酢酸)を別のカルボン酸たとえばシュウ酸やクエン酸で代用することも可能である。図9の枚葉型ウエットエッチング装置も一例であり、他の装置構成も使用可能である。 Further, as the composition of the etching solution used for Si wet etching, CH 3 COOH (acetic acid) can be substituted with another carboxylic acid such as oxalic acid or citric acid. The single wafer type wet etching apparatus of FIG. 9 is also an example, and other apparatus configurations can be used.

本発明におけるTSV加工プロセスは、上述したようにビア・ラストに好適に適用できるが、ビア・ファーストにも適用可能である。   The TSV processing process in the present invention can be suitably applied to the via last as described above, but can also be applied to the via first.

Claims (3)

半導体基板にそのデバイス形成面側から所望の深さで穴を開ける第1の工程と、
前記穴の内壁に、TEOSを含む処理ガスを用い、プラズマの生成にラジアルラインスロットアンテナを用いてマイクロ波放電を利用するマイクロ波励起プラズマCVD法によりシリコン酸化膜を形成する第2の工程と、
前記穴の内壁に形成されたシリコン酸化膜を、処理ガスとして不活性ガスとNH3ガスもしくはN2ガスとを含む混合ガスを用い、マイクロ波放電にラジアルラインスロットアンテナを用いるマイクロ波励起プラズマ窒化法により窒化してシリコン窒化酸化膜とする第3の工程と、
前記穴に導体を埋め込む第4の工程と、
20〜30重量%のフッ酸と40〜20重量%の硝酸と5〜15重量%の酢酸とを含有するエッチング液を用いてエッチング速度を30μm/min以上とするウエットエッチングにより前記半導体基板の裏面を前記導体が露出するまで削る第5の工程と
を有する半導体装置の製造方法。
A first step of making a hole in a semiconductor substrate at a desired depth from the device formation surface side;
A second step of forming a silicon oxide film on the inner wall of the hole by a microwave-excited plasma CVD method using a microwave discharge using a processing gas containing TEOS and using a radial line slot antenna for plasma generation ;
The silicon oxide film formed on the inner wall of the hole is a microwave-excited plasma nitridation using a mixed gas containing an inert gas and NH 3 gas or N 2 gas as a processing gas and using a radial line slot antenna for microwave discharge A third step of nitriding by a method to form a silicon oxynitride film;
A fourth step of embedding a conductor in the hole;
By wet etching using etch rate and 30 [mu] m / min or more by using an etching solution containing a 20-30% by weight of hydrofluoric acid 40 to 20 wt% of nitric acid and 5 to 15 wt% acetic acid, of the semiconductor substrate And a fifth step of scraping the back surface until the conductor is exposed.
前記シリコン酸化膜の成膜温度を350℃以下とする、請求項に記載の半導体装置の製造方法。 The method for manufacturing a semiconductor device according to claim 1 , wherein a deposition temperature of the silicon oxide film is 350 ° C. or less. 前記第3の工程と前記第4の工程との間で、前記シリコン窒化酸化膜の上に拡散防止膜を形成する第6の工程を有する、請求項1または請求項2記載の半導体装置の製造方法。 3. The semiconductor device manufacture according to claim 1, further comprising a sixth step of forming a diffusion prevention film on the silicon oxynitride film between the third step and the fourth step. 4. Method.
JP2009184237A 2009-08-07 2009-08-07 Manufacturing method of semiconductor device Expired - Fee Related JP5885904B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009184237A JP5885904B2 (en) 2009-08-07 2009-08-07 Manufacturing method of semiconductor device
PCT/JP2010/004937 WO2011016242A1 (en) 2009-08-07 2010-08-05 Semiconductor device and method for manufacturing same
TW099126181A TWI512890B (en) 2009-08-07 2010-08-06 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009184237A JP5885904B2 (en) 2009-08-07 2009-08-07 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2011040457A JP2011040457A (en) 2011-02-24
JP5885904B2 true JP5885904B2 (en) 2016-03-16

Family

ID=43544151

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009184237A Expired - Fee Related JP5885904B2 (en) 2009-08-07 2009-08-07 Manufacturing method of semiconductor device

Country Status (3)

Country Link
JP (1) JP5885904B2 (en)
TW (1) TWI512890B (en)
WO (1) WO2011016242A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI449152B (en) 2011-12-21 2014-08-11 Ind Tech Res Inst Semiconductor device stacked structure
JP2013171862A (en) * 2012-02-17 2013-09-02 Tokyo Electron Ltd Metal paste filling method, metal paste filling device, and via plug manufacturing method
CN103624978A (en) 2012-08-24 2014-03-12 澳加光学有限公司 Composite thermoplastic sheet material and decoration part for glasses and preparation methods of two
KR102110247B1 (en) 2013-11-29 2020-05-13 삼성전자주식회사 Semiconductor devices having through electrodes and methods for fabricating the same
TWI611507B (en) * 2014-10-23 2018-01-11 Acm Res Shanghai Inc Method and apparatus for outcroping on the back side of a through hole
US10354910B2 (en) * 2016-05-27 2019-07-16 Raytheon Company Foundry-agnostic post-processing method for a wafer
JP6808460B2 (en) 2016-11-29 2021-01-06 キヤノン株式会社 Semiconductor devices and their manufacturing methods

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS607148A (en) * 1983-06-24 1985-01-14 Nec Corp Manufacture of semiconductor device
JPH11145138A (en) * 1997-11-10 1999-05-28 Hitachi Ltd Semiconductor device and manufacture thereof
JP3567377B2 (en) * 2002-01-09 2004-09-22 独立行政法人 科学技術振興機構 Method for manufacturing semiconductor integrated circuit device
TWI239629B (en) * 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
JP3646720B2 (en) * 2003-06-19 2005-05-11 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof, circuit board, and electronic apparatus
WO2006080337A1 (en) * 2005-01-31 2006-08-03 Nec Corporation Semiconductor device and method for manufacturing same, and stacked type semiconductor integrated circuit
JP4737386B2 (en) * 2005-03-31 2011-07-27 日本ゼオン株式会社 Manufacturing method of circuit board for electronic device, circuit board for electronic device, and display device
JP2008124424A (en) * 2006-10-16 2008-05-29 Tokyo Electron Ltd Plasma filming apparatus, and method for plasma filming
DE112008001548B4 (en) * 2007-06-11 2013-07-11 Tokyo Electron Ltd. Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
JP2011040457A (en) 2011-02-24
WO2011016242A1 (en) 2011-02-10
TW201120995A (en) 2011-06-16
TWI512890B (en) 2015-12-11

Similar Documents

Publication Publication Date Title
JP5885904B2 (en) Manufacturing method of semiconductor device
JP3914452B2 (en) Manufacturing method of semiconductor integrated circuit device
JP4256763B2 (en) Plasma processing method and plasma processing apparatus
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
TWI297518B (en)
JP2003188254A (en) Semiconductor device and manufacturing method therefor
TW200908074A (en) Hydrogen ashing enhanced with water vapor and diluent gas
KR20030014123A (en) Fabrication method of semiconductor integrated circuit device
JP5261964B2 (en) Manufacturing method of semiconductor device
US9640427B2 (en) Semiconductor structure and fabrication method thereof
US20120184107A1 (en) Semiconductor device manufacturing method
US7169664B2 (en) Method of reducing wafer contamination by removing under-metal layers at the wafer edge
US20030013313A1 (en) Process for fabricating semiconductor device
JP2002009058A (en) Etching method
US8426312B2 (en) Method of reducing contamination by providing an etch stop layer at the substrate edge
US10811263B2 (en) Method for forming semiconductor device structure with etch stop layer
JP2003347299A (en) Method for manufacturing semiconductor integrated circuit device
JP2002329780A (en) Fabrication method of semiconductor device and semiconductor device
JP5935227B2 (en) Semiconductor device manufacturing method and semiconductor device
TWI505360B (en) Method of forming metal carbide barrier layers for fluorocarbon films
JP2007214588A (en) Method for fabricating semiconductor device
TWI752835B (en) Member of physical vapor deposition and method for cleaning electrostatic chuck
JP2003124311A (en) Method for manufacturing semiconductor device and semiconductor device
US20050287796A1 (en) Methods of fabricating metal lines in semiconductor devices
JP2006059848A (en) Method of removing resist and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131001

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140819

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20141105

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20150206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151021

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160210

R150 Certificate of patent or registration of utility model

Ref document number: 5885904

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees