TWI512890B - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
TWI512890B
TWI512890B TW099126181A TW99126181A TWI512890B TW I512890 B TWI512890 B TW I512890B TW 099126181 A TW099126181 A TW 099126181A TW 99126181 A TW99126181 A TW 99126181A TW I512890 B TWI512890 B TW I512890B
Authority
TW
Taiwan
Prior art keywords
gas
hole
semiconductor device
manufacturing
microwave
Prior art date
Application number
TW099126181A
Other languages
Chinese (zh)
Other versions
TW201120995A (en
Inventor
Takenao Nemoto
Tadahiro Ohmi
Tomotsugu Ohashi
Tetsuya Goto
Original Assignee
Tokyo Electron Ltd
Univ Tohoku
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Univ Tohoku filed Critical Tokyo Electron Ltd
Publication of TW201120995A publication Critical patent/TW201120995A/en
Application granted granted Critical
Publication of TWI512890B publication Critical patent/TWI512890B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

半導體裝置及其製造方法Semiconductor device and method of manufacturing same

本發明係關於一種具有貫通孔之半導體裝置及其製造方法。The present invention relates to a semiconductor device having a through hole and a method of fabricating the same.

最近,矽貫通孔(TSV:Through Silicon Via)係作為能同時達成半導體元件之小型化、高積集化及高性能化的次世代半導體封裝技術而受到注目。Recently, the TSV (Through Silicon Via) has attracted attention as a next-generation semiconductor package technology capable of simultaneously achieving miniaturization, high integration, and high performance of semiconductor elements.

TSV係垂直地貫穿半導體晶片的電極或配線。堆疊複數個晶片並以TSV來於晶片相互之間處進行連接,藉此可輕易地達成3維積體電路之小型化、大容量化、高性能化。The TSV is perpendicular to the electrodes or wiring of the semiconductor wafer. By stacking a plurality of wafers and connecting the wafers with each other by TSV, it is possible to easily achieve miniaturization, large capacity, and high performance of the three-dimensional integrated circuit.

一般來說,TSV加工製程係對應於晶圓製程中的步驟序列而分為在配線步驟(BEOL:Back End of Line)之前所進行的先鑽孔(Via-First)、以及在BEOL之後才進行的後鑽孔(Via-Last)2種。先鑽孔對TSV之微細加工較為有利,可縮小孔徑,或可輕易地形成多數根(數千根以上) TSV,但會有填入導體需為電阻率高之多晶矽的限制。相對於此,後鑽孔要縮小孔徑或形成多數根TSV較為困難,但具有可使用電阻率較低之Cu作為填入導體之優點,以及可增加設計自由度的優點。In general, the TSV processing process is divided into a first step (Via-First) before the BEOL (Back End of Line) and a step after the BEOL, corresponding to the sequence of steps in the wafer process. Two types of post-drilling holes (Via-Last). First drilling is advantageous for the microfabrication of TSV, which can reduce the pore size, or can easily form a plurality of TSVs (thousands or more), but there is a limitation that the filling conductor needs to be a polysilicon having a high resistivity. On the other hand, it is difficult to reduce the aperture or form a plurality of TSVs in the post-drilling hole, but it has the advantage that Cu having a lower resistivity can be used as a conductor to be filled, and the design freedom can be increased.

基本上,TSV加工製程係由於矽基板處形成貫通孔的步驟、削除矽基板之內面直到露出貫通孔底部為止的薄板化步驟、以及將矽基板相互層積並進行電氣性且物理性之連接的步驟所組成。Basically, the TSV processing process is a step of forming a through hole in the ruthenium substrate, a thinning step of removing the inner surface of the ruthenium substrate until the bottom of the through hole is exposed, and stacking the ruthenium substrates to each other for electrical and physical connection. The steps are composed.

其中,關於形成貫通孔之步驟,更詳細說明,係包含有於矽基板處形成孔的步驟、於該孔之內壁處形成絕緣膜的步驟、以及將導體埋入該孔之中的步驟。於此處,形成孔時,可使用蝕刻或雷射加工。又,孔之內壁處所形成之絕緣膜能分隔該填入導體與基板之Si,一般來說為藉由化學氣相沉積(CVD:Chemical Vapor Deposition)法所堆積出的矽氧化膜(SiO2 )。埋入導體時,於多晶矽先鑽孔)之情況使用CVD,於Cu(後鑽孔)之情況則使用鍍膜的方式。Here, the step of forming the through hole will be described in more detail, including the step of forming a hole in the substrate, the step of forming an insulating film on the inner wall of the hole, and the step of embedding the conductor in the hole. Here, etching or laser processing can be used when forming the holes. Moreover, the insulating film formed at the inner wall of the hole can separate the Si filled in the conductor and the substrate, and is generally a tantalum oxide film (SiO 2 deposited by a chemical vapor deposition (CVD) method. ). When a conductor is buried, CVD is used in the case where the polycrystalline silicon is drilled first, and in the case of Cu (post-drilled), a plating method is used.

又,基板之薄板化(露出貫通孔底部)步驟中,則使用特殊之研磨石來對矽基板內面進行磨削(grinding]。此時,將形狀與晶圓約略相同之支撐組件貼合於矽基板表面處,以支撐矽基板受磨削而逐漸薄化之研磨加工。Further, in the step of thinning the substrate (exposed at the bottom of the through hole), a special grinding stone is used to grind the inner surface of the substrate. At this time, a support member having a shape similar to that of the wafer is attached to the support member. At the surface of the ruthenium substrate, a grinding process is performed to support the ruthenium substrate to be gradually thinned by grinding.

進行磨削之後,將矽基板稍事清洗,讓貫通孔之上下兩端處附著有Cu或焊錫等所組成的焊球(bump),將矽基板相互之間的位置對齊之進行TSV之電氣性連接。After the grinding, the ruthenium substrate is slightly cleaned, and a bump composed of Cu or solder is attached to the upper and lower ends of the through hole, and the position of the ruthenium substrate is aligned to perform electrical conductivity of the TSV. connection.

專利文獻:日本專利特開2009-10311號公報。Patent Document: Japanese Patent Laid-Open Publication No. 2009-10311.

習知之TSV加工中,於薄板化(露出貫通孔底部)工程時,矽基板內面因磨削而無法避免地會受到外部應力,則不只會於基板內面之各處造成晶格缺陷等傷痕,亦有容易對貫通孔底部附近之側壁的絕緣膜(SiO2 膜)造成損傷的問題。In the conventional TSV processing, when the thin plate is formed (exposed at the bottom of the through hole), the inner surface of the base plate is inevitably subjected to external stress due to grinding, and not only the lattice defects and the like are caused in the inner surface of the substrate. There is also a problem that it is easy to damage the insulating film (SiO 2 film) on the side wall near the bottom of the through hole.

又,習知之TSV加工時,貫通孔內壁之絕緣膜(SiO2 )係藉由使用了TEOS-O2 系氣體作為反應氣體的高頻電容偶合型或感應偶合型電漿CVD裝置來於進行低溫成形,但含有多數之Si-OH或Si-N等不純物而具有吸濕性,膜質不佳是其問題所在。Further, in the conventional TSV processing, the insulating film (SiO 2 ) on the inner wall of the through-hole is made by a high-frequency capacitive coupling type or an inductive coupling type plasma CVD apparatus using a TEOS-O 2 -based gas as a reaction gas. It is formed at a low temperature, but contains a large amount of impurities such as Si-OH or Si-N and is hygroscopic, and the film quality is poor.

如前述般於貫通孔內壁乃至側壁之絕緣膜造成損傷或缺陷,會增加漏電流或串擾(crosstalk),使元件特性不穩定,有損TSV封裝技術的信賴性。As described above, the insulating film on the inner wall of the through hole or the side wall causes damage or defects, which increases leakage current or crosstalk, makes the device characteristics unstable, and impairs the reliability of the TSV packaging technology.

本發明係有鑑於前述習知技術之問題點,目的在於提供一種能不使半導體基板內面側之貫通孔周邊產生損傷或缺陷,抑或能使其大幅降低的半導體裝置之製造方法。The present invention has been made in view of the above problems in the prior art, and an object of the invention is to provide a method of manufacturing a semiconductor device which can prevent damage or defects from occurring around the through-holes on the inner surface side of the semiconductor substrate.

再者,本發明係提供一種能改善貫通孔周邊電氣特性的半導體裝置。Furthermore, the present invention provides a semiconductor device capable of improving the electrical characteristics of the periphery of a through hole.

本發明第1觀點之半導體裝置之製造方法,係具有:第1步驟,係於半導體基板處,從該元件形成面側來形成所期望深度的孔;第2步驟,係於該孔之內壁處形成絕緣膜;第3步驟,係將導體埋入至該孔處;以及第4步驟,係藉由濕蝕刻來削除該半導體基板之內面直到露出該導體。A method of manufacturing a semiconductor device according to a first aspect of the present invention includes the first step of forming a hole having a desired depth from the element forming surface side of the semiconductor substrate, and the second step of attaching the inner wall of the hole An insulating film is formed; in the third step, the conductor is buried in the hole; and in the fourth step, the inner surface of the semiconductor substrate is removed by wet etching until the conductor is exposed.

前述方法中,半導體基板所形成之孔處形成絕緣膜之內壁,在將導體埋入其中之後,對半導體基板內面處施以濕蝕刻,藉由將基板材料(Si)溶入蝕刻液的方法,即不施加外部應力的方法,來削除基板內面。進行該蝕刻,最終會將埋入該孔處之柱狀導體的底部露出,並於適當之時點停止蝕刻。如此一來,便能於半導體基板處形成貫通電極或貫通配線。In the above method, the inner wall of the insulating film is formed at the hole formed by the semiconductor substrate, and after the conductor is buried therein, the inner surface of the semiconductor substrate is subjected to wet etching by dissolving the substrate material (Si) into the etching liquid. The method, that is, the method of applying external stress, removes the inner surface of the substrate. This etching is performed to eventually expose the bottom of the columnar conductor buried in the hole and stop etching at an appropriate point. In this way, a through electrode or a through wiring can be formed on the semiconductor substrate.

本發明第2觀點之半導體裝置,係具有:半導體基板,係於表面上形成有半導體元件;孔,係貫穿該半導體基板;絕緣膜,係設置於該孔之側壁處;以及導體,係埋入至該孔處;其中,係藉由濕蝕刻來削除該半導體基板之內面,以讓該導體貫穿該孔。A semiconductor device according to a second aspect of the present invention includes a semiconductor substrate having a semiconductor element formed on a surface thereof, a hole penetrating the semiconductor substrate, an insulating film disposed at a sidewall of the hole, and a conductor embedded therein To the hole; wherein the inner surface of the semiconductor substrate is removed by wet etching to allow the conductor to penetrate the hole.

本發明第3觀點之半導體裝置,係具有:半導體基板,係於表面上形成有半導體元件;孔,係貫穿該半導體基板;絕緣膜,係設置於該孔之側壁處;以及導體,係埋入至該孔處;其中,該絕緣膜係包含有使用TEOS作為成膜氣體且藉由利用微波放電以產生電漿的微波激發電漿CVD法而形成之由氧化矽所組成的膜。A semiconductor device according to a third aspect of the present invention includes: a semiconductor substrate having a semiconductor element formed on a surface thereof; a hole penetrating through the semiconductor substrate; an insulating film disposed at a sidewall of the hole; and a conductor embedded in the hole To the hole; wherein the insulating film comprises a film composed of ruthenium oxide formed by using a TEOS as a film forming gas and a microwave-excited plasma CVD method using microwave discharge to generate a plasma.

依本發明之半導體裝置之製造方法,藉由前述結構及作用,能不使半導體基板內面側之貫通孔周邊產生損傷或缺陷,抑或能使其大幅降低。又,本發明之半導體裝置,藉由前述之結構,可改善貫通孔周邊的電氣特性。According to the method of manufacturing a semiconductor device of the present invention, it is possible to prevent damage or defects from occurring in the periphery of the through-hole on the inner surface side of the semiconductor substrate by the above-described configuration and action, and it is possible to greatly reduce it. Moreover, in the semiconductor device of the present invention, the electrical characteristics around the through hole can be improved by the above configuration.

以下,參考添附圖式來說明本發明之較佳實施形態。Hereinafter, preferred embodiments of the present invention will be described with reference to the accompanying drawings.

首先,關於圖1~圖8,係說明本發明一實施形態之TSV加工製程的一連串步驟。另外,該TSV加工製程為後鑽孔法。First, a series of steps of a TSV processing process according to an embodiment of the present invention will be described with reference to Figs. 1 to 8 . In addition, the TSV processing process is a post-drilling method.

後鑽孔之情況下,於TSV加工之前,基板步驟或前段製程(FEOL:Front End of Line)與配線步驟或後段製程(BEOL)已結束,如圖1所示般,於矽基板(矽晶圓10)之表面(即元件形成面)處嵌入有電晶體等半導體元件12,並於元件形成面上方形成有多層配線構造14。In the case of post-drilling, before the TSV processing, the substrate step or front end process (FEOL: Front End of Line) and the wiring step or the back end process (BEOL) have ended, as shown in Figure 1, on the germanium substrate (twisted A semiconductor element 12 such as a transistor is embedded in the surface of the circle 10) (that is, the element forming surface), and a multilayer wiring structure 14 is formed above the element forming surface.

本實施形態中,針對完成至BEOL步驟的矽基板10,如圖2所示,首先從基板表面(即元件形成面)側於所期望位置處形成具有所期望深度(例如100μm左右)的孔16。形成該孔時可使用乾蝕刻或雷射束加工。乾蝕刻之情況,藉由所謂之Bosh製程,以聚合物膜來保護貫通孔側壁,同時選擇性地僅將貫通孔底部的Si除去,便可形成異向性較高之高縱寬比的孔16。關於尺寸,例如,矽基板10之厚度A通常約為700μm,對此,孔16之深度B可選擇80~120μm,孔16之直徑C則可選擇20~50μm。In the present embodiment, as shown in FIG. 2, the ruthenium substrate 10 which has been completed to the BEOL step is first formed with a hole 16 having a desired depth (for example, about 100 μm) from the substrate surface (ie, the element formation surface) side at a desired position. . Dry etching or laser beam processing can be used to form the hole. In the case of dry etching, the side wall of the through hole is protected by a polymer film by a so-called Bosh process, and at the same time, only the Si at the bottom of the through hole is selectively removed, and a hole having a high aspect ratio and a high aspect ratio can be formed. 16. Regarding the size, for example, the thickness A of the ruthenium substrate 10 is usually about 700 μm. For this, the depth B of the hole 16 can be selected from 80 to 120 μm, and the diameter C of the hole 16 can be selected from 20 to 50 μm.

其次,如圖3所示,包含孔16之內壁的矽基板10主要表面上形成有作為絕緣膜的矽氧化膜(SiO2 )18。形成該矽氧化膜18的步驟係本實施形態之特徵之1,如後詳述般,係藉由具備有放射狀槽孔天線(RISA:Radial Line Slot Antenna)的微波電漿CVD裝置來進行。Next, as shown in FIG. 3, a tantalum oxide film (SiO 2 ) 18 as an insulating film is formed on the main surface of the tantalum substrate 10 including the inner wall of the hole 16. The step of forming the tantalum oxide film 18 is a feature of the present embodiment, and is performed by a microwave plasma CVD apparatus including a radial slot antenna (RISA: Radial Line Slot Antenna) as will be described in detail later.

其次,如圖4所示,包含孔16之內壁的矽基板10主要表面上(即矽氧化膜18上依序藉由濺鍍法來重疊般形成防止擴散用之例如TiN層20及鍍膜電極用之Cu晶種(Cu seed)層22。Next, as shown in FIG. 4, the ruthenium substrate 10 including the inner wall of the hole 16 is formed on the main surface (i.e., the ruthenium oxide film 18 is sequentially formed by sputtering to form a diffusion preventing layer such as the TiN layer 20 and the plating electrode. A Cu seed layer 22 is used.

然後,如圖5所示,於孔16中藉由電鍍法來埋入作為填入導體24的Cu。針對溢出至孔16上方之鍍Cu,如圖6所示般,以化學機械研磨(CMP:Chemical Mechanical Poloshing)來除去,使矽基板10之主要表面平坦化。Then, as shown in FIG. 5, Cu as the filling conductor 24 is buried in the hole 16 by electroplating. The Cu plating which overflows above the hole 16 is removed by chemical mechanical polishing (CMP) as shown in FIG. 6, and the main surface of the ruthenium substrate 10 is planarized.

其次,如圖7所示,削除矽基板10內面直到孔16底部(即Cu填入導體24之底部)露出為止,以將矽基板10之厚度薄板化至100μm左右。前述晶圓薄板化或貫通孔底部露出化之步驟,亦為本實施形態之特徵之1,如後詳述般,可使用HF、HNO3 及羧酸(例如酢酸)之混合液作為藥液的枚葉式濕蝕刻裝置來加以進行。Next, as shown in FIG. 7, the inner surface of the ruthenium substrate 10 is removed until the bottom of the hole 16 (i.e., the bottom of the Cu-filled conductor 24) is exposed, so that the thickness of the ruthenium substrate 10 is thinned to about 100 μm. The step of thinning the wafer or exposing the bottom of the through hole is also a feature of the embodiment. As described in detail later, a mixture of HF, HNO 3 and a carboxylic acid (for example, citric acid) can be used as the chemical liquid. A leaf type wet etching apparatus is used.

其次,如圖8所示,於Cu填入導體24上端(表面側)及下端(內面側)處,各自附著有例如Cu或焊錫等所組成的金屬焊球26、28。雖然省略圖示,但在將複數個矽基板垂直般重疊堆積時,則將該等金屬焊球26、28與其他矽基板上之相對應焊球各自進行連接。又,多層配線構造14內之配線亦會與Cu填入導體24抑或焊球26、28形成電氣連接。Next, as shown in FIG. 8, metal solder balls 26 and 28 made of, for example, Cu or solder are attached to the upper end (surface side) and the lower end (inner surface side) of the Cu filling conductor 24, respectively. Although not shown in the drawings, when a plurality of ruthenium substrates are stacked vertically, the metal balls 26 and 28 are connected to the corresponding solder balls on the other ruthenium substrates. Further, the wiring in the multilayer wiring structure 14 is also electrically connected to the Cu-filled conductor 24 or the solder balls 26 and 28.

圖9係顯示可適用於前述TSV加工製程中之晶圓薄板化步驟(圖7)的枚葉式濕蝕刻裝置之主要部份結構。Fig. 9 is a view showing the main part configuration of a leaf type wet etching apparatus which can be applied to the wafer thinning step (Fig. 7) in the aforementioned TSV processing.

該濕蝕刻裝置係在環狀基座30內側中心部處設置有迴轉台32,於該迴轉台32上則以上下顛倒般的姿勢(基板內面朝上之姿勢)載置有矽基板10,藉由迴轉台32所設置之機械式或真空式夾持機構(圖中未顯示)來保持矽基板10。接著,藉由迴轉驅動部34且經迴轉軸36而讓矽基板10與迴轉台32一同地以適度之迴轉速度(例如500rpm)來進行旋轉,藉由設置於其上方之噴嘴38將藥液(即蝕刻液)以特定流量(例如100ml/min)噴灑至矽基板10上方面(內面)。此時,支撐噴嘴38之手臂40可進行旋轉運動或搖動,亦可讓噴嘴38沿矽基板10之半徑方向往復進行移動。In the wet etching apparatus, a turntable 32 is provided at a center portion of the inner side of the annular base 30, and the turntable 32 is placed on the turntable 32 in an upside down posture (a posture in which the inner surface of the substrate faces upward). The crucible substrate 10 is held by a mechanical or vacuum chucking mechanism (not shown) provided by the turntable 32. Then, by rotating the driving unit 34 and rotating the shaft 36, the crucible substrate 10 is rotated together with the turntable 32 at an appropriate rotational speed (for example, 500 rpm), and the chemical solution is applied by the nozzle 38 provided above ( That is, the etching liquid is sprayed onto the crucible substrate 10 (inner surface) at a specific flow rate (for example, 100 ml/min). At this time, the arm 40 supporting the nozzle 38 can be rotated or shaken, and the nozzle 38 can be reciprocated in the radial direction of the cymbal substrate 10.

於矽基板10上之反應所產生的氣體或溶解物(反應生成物)會飛散至迴轉台32周圍,並導向基座30底部,讓排出液從排液口42送往排出槽(drain tank;圖中未顯示),且讓排出氣體從排氣口44送往排氣裝置(圖中未顯示)。The gas or dissolved matter (reaction product) generated by the reaction on the substrate 10 is scattered around the turntable 32 and guided to the bottom of the base 30, and the discharge liquid is sent from the liquid discharge port 42 to the drain tank; The exhaust gas is sent from the exhaust port 44 to the exhaust unit (not shown).

本實施形態中,作為蝕刻液使用了HF(氟化氫)、HNO3 (硝酸)及CH3 COOH(酢酸)之混合液。此處,HF及HNO3 直接關係到Si蝕刻之氧化‧還元反應。即,矽基板10之Si會與HNO3 反應而受到氧化,並形成SiO2 (此時,會產生NOx 氣體)。接著,中間生成物之SiO2 會與HF反應而形成H2 SiF6 ,並溶解至液中。如此一來,HNO3 為決定Si氧化反應之主要因素,另一方面,HF則為決定SiO2 之還元或溶解反應之主要因素,兩者為相互抵觸(trade-off)之關係。於是,便添加CH3 COOH以使得氧化反應與還元反應達成平衡。In the present embodiment, a mixed liquid of HF (hydrogen fluoride), HNO 3 (nitric acid), and CH 3 COOH (tannic acid) is used as the etching liquid. Here, HF and HNO 3 are directly related to the oxidation of the Si etching and the reductive reaction. I.e., Si of the silicon substrate 10 by reaction with HNO 3 will be oxidized to form SiO 2 (at this time, NO x production gas will). Next, SiO 2 of the intermediate product reacts with HF to form H 2 SiF 6 and is dissolved in the liquid. In this way, HNO 3 is the main factor determining the oxidation reaction of Si. On the other hand, HF is the main factor determining the restitution or dissolution reaction of SiO 2 , and the two are in a trade-off relationship. Thus, CH 3 COOH is added to balance the oxidation reaction with the reductive reaction.

前述濕蝕刻機構中,為了提高整體之蝕刻速度,HF、HNO3 、CH3 COOH之混合比是為重要。本發明人在使用前述般的枚葉式濕蝕刻裝置反覆進行多次實驗後,確認最佳之混合比為:HF為20~30重量%,HNO3 為40~20重量%,CH3 COOH為5~15重量%。又,較佳地,藉由噴嘴38來供給至矽基板10上的蝕刻液流量,亦應從蝕刻效率及成本等兩方面來選出最佳數值,針對例如300mm口徑之矽基板10,於100ml/min~500ml/min範圍內者為佳。In the wet etching mechanism, in order to increase the overall etching rate, the mixing ratio of HF, HNO 3 , and CH 3 COOH is important. The inventors of the present invention confirmed that the optimum mixing ratio was HF of 20 to 30% by weight, HNO 3 of 40 to 20% by weight, and CH 3 COOH, after performing a plurality of experiments repeatedly using the above-described leaf type wet etching apparatus. 5~15% by weight. Further, it is preferable that the flow rate of the etching liquid supplied to the crucible substrate 10 by the nozzle 38 should be selected from the etching efficiency and the cost, for example, for the substrate 10 of 300 mm in diameter, at 100 ml/min. It is better in the range of ~500 ml/min.

本實施形態之晶圓薄板化步驟中,將矽基板10厚度例如從700μm削至100μm左右的Si研磨製程,亦可從習知之磨削法替換為濕蝕刻法,就量產性乃至成本方面,必須盡可能地讓蝕刻速度達高速化。因此,使用如前述般之枚葉式濕蝕刻裝置,矽基板10上之處理液的代謝率較佳,藉由使用前述般之以特定混合比而含有HF、HNO3 及CH3 COOH的蝕刻液,可達到30μm/min以上的蝕刻速度。In the wafer thinning step of the present embodiment, the Si polishing process in which the thickness of the tantalum substrate 10 is cut from, for example, 700 μm to about 100 μm can be replaced by a conventional grinding method instead of a wet etching method, in terms of mass productivity and cost. It is necessary to increase the etching speed as much as possible. Therefore, by using the leaf-type wet etching apparatus as described above, the metabolic rate of the treatment liquid on the crucible substrate 10 is preferably obtained by using the above-described etching liquid containing HF, HNO 3 and CH 3 COOH at a specific mixing ratio. It can reach an etching rate of 30 μm/min or more.

圖10係顯示可適用於前述TSV加工製程中之於孔16內壁處形成矽氧化膜(SiO2 膜)18之步驟(圖3)的微波電漿CVD裝置之結構。Fig. 10 is a view showing the structure of a microwave plasma CVD apparatus which is applicable to the step (Fig. 3) of forming a tantalum oxide film (SiO 2 film) 18 at the inner wall of the hole 16 in the aforementioned TSV processing.

該微波電漿CVD裝置係具有例如鋁或不鏽鋼等金屬製的圓筒型真空處理室(處理容器)50。處理室50係形成安全接地。This microwave plasma CVD apparatus has a cylindrical vacuum processing chamber (processing vessel) 50 made of metal such as aluminum or stainless steel. The processing chamber 50 forms a safety ground.

處理室50內之下部中央處,水平地設置有載置被處理體(矽基板10)之圓板狀載置台52且兼作為高頻電極的基板保持台。該載置台52係由例如鋁所組成,並支撐於從處理室50底部垂直朝上方延伸之絕緣性筒狀支撐部54處。In the center of the lower portion of the processing chamber 50, a disk-shaped mounting table 52 on which the object to be processed (the substrate 10) is placed is horizontally provided, and the substrate holding table also serves as a high-frequency electrode. The mounting table 52 is made of, for example, aluminum and is supported by an insulating cylindrical support portion 54 that extends vertically upward from the bottom of the processing chamber 50.

沿著筒狀支持部54外周緣而從處理室50底部垂直朝上方延伸之導電牲筒狀支持部56與處理室50之內壁之間會形成環狀排氣通路58,於該排氣通路58之上部或入口處設置有環狀檔板(baffle)60,且於底部設置有排氣埠62。各排氣埠62係經由排氣管64而連接至具有真空泵的排氣裝置66。An annular exhaust passage 58 is formed between the conductive salient support portion 56 extending vertically upward from the bottom of the processing chamber 50 along the outer periphery of the cylindrical support portion 54 and the inner wall of the processing chamber 50, and an exhaust passage 58 is formed therein. An annular baffle 60 is provided at the upper portion or the entrance of the 58th, and an exhaust port 62 is provided at the bottom. Each exhaust port 62 is connected to an exhaust device 66 having a vacuum pump via an exhaust pipe 64.

載置台52內部處,例如形成環狀般的冷媒室或冷媒通路68係藉由冷凝器單元(圖中未顯示)且經由配管70、72而循環地供給有特定溫度之冷媒(例如氟系液體CW)。於載置台52之上方面則設置有以靜電吸著力來保持矽基板10用的靜電夾持器74。來自傳熱氣體供給部(圖中未顯示)的傳熱氣體(例如He氣體)會經由氣體供給管76而供給至靜電夾持器74上方面與矽基板10內面之間處。將靜電夾持器74之開關78開啟後,藉由來自直流電源80的直流電壓所產生的靜電吸著力來將矽基板10吸著保持於靜電夾持器74上。In the interior of the mounting table 52, for example, a refrigerant chamber or a refrigerant passage 68 that is formed in a ring shape is supplied with a refrigerant having a specific temperature (for example, a fluorine-based liquid) by a condenser unit (not shown) and via pipings 70 and 72. CW). On the upper side of the mounting table 52, an electrostatic chuck 74 for holding the ruthenium substrate 10 with an electrostatic absorbing force is provided. A heat transfer gas (for example, He gas) from a heat transfer gas supply unit (not shown) is supplied to the electrostatic chuck 74 via the gas supply pipe 76 and between the inner surface of the ruthenium substrate 10. After the switch 78 of the electrostatic chuck 74 is turned on, the ruthenium substrate 10 is occluded and held by the electrostatic chuck 74 by the electrostatic absorbing force generated by the DC voltage from the DC power source 80.

又,靜電夾持器74之絕緣體中亦封入有加熱器用之電阻發熱體75,而可接收來自加熱器電源77之電力來讓電阻發熱體75進行發熱。Further, the insulator of the electrostatic chuck 74 is also sealed with the resistor heating element 75 for the heater, and receives electric power from the heater power source 77 to cause the resistor heating body 75 to generate heat.

如此一來,載置台52上之矽基板10會藉由傳熱氣體之冷卻與加熱器75之加熱之間的平衡下,而維持於例如200℃~350℃範圍內之所期望的設定溫度。As a result, the substrate 10 on the mounting table 52 is maintained at a desired set temperature in the range of, for example, 200 ° C to 350 ° C by the balance between the cooling of the heat transfer gas and the heating of the heater 75 .

該微波電漿CVD裝置中,作為電漿產生機構之一部份,在面向處理室50之載置台52的頂面處,氣密地安裝有導入微波用之例如由石英或氧化鋁等介電體所組成的介電體窗82。該介電體窗82會與貼合或設置於其上方面之具有同心圓狀分佈之多數個槽孔的導體放射板84結合而形成一體,以構成圓板狀之RLSA86。該RLSA86會經由例如石英或氧化鋁等介電體所組成的介電體板88而電磁性地結合至微波傳送線路90。介電體板88具有能將傳播於其內部之微波之波長縮短的功能。In the microwave plasma CVD apparatus, as a part of the plasma generating mechanism, a dielectric for introducing microwaves, for example, quartz or alumina, is hermetically mounted on the top surface of the mounting table 52 facing the processing chamber 50. A dielectric window 82 composed of a body. The dielectric window 82 is integrated with the conductor radiation plate 84 having a plurality of slots which are concentrically distributed on or attached thereto to form a disk-shaped RLSA 86. The RLSA 86 is electromagnetically coupled to the microwave transmission line 90 via a dielectric plate 88 composed of a dielectric such as quartz or alumina. The dielectric plate 88 has a function of shortening the wavelength of the microwave propagating inside.

微波傳送線路90係將由微波產生器92所輸出之微波傳送至RLSA86的線路,導波管94與導波管之同軸管變換器96與同軸管98。導波管94例如為方形導波管,以TE模式作為傳送模式而將來自微波產生器92的微波朝向處理室50傳送給導波管之同軸管變換器96。The microwave transmission line 90 transmits the microwave outputted by the microwave generator 92 to the line of the RLSA 86, the waveguide tube 94 and the coaxial tube converter 96 of the waveguide and the coaxial tube 98. The waveguide 94 is, for example, a square waveguide, and transmits the microwave from the microwave generator 92 toward the processing chamber 50 to the coaxial converter 96 of the waveguide in the TE mode as the transmission mode.

導波管之同軸管變換器96會與方形導波管94之終端部及同軸管98之前端部相結合,以將方形導波管94之傳送模式轉換為同軸管98之傳送模式。The coaxial tube converter 96 of the waveguide will be combined with the terminal end of the square waveguide 94 and the front end of the coaxial tube 98 to convert the transmission mode of the square waveguide 94 into the transmission mode of the coaxial tube 98.

同軸管98會從導波管之同軸管變換器96垂直朝下方延伸至處理室50的上方面中心部,該同軸線路之終端或下端處係經由介電體板88而結合至RLSA86。同軸管98之外部導體100由圓筒體所組成,可讓微波於內部導體102與外部導體100之間的空間處以TEM模式進行傳播。The coaxial tube 98 extends vertically downward from the coaxial tube transducer 96 of the waveguide to the upper central portion of the processing chamber 50, the terminal or lower end of which is coupled to the RLSA 86 via a dielectric plate 88. The outer conductor 100 of the coaxial tube 98 is composed of a cylindrical body that allows microwaves to propagate in the TEM mode at the space between the inner conductor 102 and the outer conductor 100.

由微波發生器92所輸出之微波會如前述般,傳遞於由導波管94、導波管之同軸管變換器96及同軸管98所組成的微波傳送線路90,並通過介電板88而供給至RLSA86。然後,藉由介電體板88而沿半徑方向擴展之微波會從RLSA86之各槽孔處朝處理室50內部放射而出,藉由該微波電功率來讓附近之氣體電離化,以產生電漿。當所產生之電漿的介電率超過微波之臨界值(cutoff)時,微波就會成為沿介電體窗82與電漿之邊界處傳播的表面波。The microwave outputted by the microwave generator 92 is transmitted to the microwave transmission line 90 composed of the waveguide 94, the coaxial tube converter 96 of the waveguide, and the coaxial tube 98 as described above, and passes through the dielectric plate 88. Supply to RLSA86. Then, the microwave extending in the radial direction by the dielectric plate 88 is radiated from the slots of the RLSA 86 toward the inside of the processing chamber 50, and the microwave electric power is used to ionize the nearby gas to generate plasma. . When the dielectric of the generated plasma exceeds the cutoff of the microwave, the microwave becomes a surface wave propagating along the boundary of the dielectric window 82 and the plasma.

介電體板88上方,覆蓋處理室50上方面般地設置有天線後面板104。該天線後面板104係例如由鋁所組成,兼用作吸收(放熱)由介電體窗82所產生之熱的冷卻夾套,於內部所形成之流道106係藉由冷凝器單元(圖中未顯示)且經由配管108、110而循環供給有特定溫度之冷媒(例如氟系液體CW)。Above the dielectric body plate 88, an antenna rear panel 104 is provided in a manner similar to that of the processing chamber 50. The antenna rear panel 104 is composed, for example, of aluminum, and serves as a cooling jacket for absorbing (heating) heat generated by the dielectric window 82. The flow path 106 formed therein is constituted by a condenser unit (in the figure) Not shown), a refrigerant having a specific temperature (for example, a fluorine-based liquid CW) is circulated and supplied via the pipes 108 and 110.

該微波電漿CVD裝置中,同軸管98之內部導體102處,沿軸方向貫穿其內部而設置有中空之氣體流道110。然後,於內部導體102上端處則連接有來自處狸氣體供給源112之第1氣體供給管114,第1氣體供給管114之氣體流道與同軸管98之氣體流道110會相互連通。又,內部導體102下端處連接有貫穿介電體窗82的噴射器部116(導體),同軸管98之氣體流道110與噴射器部116之氣體流道會相互連通。噴射器部116會於處理室50內從頂面之介電體窗82適度地突出,而從其前端之噴出口116a噴出處理氣體。In the microwave plasma CVD apparatus, a hollow gas flow path 110 is provided in the inner conductor 102 of the coaxial tube 98 so as to penetrate the inside thereof in the axial direction. Then, a first gas supply pipe 114 from the beaver gas supply source 112 is connected to the upper end of the inner conductor 102, and the gas flow path of the first gas supply pipe 114 and the gas flow path 110 of the coaxial pipe 98 communicate with each other. Further, an ejector portion 116 (conductor) penetrating through the dielectric window 82 is connected to the lower end of the inner conductor 102, and the gas flow path 110 of the coaxial tube 98 and the gas flow path of the ejector portion 116 communicate with each other. The ejector portion 116 is moderately protruded from the top dielectric window 82 in the processing chamber 50, and the processing gas is ejected from the ejection port 116a at the front end thereof.

前述結構之第1處理氣體導入部118中,由處理氣體供給源112以特定壓力而送出之處理氣體會依序流經第1氣體供給管114、同軸管110以及噴射器部116之各氣體流道,而從噴射器部116前端之噴出口116a噴出,朝處理室50內之電漿產生空間而擴散。另外,於第1氣體供給管114之途中設置有MFC(質量流量控制器)120及開閉閥122。In the first process gas introduction unit 118 having the above-described configuration, the process gas sent from the process gas supply source 112 at a specific pressure flows through the respective gas flows of the first gas supply pipe 114, the coaxial pipe 110, and the ejector portion 116 in this order. The passage is ejected from the discharge port 116a at the tip end of the ejector portion 116, and a space is generated toward the plasma in the processing chamber 50 to be diffused. Further, an MFC (mass flow controller) 120 and an opening and closing valve 122 are provided in the middle of the first gas supply pipe 114.

該微波電漿CVD裝置中,為了將處理氣體導入處理室50內,具備有與前述第1處理氣體導入部118為不同系統的第2處理氣體導入部124。該第2處理氣體導入部124具有:緩衝室126,在較介電體窗82更低位置處,環狀地形成於處理室50之側壁當中;多數個側壁氣體噴出孔134,係沿圓周方向等間隔地從緩衝室126面向電漿產生空間;以及氣體供給管128,係從處理氣體供給源112延伸至緩衝室126。於氣體供給管128之途中設置有MFC130及開閉閥132。In the microwave plasma CVD apparatus, in order to introduce the processing gas into the processing chamber 50, a second processing gas introduction portion 124 that is different from the first processing gas introduction portion 118 is provided. The second processing gas introduction portion 124 has a buffer chamber 126 which is annularly formed in a side wall of the processing chamber 50 at a position lower than the dielectric window 82. A plurality of side wall gas ejection holes 134 are circumferentially The space is generated from the buffer chamber 126 at equal intervals toward the plasma generation space; and the gas supply pipe 128 extends from the process gas supply source 112 to the buffer chamber 126. The MFC 130 and the opening and closing valve 132 are provided in the middle of the gas supply pipe 128.

該第2處理氣體導入部124中,由處理氣體供給源112以特定壓力而送出的處理氣體,會經由第2氣體供給管128而導入處理室50側壁內的緩衝室126,於緩衝室126內沿周緣方向使壓力均勻化之後,藉由各側壁氣體噴出口134朝向處理室50中心約略水平般噴出,以擴散至電漿處理空間。In the second processing gas introduction unit 124, the processing gas sent by the processing gas supply source 112 at a specific pressure is introduced into the buffer chamber 126 in the side wall of the processing chamber 50 via the second gas supply pipe 128, and is accommodated in the buffer chamber 126. After the pressure is uniformized in the circumferential direction, the side wall gas discharge ports 134 are ejected toward the center of the processing chamber 50 approximately horizontally to diffuse into the plasma processing space.

另外,藉由第1處理氣體導入部118及第2處理氣體導入部124而各自導入處理室50內的處理氣體,通常可為同種類之氣體,但亦可為不同種類之氣體,可藉由各MFC120、130以各自獨立之流量,抑或以任意之流量比來導入。In addition, the processing gas introduced into the processing chamber 50 by the first processing gas introduction unit 118 and the second processing gas introduction unit 124 may be the same type of gas, but may be different types of gases. Each MFC 120, 130 is introduced at a separate flow rate or at an arbitrary flow ratio.

圖11係顯示RLSA86之槽孔圖樣構造的俯視圖。如圖所示,導體之放射板84處以同心圓狀地形成有多數個槽孔。更詳細說明,係呈同心圓狀地交互排列有2種方向相互垂直的槽孔84b、84c,且於半徑方向上,以微波波長(傳遞於介電體板88)所對應之間隔來進行設置。前述槽孔配置構造中,微波會成為包含有2個垂直偏波成份的圓偏波之略平面波,並從槽孔板放射而出。此種類型之槽孔天線具有能從槽孔板之約略整體表面處均勻地放射出微波的優點,適用於產生均勻且穩定之電漿。另外,導體放射板84之中心部則形成有貫穿噴射器部116用的貫通孔85。Figure 11 is a top plan view showing the slot pattern configuration of the RLSA 86. As shown in the figure, a plurality of slots are formed concentrically at the radiation plate 84 of the conductor. More specifically, the slots 84b and 84c which are perpendicular to each other in two directions are arranged in a concentric manner, and are arranged in the radial direction at intervals corresponding to the microwave wavelength (transmitted to the dielectric plate 88). . In the slot arrangement structure, the microwave is a slightly plane wave including a circularly polarized wave of two vertical polarization components, and is radiated from the slot plate. This type of slotted antenna has the advantage of being able to uniformly radiate microwaves from approximately the entire surface of the slotted plate, and is suitable for producing a uniform and stable plasma. Further, a through hole 85 for penetrating the ejector portion 116 is formed at a central portion of the conductor radiation plate 84.

關於該微波電漿CVD裝置,如前述般於矽基板10上之TSV加工製程中,於孔16之內壁處形成矽氧化膜(SiO2 膜)18時,作為由處理氣體供給源112供給至處理室50內的處理氣體或反應氣體,需使用於TEOS(Tetra Ethyl Ortho Silicate)及O2 中加入有Ar或Kr的混合氣體。In the microwave plasma CVD apparatus, as described above, when a tantalum oxide film (SiO 2 film) 18 is formed on the inner wall of the hole 16 in the TSV processing process on the germanium substrate 10, it is supplied from the processing gas supply source 112 to The processing gas or the reaction gas in the processing chamber 50 is used in TEOS (Tetra Ethyl Ortho Silicate) and a mixed gas in which Ar or Kr is added to O 2 .

使用如前述般地沿介電體窗82與電漿之邊界傳遞的表面波微波來進行基板處理。此處,電漿生成空間係限定為介電體窗82附近(例如10mm以內)的區域,其下之空間則為讓電漿進行擴散的區域,載置台52上之矽基板10會被載置於該電漿擴散區域之中。因此,即便電漿生成區域內之電子溫度高達2~4eV程度,在矽基板10附近處亦會明顯地下降至1~2eV程度。較佳地,係將處理氣體(特別是TEOS氣體)導入至處理室50內的電漿擴散區域。Substrate processing is performed using surface wave microwaves transmitted along the boundary between the dielectric window 82 and the plasma as described above. Here, the plasma generation space is defined as a region near the dielectric window 82 (for example, within 10 mm), and a space below is a region where the plasma is diffused, and the substrate 10 on the mounting table 52 is placed. In the plasma diffusion region. Therefore, even if the electron temperature in the plasma generation region is as high as 2 to 4 eV, the vicinity of the crucible substrate 10 is also significantly reduced to the extent of 1 to 2 eV. Preferably, a process gas, particularly TEOS gas, is introduced into the plasma diffusion zone within the processing chamber 50.

Kr係一種質量較Ar更高的稀有氣體,於電漿生成區域處進行放電以產生大量的自由基,不但能提高階梯覆蓋(Step Coverage),亦具有抑制Si-OH結合或Si-H結合等懸浮鍵(Dangling bond)產生的作用。Kr is a rare gas with a higher mass than Ar. It discharges at the plasma generation region to generate a large amount of free radicals, which not only improves the step coverage but also inhibits Si-OH bonding or Si-H bonding. The effect of the Dangling bond.

關於該微波電漿CVD裝置中,如前述般於矽基板10之孔16之內壁處形成矽氧化膜(SiO2 膜)18之較佳製程條件(製程配方),作為一範例舉出如下。In the microwave plasma CVD apparatus, a preferable process condition (process recipe) for forming a tantalum oxide film (SiO 2 film) 18 at the inner wall of the hole 16 of the tantalum substrate 10 as described above is exemplified as follows.

壓力=350mTorrPressure = 350mTorr

處理氣體:TEOS/O2 /Ar=5:20:75(流量比)Process gas: TEOS/O 2 /Ar=5:20:75 (flow ratio)

微波電功率=3.5kWMicrowave electric power = 3.5kW

處理時間=60secProcessing time = 60sec

依前述般使用RLSA以進行電漿放電的微波電漿CVD裝置,可藉由350℃以下之低溫成膜來形成具有與熱氧化膜相較而無遜色之良好(即不純物較少且吸濕性較低)之膜質的電漿TEOS膜。A microwave plasma CVD apparatus using RLSA for plasma discharge as described above can be formed by a low temperature film formation of 350 ° C or less to have a color which is inferior to a thermal oxide film (ie, less impurities and hygroscopicity). Lower) membranous plasma TEOS membrane.

此處,適用於後鑽孔時,350℃以下之低溫成膜為必要條件。處理溫度通常會超過700℃的熱氧化法或熱CVD法,會對矽基板10上已製成之元件或配線造能損傷,因此無法應用於後鑽孔方式。Here, when it is applied to the post-drilling, a low-temperature film formation of 350 ° C or less is a necessary condition. The thermal oxidation method or the thermal CVD method in which the treatment temperature usually exceeds 700 ° C can damage the fabricated components or wiring on the substrate 10, and thus cannot be applied to the post-drilling method.

又,貫通孔內壁之矽氧化膜18具有不純物及吸濕性較少的良好膜質,此乃於晶圓薄板化步驟(圖7)中採用濕蝕刻法之本實施形態的非常重要之特性。Further, the tantalum oxide film 18 on the inner wall of the through-hole has a good film quality with less impurities and less hygroscopicity, which is a very important characteristic of the present embodiment in the wafer thinning step (Fig. 7) by the wet etching method.

即,藉由高頻之電容偶合型或感應偶合型電漿CVD裝置所形成之電漿TEOS膜含有許多Si-OH或Si-H等不純物而具有吸濕性,不只是對於外部應力較弱,亦可容易溶解至HF,於Si濕蝕刻時之蝕刻選擇性較低等缺點。That is, the plasma TEOS film formed by the high frequency capacitive coupling type or the inductive coupling type plasma CVD apparatus contains many impurities such as Si-OH or Si-H and is hygroscopic, not only for external stress, but also weak. It can also be easily dissolved into HF, which has the disadvantages of low etching selectivity during wet etching of Si.

實際上,針對如前述般藉由RLSA型微波電漿CVD裝置所形成之電漿TEOS膜(試料1)、藉由一般電容偶合型電漿CVD裝置所形成之電漿TEOS膜(試料2)、藉由一般熱CVD裝置於700℃以上之處理溫度所形成的SiO2 膜(試料3)、以及對試料3之SiO2 膜更進一步施以約900℃之退火處理及水蒸氣之熱氧化處理後所獲得的SiO2 膜(試料4),浸泡於濃度5%之HF溶液中並測量其各自之蝕刻速度,於該實驗所獲得之實驗結果,試料1為45nm/min,試料2為75nm/min,試料3為60nm/min,試料4為30nm/min。Actually, the plasma TEOS film (sample 1) formed by the RLSA type microwave plasma CVD apparatus and the plasma TEOS film (sample 2) formed by a general capacitance coupling type plasma CVD apparatus, The SiO 2 film (sample 3) formed by a general thermal CVD apparatus at a processing temperature of 700 ° C or higher, and the SiO 2 film of the sample 3 are further subjected to annealing treatment at about 900 ° C and thermal oxidation treatment of water vapor. The obtained SiO 2 film (sample 4) was immersed in an HF solution having a concentration of 5% and the respective etching speeds thereof were measured. The experimental results obtained in the experiment were as follows: sample 1 was 45 nm/min, and sample 2 was 75 nm/min. Sample 3 was 60 nm/min, and sample 4 was 30 nm/min.

主要來說,相較於藉由低溫成膜型之電容偶合型電漿CVD裝置所形成之電漿TEOS膜,藉由RLSA型微波電漿CVD裝置所形成之電漿TEOS膜可將Si濕蝕刻之選擇比提高約17倍。Mainly, the plasma TEOS film formed by the RLSA type microwave plasma CVD apparatus can wet the Si compared to the plasma TEOS film formed by the low temperature film forming type capacitive coupling type plasma CVD apparatus. The choice is about 17 times higher.

藉此,本實施形態之晶圓薄板化步驟(圖7)中,不但於矽基板10內面各部處不會因外部應力造成晶格缺陷等損傷,貫通孔底部附近之側壁之絕緣膜(SiO2 )亦不會因過度蝕刻而呈凹陷狀,可讓TSV之電氣特性乃至信賴性達到穩定化。As a result, in the wafer thinning step (FIG. 7) of the present embodiment, not only the inner surface of the tantalum substrate 10 but also the outer surface of the inner surface of the tantalum substrate is not damaged by lattice defects, and the insulating film of the side wall near the bottom of the through hole (SiO) 2 ) It will not be recessed due to over-etching, which will stabilize the electrical characteristics and reliability of TSV.

以上,已說明有關本發明之較佳實施形態,但本發明並非限定於前述實施形態,於該技術思想範圍內可進行各種變形、變更。The preferred embodiments of the present invention have been described above, but the present invention is not limited to the embodiments described above, and various modifications and changes can be made without departing from the scope of the invention.

例如,將孔16內壁所形成之矽氧化膜(SiO2 )18藉由電漿氮化法來氮化以形成矽氮氧化膜者,亦是較佳的膜質改善法。此時,矽氧化膜(SiO2 )18之形成亦可使用電容偶合型電漿CVD裝置,作為電漿激發用氣體可使用非活性氣體(氬、氪、氙),作為處理氣體則可使用氨氣或氮氣。話說回來,於電漿氮化製程中,使用如前述般之RLSA型微波電漿CVD裝置(圖10)者較佳,藉此能更進一步地提高矽氮氧化膜之膜質。For example, a tantalum oxide film (SiO 2 ) 18 formed on the inner wall of the hole 16 is nitrided by a plasma nitridation method to form a niobium oxide film, which is also a preferred film quality improvement method. At this time, a tantalum oxide film (SiO 2 ) 18 may be formed by using a capacitive coupling type plasma CVD apparatus, and an inert gas (argon, helium, neon) may be used as the plasma excitation gas, and ammonia may be used as the processing gas. Gas or nitrogen. In other words, in the plasma nitriding process, it is preferable to use the RLSA type microwave plasma CVD apparatus (Fig. 10) as described above, whereby the film quality of the niobium oxynitride film can be further improved.

或者,作為其他方法,可於孔16內壁處形成矽氮化膜(Si3 N4 )以取代矽氧化膜(SiO2 ),藉此亦可提高Si濕蝕刻之選擇比。以CVD來進行該矽氮化膜(18)之形成的情況,可使用矽烷氣體與氨氣作為原料氣體。此時,使用如前述般之RLSA型微波電漿CVD裝置(圖10)者亦較佳,藉此能更進一步地提高矽氮化膜之膜質。Alternatively, as another method, a tantalum nitride film (Si 3 N 4 ) may be formed on the inner wall of the hole 16 instead of the tantalum oxide film (SiO 2 ), whereby the selection ratio of the wet etching of Si may also be improved. When the formation of the tantalum nitride film (18) is performed by CVD, decane gas and ammonia gas can be used as the material gas. At this time, it is also preferable to use the RLSA type microwave plasma CVD apparatus (Fig. 10) as described above, whereby the film quality of the tantalum nitride film can be further improved.

或者,亦可形成由矽氧化膜(SiO2 )與矽氮化膜(Si3 N4 )所組成的多層絕緣膜來作為孔16之內壁的絕緣膜(18)。Alternatively, a multilayer insulating film composed of a tantalum oxide film (SiO 2 ) and a tantalum nitride film (Si 3 N 4 ) may be formed as the insulating film (18) of the inner wall of the hole 16.

另外,前述實施形態之TSV加工所使用的微波電漿CVD裝置(圖10)中,亦可使用金屬表面波激發電漿(MSEP:Metal Surface wave Excitation Plasma)來取代電漿激發用RLSA。Further, in the microwave plasma CVD apparatus (Fig. 10) used in the TSV processing of the above-described embodiment, metal surface wave excitation plasma (MSEP) may be used instead of the RLSA for plasma excitation.

又,圖10之裝置結構僅為一範例,不只是天線,處理氣體導入部或載置台周邊的構造等亦可進行各種變更。Further, the configuration of the apparatus of Fig. 10 is merely an example, and various configurations may be made not only for the antenna but also for the structure of the processing gas introduction portion or the periphery of the mounting table.

又,作為Si濕蝕刻所使用之蝕刻液的組成,亦可使用除CH3 COOH(酢酸)以外之其它羧酸(例如草酸(oxalic acid)或檸檬酸(citric acid)。圖9之枚葉式濕蝕刻裝置僅為一範例,亦可使用其他之裝置結構。Further, as the composition of the etching liquid used for the wet etching of Si, a carboxylic acid other than CH 3 COOH (tannic acid) (for example, oxalic acid or citric acid) may be used. The wet etching apparatus is only an example, and other apparatus structures may be used.

本發明之TSV加工製程適用於前述般的後鑽孔方式為佳,但亦可適用於先鑽孔方式。The TSV processing process of the present invention is preferably applied to the above-described post-drilling method, but can also be applied to the first drilling method.

10...矽基板10. . .矽 substrate

12...半導體元件12. . . Semiconductor component

14...配線構造14. . . Wiring structure

16...孔16. . . hole

18...矽氧化膜18. . . Oxide film

20...TiN層20. . . TiN layer

22...晶種層twenty two. . . Seed layer

24...導體twenty four. . . conductor

26、28...焊球26, 28. . . Solder ball

30...基座30. . . Pedestal

32...迴轉台32. . . Turntable

34...驅動部34. . . Drive department

36...迴轉軸36. . . Rotary axis

38...噴嘴38. . . nozzle

40...手臂40. . . Arm

42...排液口42. . . Drain port

44...排氣口44. . . exhaust vent

50...處理室50. . . Processing room

52...載置台52. . . Mounting table

54...支持部54. . . Support department

56...支持部56. . . Support department

58...排氣通路58. . . Exhaust passage

60...檔板60. . . Baffle

62...排氣埠62. . . Exhaust gas

64...排氣管64. . . exhaust pipe

66...排氣裝置66. . . Exhaust

68...冷媒通路68. . . Refrigerant path

70、72...配管70, 72. . . Piping

74...靜電夾持器74. . . Electrostatic gripper

75...發熱體75. . . heating stuff

76...氣體供給管76. . . Gas supply pipe

77...加熱器電源77. . . Heater power supply

78...開關78. . . switch

80...直流電源80. . . DC power supply

82...介電體窗82. . . Dielectric window

84...放射板84. . . Radiation board

84b、84c...槽孔84b, 84c. . . Slot

85...貫通孔85. . . Through hole

86...RLSA86. . . RLSA

88...介電體板88. . . Dielectric plate

90...微波傳送線路90. . . Microwave transmission line

92...微波產生器92. . . Microwave generator

94...導波管94. . . Waveguide

96...同軸管變換器96. . . Coaxial tube converter

98...同軸管98. . . Coaxial tube

100...外部導體100. . . External conductor

102...內部導體102. . . Internal conductor

104...天線後面板104. . . Antenna rear panel

106...流道106. . . Runner

108、110...配管108, 110. . . Piping

112...處理氣體供給源112. . . Process gas supply

114...第1氣體供給管114. . . First gas supply pipe

116...噴射器部116. . . Ejector section

116a...噴出口116a. . . Spray outlet

118...第1處理氣體導入部118. . . First processing gas introduction unit

120...MFC120. . . MFC

122...開閉閥122. . . Open and close valve

124...第2處理氣體導入部124. . . Second processing gas introduction unit

126...緩衝室126. . . Buffer chamber

128...氣體供給管128. . . Gas supply pipe

130...MFC130. . . MFC

132...開閉閥132. . . Open and close valve

134...噴出孔134. . . Spout hole

圖1係本發明一實施形態之TSV加工製程之一階段的剖面圖。BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a cross-sectional view showing a stage of a TSV processing process according to an embodiment of the present invention.

圖2係實施形態之TSV加工製程之一階段的縱剖面圖。Figure 2 is a longitudinal cross-sectional view showing one stage of the TSV processing process of the embodiment.

圖3係實施形態之TSV加工製程之一階段的縱剖面圖。Figure 3 is a longitudinal cross-sectional view showing one stage of the TSV processing process of the embodiment.

圖4係實施形態之TSV加工製程之一階段的縱剖面圖。Figure 4 is a longitudinal cross-sectional view showing one stage of the TSV processing process of the embodiment.

圖5係實施形態之TSV加工製程之一階段的縱剖面圖。Figure 5 is a longitudinal cross-sectional view showing one stage of the TSV processing process of the embodiment.

圖6係實施形態之TSV加工製程之一階段的縱剖面圖。Figure 6 is a longitudinal cross-sectional view showing one stage of the TSV processing process of the embodiment.

圖7係實施形態之TSV加工製程之一階段的縱剖面圖。Figure 7 is a longitudinal cross-sectional view showing one stage of the TSV processing process of the embodiment.

圖8係實施形態之TSV加工製程之一階段的縱剖面圖。Figure 8 is a longitudinal cross-sectional view showing one stage of the TSV processing process of the embodiment.

圖9係可應用於前述TSV加工製程之枚葉型濕蝕刻裝置的主要結構之部份剖面側面圖。Fig. 9 is a partial cross-sectional side view showing the main structure of a leaf type wet etching apparatus which can be applied to the aforementioned TSV processing.

圖10係可應用於前述TSV加工製程之微波電漿CVD裝置的結構之縱剖面圖。Figure 10 is a longitudinal cross-sectional view showing the structure of a microwave plasma CVD apparatus applicable to the aforementioned TSV processing.

圖11係前述微波電漿CVD裝置所配備之RISA結構的平面圖。Figure 11 is a plan view showing the structure of the RISA equipped with the microwave plasma CVD apparatus.

10...矽基板10. . .矽 substrate

12...半導體元件12. . . Semiconductor component

14...配線構造14. . . Wiring structure

16...孔16. . . hole

18...矽氧化膜18. . . Oxide film

20...TiN層20. . . TiN layer

22...晶種層twenty two. . . Seed layer

24...導體twenty four. . . conductor

Claims (11)

一種半導體裝置之製造方法,係具有:第1步驟,係於半導體基板處,從其元件形成面側來形成所期望深度的孔;第2步驟,係於該孔之內壁處形成矽氧化膜;第3步驟,係使用包含非活性氣體與NH3 氣體或N2 氣體的混合氣體做為處理氣體,藉由於微波放電使用了放射狀槽孔天線抑或金屬表面波激發電漿的微波激發電漿氮化法來將形成於該孔之內壁處的矽氧化膜加以氮化而成為矽氮氧化膜;第4步驟,係將導體埋入至該孔處;以及第5步驟,係藉由濕蝕刻來削除該半導體基板之內面直到露出該導體。A method of manufacturing a semiconductor device comprising: a first step of forming a hole having a desired depth from a side of an element forming surface of a semiconductor substrate; and a second step of forming a tantalum oxide film at an inner wall of the hole In the third step, a mixed gas containing an inert gas and NH 3 gas or N 2 gas is used as a processing gas, and a microwave-excited plasma using a radial slot antenna or a metal surface wave excitation plasma is used due to microwave discharge. Nitriding method to nitride the tantalum oxide film formed on the inner wall of the hole to form a niobium oxide film; in the fourth step, the conductor is buried in the hole; and the fifth step is performed by wet Etching to remove the inner face of the semiconductor substrate until the conductor is exposed. 如申請專利範圍第1項之半導體裝置之製造方法,其中該第2步驟係藉由利用微波放電以產生電漿的微波激發電漿CVD法來形成該矽氧化膜。 The method of manufacturing a semiconductor device according to claim 1, wherein the second step is to form the tantalum oxide film by a microwave excitation plasma CVD method using microwave discharge to generate a plasma. 如申請專利範圍第2項之半導體裝置之製造方法,其中該微波激發電漿CVD法之微波放電係使用了放射狀槽孔天線抑或金屬表面波激發電漿。 The method of fabricating a semiconductor device according to claim 2, wherein the microwave-excited plasma CVD method uses a radial slot antenna or a metal surface wave excitation plasma. 如申請專利範圍第2或3項之半導體裝置之製造方法,其中該矽氧化膜之原料包含有TEOS。 The method of manufacturing a semiconductor device according to claim 2, wherein the raw material of the tantalum oxide film contains TEOS. 如申請專利範圍第2或3項之半導體裝置之製造方法,其中該矽氧化膜之成膜溫度為350℃以下。 The method of manufacturing a semiconductor device according to claim 2, wherein the film formation temperature of the tantalum oxide film is 350 ° C or lower. 如申請專利範圍第1至3項中任一項之半導體裝置之製造方法,其中該微波放電所使用之氣體係包含有Ar氣體或Kr氣體。 The method of manufacturing a semiconductor device according to any one of claims 1 to 3, wherein the gas system used for the microwave discharge contains an Ar gas or a Kr gas. 如申請專利範圍第1至3項中任一項之半導體裝置之製造方法,其中該第5步驟係使用含有氫氟酸為20~30重量%、硝酸為40~20重量%、醋酸5~15重量%之蝕刻液,使得蝕刻速度成為30μm/min以上。 The method of manufacturing a semiconductor device according to any one of claims 1 to 3, wherein the fifth step is 20 to 30% by weight of hydrofluoric acid, 40 to 20% by weight of nitric acid, and 5 to 15% of acetic acid. The etching solution of % by weight makes the etching rate 30 μm/min or more. 如申請專利範圍第1至3項中任一項之半導體裝置之製造方法,係於該第3步驟與第4步驟之間,具有於該矽氮氧化膜之上形成防止擴散層之第6步驟。 The method of manufacturing a semiconductor device according to any one of claims 1 to 3, wherein the third step of forming the diffusion preventing layer on the niobium oxynitride film is between the third step and the fourth step . 一種半導體裝置之製造方法,係具有:第1步驟,係於半導體基板處,從其元件形成面側來形成所期望深度的孔;第2步驟,係使用包含矽烷氣體與NH3 氣體的混合氣體做為成膜氣體,藉由於微波放電使用了放射狀槽孔天線抑或金屬表面波激發電漿的微波激發電漿CVD法來於該孔之內壁形成矽氮化膜;第3步驟,係將導體埋入至該孔處;以及第4步驟,係藉由濕蝕刻來削除該半導體基板之內面直到露出該導體。A method of manufacturing a semiconductor device comprising: a first step of forming a hole having a desired depth from a side of an element forming surface of a semiconductor substrate; and a second step of using a mixed gas containing a gas of decane and NH 3 ; As a film-forming gas, a silicon nitride plasma film is formed on the inner wall of the hole by a microwave-excited plasma CVD method using a radial slot antenna or a metal surface wave excitation plasma due to microwave discharge; The conductor is buried in the hole; and in the fourth step, the inner surface of the semiconductor substrate is removed by wet etching until the conductor is exposed. 如申請專利範圍第9項之半導體裝置之製造方法,其中該第4步驟係使用含有氫氟酸為20~30重量%、硝酸為40~20重量%、醋酸5~15重量%之蝕刻液,使得蝕刻速度成為30μm/min以上。 The method for manufacturing a semiconductor device according to claim 9, wherein the fourth step is an etching solution containing 20 to 30% by weight of hydrofluoric acid, 40 to 20% by weight of nitric acid, and 5 to 15% by weight of acetic acid. The etching rate was made 30 μm/min or more. 如申請專利範圍第9或10項之半導體裝置之製造方法,係於該第2步驟與第3步驟之間,具有於該矽氮化膜之上形成防止擴散層之第5步驟。 A method of manufacturing a semiconductor device according to claim 9 or 10, wherein the fifth step of forming the diffusion preventing layer on the germanium nitride film is between the second step and the third step.
TW099126181A 2009-08-07 2010-08-06 Semiconductor device and manufacturing method thereof TWI512890B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009184237A JP5885904B2 (en) 2009-08-07 2009-08-07 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
TW201120995A TW201120995A (en) 2011-06-16
TWI512890B true TWI512890B (en) 2015-12-11

Family

ID=43544151

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099126181A TWI512890B (en) 2009-08-07 2010-08-06 Semiconductor device and manufacturing method thereof

Country Status (3)

Country Link
JP (1) JP5885904B2 (en)
TW (1) TWI512890B (en)
WO (1) WO2011016242A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI449152B (en) 2011-12-21 2014-08-11 Ind Tech Res Inst Semiconductor device stacked structure
JP2013171862A (en) * 2012-02-17 2013-09-02 Tokyo Electron Ltd Metal paste filling method, metal paste filling device, and via plug manufacturing method
CN103624978A (en) 2012-08-24 2014-03-12 澳加光学有限公司 Composite thermoplastic sheet material and decoration part for glasses and preparation methods of two
KR102110247B1 (en) 2013-11-29 2020-05-13 삼성전자주식회사 Semiconductor devices having through electrodes and methods for fabricating the same
TWI611507B (en) * 2014-10-23 2018-01-11 Acm Res Shanghai Inc Method and apparatus for outcroping on the back side of a through hole
US10354910B2 (en) * 2016-05-27 2019-07-16 Raytheon Company Foundry-agnostic post-processing method for a wafer
JP6808460B2 (en) 2016-11-29 2021-01-06 キヤノン株式会社 Semiconductor devices and their manufacturing methods

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238927A1 (en) * 2003-03-17 2004-12-02 Ikuya Miyazawa Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US20050051883A1 (en) * 2003-06-19 2005-03-10 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
WO2006080337A1 (en) * 2005-01-31 2006-08-03 Nec Corporation Semiconductor device and method for manufacturing same, and stacked type semiconductor integrated circuit
JP2008124424A (en) * 2006-10-16 2008-05-29 Tokyo Electron Ltd Plasma filming apparatus, and method for plasma filming

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS607148A (en) * 1983-06-24 1985-01-14 Nec Corp Manufacture of semiconductor device
JPH11145138A (en) * 1997-11-10 1999-05-28 Hitachi Ltd Semiconductor device and manufacture thereof
JP3567377B2 (en) * 2002-01-09 2004-09-22 独立行政法人 科学技術振興機構 Method for manufacturing semiconductor integrated circuit device
JP4737386B2 (en) * 2005-03-31 2011-07-27 日本ゼオン株式会社 Manufacturing method of circuit board for electronic device, circuit board for electronic device, and display device
DE112008001548B4 (en) * 2007-06-11 2013-07-11 Tokyo Electron Ltd. Plasma processing apparatus and plasma processing method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238927A1 (en) * 2003-03-17 2004-12-02 Ikuya Miyazawa Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US20050051883A1 (en) * 2003-06-19 2005-03-10 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
WO2006080337A1 (en) * 2005-01-31 2006-08-03 Nec Corporation Semiconductor device and method for manufacturing same, and stacked type semiconductor integrated circuit
JP2008124424A (en) * 2006-10-16 2008-05-29 Tokyo Electron Ltd Plasma filming apparatus, and method for plasma filming

Also Published As

Publication number Publication date
WO2011016242A1 (en) 2011-02-10
TW201120995A (en) 2011-06-16
JP2011040457A (en) 2011-02-24
JP5885904B2 (en) 2016-03-16

Similar Documents

Publication Publication Date Title
TWI512890B (en) Semiconductor device and manufacturing method thereof
TWI683349B (en) Plasma activation treatment for wafer bonding
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
TWI630654B (en) Recessing ultra-low k dielectric using remote plasma source
US9087878B2 (en) Device with through-silicon via (TSV) and method of forming the same
TWI342588B (en) Semiconductor device and manufacturing method of the same
JP5419167B2 (en) Semiconductor device manufacturing method and semiconductor device
TW200921782A (en) Small area, robust silicon via structure and process
JP6793711B2 (en) Selectively etched self-aligned via process
TWI743567B (en) Memory device and methods for forming the same
US7169664B2 (en) Method of reducing wafer contamination by removing under-metal layers at the wafer edge
US11437344B2 (en) Wafer bonding method
US8426312B2 (en) Method of reducing contamination by providing an etch stop layer at the substrate edge
US20220367407A1 (en) Wafer Bonding Method
TWI752561B (en) Method of forming semiconductor structure, method of forming semiconductor-on-insulator (soi) substrate, and semiconductor structure
US7815815B2 (en) Method and apparatus for processing the peripheral and edge portions of a wafer after performance of a surface treatment thereon
TW202147517A (en) Selective deposition of conductive cap for fully-aligned-via (fav)
JP5935227B2 (en) Semiconductor device manufacturing method and semiconductor device
TWI505360B (en) Method of forming metal carbide barrier layers for fluorocarbon films
TWI752835B (en) Member of physical vapor deposition and method for cleaning electrostatic chuck
TW202347488A (en) Method of forming semiconductor device and substrate processing system for forming the aforementioned device
JP2021163804A (en) Etching method and substrate processing method
JP2002334876A (en) Plasma cvd system

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees