JP5335931B2 - Chip package with power management integrated circuit and related technology - Google Patents

Chip package with power management integrated circuit and related technology Download PDF

Info

Publication number
JP5335931B2
JP5335931B2 JP2011543655A JP2011543655A JP5335931B2 JP 5335931 B2 JP5335931 B2 JP 5335931B2 JP 2011543655 A JP2011543655 A JP 2011543655A JP 2011543655 A JP2011543655 A JP 2011543655A JP 5335931 B2 JP5335931 B2 JP 5335931B2
Authority
JP
Japan
Prior art keywords
layer
chip
semiconductor chip
micrometers
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011543655A
Other languages
Japanese (ja)
Other versions
JP2012514338A (en
Inventor
リン,ムー−シウン
リー,ジン−ユアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Megica Corp
Original Assignee
Megica Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Megica Corp filed Critical Megica Corp
Publication of JP2012514338A publication Critical patent/JP2012514338A/en
Application granted granted Critical
Publication of JP5335931B2 publication Critical patent/JP5335931B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F1/00Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or values, i.e. retroactive systems
    • G05F1/10Regulating voltage or current
    • G05F1/46Regulating voltage or current wherein the variable actually regulated by the final control device is dc
    • G05F1/56Regulating voltage or current wherein the variable actually regulated by the final control device is dc using semiconductor devices in series with the load as final control devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/645Inductive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05023Disposition the whole internal layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05171Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05664Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0615Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/45124Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45139Silver (Ag) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/48247Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • H01L2224/48465Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond the other connecting portion not on the bonding area being a wedge bond, i.e. ball-to-wedge, regular stitch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/1533Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate
    • H01L2924/15331Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19102Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
    • H01L2924/19104Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device on the semiconductor or solid-state device, i.e. passive-on-chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30107Inductance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Abstract

Chip packages having power management integrated circuits are described. Power management integrated circuits can be combined with on-chip passive devices, and can provide voltage regulation, voltage conversion, dynamic voltage scaling, and battery management or charging. The on-chip passive devices can include inductors, capacitors, or resistors. Power management using a built-in voltage regulator or converter can provide for immediate adjustment of the voltage range to that which is needed. This improvement allows for easier control of electrical devices of different working voltages and decreases response time of electrical devices. Related fabrication techniques are described.

Description

本願は、2008年12月26日に出願した米国仮特許出願第61/140,895号の優先権を主張する。この出願をここで引用したことにより、その内容全体が本願にも含まれるものとする。   This application claims priority from US Provisional Patent Application No. 61 / 140,895, filed December 26, 2008. By quoting this application here, the entire contents thereof are also included in the present application.

従来技術Conventional technology

従来のプリント回路ボード(「PCB」)は、通例、種々のコンポーネントを有し、これらは異なる電圧を必要とする異なる電力入力を有する。多数の電気デバイスを有し、その各々が異なる電圧を必要とする可能性があるPCBでは、異なる出力電圧を有する電源が一般に使用されている。これらの出力電圧は、通例、PCBの電子デバイスによって用いられる一般的な電圧範囲に対応するように選択される。しかしながら、このような手法は、むしろ大量のエネルギを消費し、回路設計の困難さを増大し、更にかなりの高コストとなる。現在、多くの異なる電圧範囲に対処するために、かなり大きなサイズの電圧レギュレータが用いられており、チップ上のレギュレータは実現されていない。   Conventional printed circuit boards (“PCBs”) typically have various components, which have different power inputs that require different voltages. In PCBs having a large number of electrical devices, each of which may require a different voltage, power supplies having different output voltages are commonly used. These output voltages are typically selected to correspond to the general voltage range used by PCB electronic devices. However, such an approach rather consumes a large amount of energy, increases the difficulty of circuit design, and is considerably more expensive. Currently, a fairly large size voltage regulator is used to deal with many different voltage ranges, and no on-chip regulator has been realized.

必要なエネルギ量を低減するために、広く普及している1つの方法では、電気デバイスの必要性に対処するために、多数の電圧レギュレータまたは変換器を用いて1つの電源ユニットからの電圧を変更している。これらの電圧レギュレータまたは変換器は、各電気デバイスに入る電圧が、そのデバイスの動作電圧に対応することを可能にする。   In order to reduce the amount of energy required, one widely used method is to change the voltage from one power supply unit using multiple voltage regulators or converters to address the need for electrical devices. doing. These voltage regulators or converters allow the voltage entering each electrical device to correspond to the operating voltage of that device.

PCB上にある異なるタイプの電気デバイスが多い程、電気デバイスに入る電源電圧が正しい電圧範囲に入るように、対応する電圧規制デバイスの数も多くなる。しかしながら、このような回路設計は、過度に高品質の高コスト電圧レギュレータ・デバイスを利用する可能性がある。更に、異なる電圧レギュレータ間における電気配線を分離しなければならず、より多くの金属線が必要となり、このため総製造コストが上昇する。言うまでもなく、このような回路設計は、ミクロン規模の電子製品において用いるには適していないか、または非常に経済的ではない場合もある。加えて、多数の電源ユニットの代わりに、多数の電圧レギュレータを用いると、浪費されるリソースの量を効果的に減少させることができるが、異なる電気デバイスを考慮して用いられる多数の電圧レギュレータのために、PCB上の回路がむしろ複雑になってしまう。信号は複雑な配線構成を通過するので、信号応答時間は当然長くなり即座であることは不可能であり、同時に電力管理の効率が低下する。また、この回路設計は、PCBの大きな部分を占め、回路ルーティング(routing)の非効率的な使用となる。   The more different types of electrical devices on the PCB, the greater the number of corresponding voltage regulating devices so that the power supply voltage entering the electrical devices is in the correct voltage range. However, such circuit designs may utilize overly high quality, high cost voltage regulator devices. In addition, the electrical wiring between different voltage regulators must be separated, requiring more metal wires, which increases the total manufacturing cost. Of course, such circuit designs may not be suitable for use in micron scale electronic products or may not be very economical. In addition, using multiple voltage regulators instead of multiple power supply units can effectively reduce the amount of wasted resources, but the multiple voltage regulators used in consideration of different electrical devices Therefore, the circuit on the PCB becomes rather complicated. Since the signal passes through a complicated wiring configuration, the signal response time is naturally long and cannot be immediate, and at the same time the efficiency of power management is reduced. This circuit design also occupies a large part of the PCB and is an inefficient use of circuit routing.

本開示は、既に記載した欠点に取り組む半導体チップおよび応用回路について記載する。本開示の一態様では、半導体チップ構造および関連する応用回路を提供する。チップ製造方法を用いて、スイッチング電圧レギュレータまたは電圧変換器を半導体チップ内に統合し、スイッチング電圧レギュレータまたは電圧変換器および半導体チップが1つの構造体として組み合わされるようにする。   The present disclosure describes semiconductor chips and application circuits that address the disadvantages already described. In one aspect of the present disclosure, a semiconductor chip structure and related application circuits are provided. Using a chip manufacturing method, a switching voltage regulator or voltage converter is integrated into a semiconductor chip so that the switching voltage regulator or voltage converter and the semiconductor chip are combined as one structure.

本開示の他の態様では、電源電圧の変動に即座に適応し、過渡応答時間を効率的に短縮する能力を有する半導体チップ構造およびその応用回路を提供する。
本開示の他の態様では、半導体チップ構造およびその応用回路を提供する。このような電圧レギュレータまたは変換器が統合された半導体の使用により、PCBまたはマザーボード上における回路設計の全体的な困難さを低減し、製造コストを削減し電子製品を微小化するという双方の要望を満たす。
In another aspect of the present disclosure, a semiconductor chip structure and an application circuit thereof having an ability to quickly adapt to a power supply voltage variation and efficiently reduce a transient response time are provided.
In another aspect of the present disclosure, a semiconductor chip structure and its application circuit are provided. The use of such integrated voltage regulators or converters reduces the overall difficulty of circuit design on PCBs or motherboards, reduces manufacturing costs, and miniaturizes electronic products. Fulfill.

本開示の実施形態例では、多数のデバイスを有するシリコン基板と、1組の外部コンポーネントとを含む半導体チップ構造を提供することができる。このシリコン基板上において、薄型回路構造にはパシベーション層を設けることができる。このパシベーション層は、外部コンポーネントまたは回路からこの薄型回路構造への電気接続のために、多数のパシベーション層開口を有することができる。デバイスには能動型デバイスを含むことができる。能動型デバイスの例には、p−型金属酸化物半導体(MOS)デバイス(例えば、MOSFET)、N−型MOSデバイス、および/または相補金属酸化物半導体(CMOS)デバイスを含むことができるが、これらに限定されるのではない。本開示の実施形態例は、半導体チップ内において前述の能動型デバイスで作られた電圧フィードバック・デバイスおよび/またはスイッチ・コントローラを含むことができる。同様に、実施形態は、抵抗器、キャパシタ、およびインダクタのような、外部受動型コンポーネントも含むことができる。   Embodiments of the present disclosure can provide a semiconductor chip structure that includes a silicon substrate having multiple devices and a set of external components. On this silicon substrate, a passivation layer can be provided in the thin circuit structure. The passivation layer can have a number of passivation layer openings for electrical connection from external components or circuits to the thin circuit structure. The device can include an active device. Examples of active devices can include p-type metal oxide semiconductor (MOS) devices (eg, MOSFETs), N-type MOS devices, and / or complementary metal oxide semiconductor (CMOS) devices, It is not limited to these. Example embodiments of the present disclosure can include voltage feedback devices and / or switch controllers made with the aforementioned active devices in a semiconductor chip. Similarly, embodiments can also include external passive components such as resistors, capacitors, and inductors.

本開示の実施形態例は、回路構造を提供することができる。この回路構造は、上から下に、少なくとも1つの第1誘電体層と、第1金属層と、第2誘電体層と、第2金属層とを含む。第1誘電体層は基板上に位置することができ、この第1誘電体層の中に、コンタクト・ウィンドウを設けることができる。第1金属層は、第1誘電体層上に位置することができ、そして対応するコンタクト・ウィンドウを用いて、第1金属層上のあらゆる地点を対応するデバイスに電気的に接続することができる。第2誘電体層は、第1金属層上に位置することができ、多数のビアを内蔵することもできる。第2金属層は、対応するビアを通じて、対応する第1金属層に電気的に接続することができる。パシベーション層の直上または上方に、ポリマー層を設けることができる。このポリマー層は、パシベーション層の開口の上に開口を有することができ、パシベーション層の開口の上に、アンダー・バンプ金属構造またはポスト・パシベーション金属層を設けることができる。また、半導体チップの異なる実施形態によれば、はんだ層、または半田ウエッティング層、またはワイヤ・ボンド可能層、バリア層、金属層、および接着/バリア層を、アンダー・バンプ金属構造の中に設けることができる。半田層の厚さは、半導体チップのパッケージング構造の異なる厚さ、およびその中で用いられる材料に応じて、変化することができる。ポスト・パシベーション金属層は、アンダー・バンプ金属構造と同じ組成を有することもでき、または接着/バリア層および金属層、例えば、銅または金の層を備えている。最後に、ポスト・パシベーション金属層上には、第2ポリマー層を設けることができ、この第2ポリマー層は、ポスト・パシベーション金属層を露出させる開口を内蔵することができる。   Example embodiments of the present disclosure can provide a circuit structure. The circuit structure includes, from top to bottom, at least one first dielectric layer, a first metal layer, a second dielectric layer, and a second metal layer. The first dielectric layer can be located on the substrate, and a contact window can be provided in the first dielectric layer. The first metal layer can be located on the first dielectric layer and a corresponding contact window can be used to electrically connect every point on the first metal layer to the corresponding device. . The second dielectric layer may be located on the first metal layer and may include a number of vias. The second metal layer can be electrically connected to the corresponding first metal layer through the corresponding via. A polymer layer can be provided directly above or above the passivation layer. The polymer layer can have an opening over the opening in the passivation layer, and an under bump metal structure or a post-passivation metal layer can be provided over the opening in the passivation layer. Also, according to different embodiments of the semiconductor chip, a solder layer, or solder wetting layer, or wire bondable layer, barrier layer, metal layer, and adhesion / barrier layer are provided in the under bump metal structure. be able to. The thickness of the solder layer can vary depending on the different thicknesses of the semiconductor chip packaging structure and the materials used therein. The post-passivation metal layer can have the same composition as the under-bump metal structure, or comprises an adhesion / barrier layer and a metal layer, such as a copper or gold layer. Finally, a second polymer layer can be provided on the post-passivation metal layer, and the second polymer layer can incorporate an opening exposing the post-passivation metal layer.

また、本開示の実施形態は、半導体チップに合わせた種々の応用回路も含むことができる。応用回路は、内部電気回路および外部電気回路を含む。内部および外部回路は、金属回路を用いて、電気的に接続することができる。内部回路の中に実装されるデバイスは、P−型MOSデバイス、N−型MOSデバイス、CMOSデバイス、電圧フィードバック・デバイス、および/またはスイッチ・コントローラとすることができるが、必ずしもこれらに限定される訳ではない。外部電気回路のコンポーネントは、抵抗器、キャパシタ、およびインダクタを含むことができるが、これらに限定されるのではない。内部電気回路は、シリコン基板内またはその上に位置することができ、一方金属回路および外部回路は、基板上にあり、内部回路と外部回路との間に金属回路がある。本開示による半導体チップおよびチップ・パッケージは、種々のパッケージング技法を利用することができ、以下の技法を含むが、これらに限定されるのではない。パッケージング方法として、薄型スモール・アウトライン・パッケージ(TSOP:thin small outline package)、スモール・アウトラインJ−リード(SOJ:small outline J-lead)、クアッド・フラット・パッケージ(QFP:quad flat package)、薄型クアッド・フラット・パッケージ(TQFP:thin quad flat package)、およびボール・グリッド・アレイ(BGA:ball grid array)。加えて、ワイヤ・ボンディングまたはフリップ・チップ技法を用いると、本開示における半導体チップを外部に電気的に接続することができる。   The embodiments of the present disclosure may also include various application circuits that are adapted to the semiconductor chip. The application circuit includes an internal electric circuit and an external electric circuit. The internal and external circuits can be electrically connected using a metal circuit. Devices implemented in the internal circuit may be, but are not necessarily limited to, P-type MOS devices, N-type MOS devices, CMOS devices, voltage feedback devices, and / or switch controllers. Not a translation. External electrical circuit components can include, but are not limited to, resistors, capacitors, and inductors. The internal electrical circuit can be located in or on the silicon substrate, while the metal circuit and the external circuit are on the substrate, and there is a metal circuit between the internal circuit and the external circuit. Semiconductor chips and chip packages according to the present disclosure can utilize a variety of packaging techniques, including but not limited to the following techniques. Packaging methods include thin small outline package (TSOP), small outline J-lead (SOJ), quad flat package (QFP), thin Quad flat package (TQFP) and ball grid array (BGA). In addition, the wire bonding or flip chip technique can be used to electrically connect the semiconductor chip in the present disclosure to the outside.

したがって、本開示の態様および実施形態は、スイッチング電圧規制、ならびに種々のチップ設計および/またはコンポーネントによって要求される様々な電圧に適応する能力を有する半導体チップを提供することができる。この半導体チップは、過渡応答時間を短縮し、PCB上で用いられる回路ルーティング・エリアを縮小し、回路接続の複雑さを低減する。これらの改良により、半導体デバイスを製造する全体的なコストの低減に繋げることができる。   Accordingly, aspects and embodiments of the present disclosure can provide a semiconductor chip having the ability to accommodate switching voltage regulation and various voltages required by various chip designs and / or components. This semiconductor chip shortens the transient response time, reduces the circuit routing area used on the PCB, and reduces the complexity of circuit connections. These improvements can lead to a reduction in the overall cost of manufacturing the semiconductor device.

本開示の他の特徴および利点は、本明細書において記載する実施形態例の詳細な説明を、図面を参照しながら読みそして理解することによって、理解されよう。   Other features and advantages of the present disclosure will be understood by reading and understanding the detailed description of example embodiments described herein with reference to the drawings.

本開示の他の特徴および利点は、本明細書において記載する実施形態例の詳細な説明を、図面を参照しながら読みそして理解することによって、理解されよう。図面において、
図1は、本開示の実施形態例の回路図を示す。 図2は、使用周波数と出力インピーダンスとの間の関係を示すグラフである。 図3は、本開示の第1実施形態による半導体チップの断面の線図を示す。 図3Aは、本開示の第1実施形態による半導体チップの製造プロセスを示す。 図3Bは、本開示の第1実施形態による半導体チップの製造プロセスを示す。 図3Cは、本開示の第1実施形態による半導体チップの製造プロセスを示す。 図3Dは、本開示の第1実施形態による半導体チップの製造プロセスを示す。 図3Eは、本開示の第1実施形態による半導体チップの製造プロセスを示す。 図4は、本開示の第2実施形態による半導体チップの断面の線図を示す。 図4Aは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Bは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Cは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Dは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Eは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Fは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Gは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Hは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Iは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Jは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Kは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Lは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Mは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Nは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Oは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Pは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Qは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Rは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Sは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Tは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4Uは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4AAは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4ABは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4ACは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4ADは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4AEは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4AFは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4AGは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4AHは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4AIは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4AJは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4AKは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4ALは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図4AMは、本開示の第2実施形態による半導体チップの製造プロセスを示す。 図5は、本開示の第3実施形態による半導体チップの断面の線図を示す。 図5Aは、本開示の第3実施形態による半導体チップの製造プロセスを示す。 図5Bは、本開示の第3実施形態による半導体チップの製造プロセスを示す。 図5Cは、本開示の第3実施形態による半導体チップの製造プロセスを示す。 図5Dは、本開示の第3実施形態による半導体チップの製造プロセスを示す。 図6は、本開示の第4実施形態による半導体チップの断面の線図を示す。 図6Aは、本開示の第4実施形態による半導体チップの製造プロセスを示す。 図6Bは、本開示の第4実施形態による半導体チップの製造プロセスを示す。 図6Cは、本開示の第4実施形態による半導体チップの製造プロセスを示す。 図6Dは、本開示の第4実施形態による半導体チップの製造プロセスを示す。 図6Eは、本開示の第4実施形態による半導体チップの製造プロセスを示す。 図6Fは、本開示の第4実施形態による半導体チップの製造プロセスを示す。 図6Gは、本開示の第4実施形態による半導体チップの製造プロセスを示す。 図6Hは、本開示の第4実施形態による半導体チップの製造プロセスを示す。 図6Iは、本開示の第4実施形態による半導体チップの製造プロセスを示す。 図7Aは、本開示の第5実施形態による半導体チップの断面の線図を示す。 図7Bは、本開示の第6実施形態による半導体チップの断面の線図を示す。 図8は、本開示の第4実施形態によるボール・グリッド・アレイ(BGA)パッケージ構造を示す。 図9は、本開示の第4実施形態によるボール・グリッド・アレイ(BGA)パッケージ構造を示す。 図10は、本開示の第4実施形態によるボール・グリッド・アレイ(BGA)パッケージ構造を示す。 図11は、本開示の第4実施形態によるボール・グリッド・アレイ(BGA)パッケージ構造を示す。 図12Aは、本開示の第1、第2、第4、および第5実施形態による半導体チップ・パッケージ構造を示す。 図12Bは、本開示の第1、第2、第4、および第5実施形態による半導体チップ・パッケージ構造を示す。 図12Cは、本開示の第1、第2、第4、および第5実施形態による半導体チップ・パッケージ構造を示す。 図12Dは、本開示の第1、第2、第4、および第5実施形態による半導体チップ・パッケージ構造を示す。 図12Eは、本開示の第1、第2、第4、および第5実施形態による半導体チップ・パッケージ構造を示す。 図12Fは、本開示の第1、第2、第4、および第5実施形態による半導体チップ・パッケージ構造を示す。 図13Aは、本開示の第3実施形態による半導体チップ・パッケージ構造を示す。 図13Bは、本開示の第3実施形態による半導体チップ・パッケージ構造を示す。 図13Cは、本開示の第3実施形態による半導体チップ・パッケージ構造を示す。 図13Dは、本開示の第6実施形態による半導体チップ・パッケージ構造を示す。 図13Eは、本開示の第6実施形態による半導体チップ・パッケージ構造を示す。 図13Fは、本開示の第6実施形態による半導体チップ・パッケージ構造を示す。 図14は、本開示の第1実施形態による半導体チップの等価回路の図を示す。 図15は、本開示の第2実施形態による半導体チップの等価回路の図を示す。 図16は、図15における回路の電圧と時間との間の関係を示すグラフである。 図17Aは、本開示の第7実施形態による製造プロセスを示す。 図17Bは、本開示の第7実施形態による製造プロセスを示す。 図17Cは、本開示の第7実施形態による製造プロセスを示す。 図17Dは、本開示の第7実施形態による製造プロセスを示す。 図17Eは、本開示の第7実施形態による製造プロセスを示す。 図17Fは、本開示の第7実施形態による製造プロセスを示す。 図17Gは、本開示の第7実施形態による製造プロセスを示す。 図17Hは、本開示の第7実施形態による製造プロセスを示す。 図17Iは、本開示の第7実施形態による製造プロセスを示す。 図17Jは、本開示の第7実施形態による製造プロセスを示す。 図17Kは、本開示の第7実施形態による製造プロセスを示す。 図17Lは、本開示の第7実施形態による製造プロセスを示す。 図18Aは、本開示の第8実施形態による製造プロセスを示す。 図18Bは、本開示の第8実施形態による製造プロセスを示す。 図18Cは、本開示の第8実施形態による製造プロセスを示す。 図18Dは、本開示の第8実施形態による製造プロセスを示す。 図18Eは、本開示の第8実施形態による製造プロセスを示す。 図18Fは、本開示の第8実施形態による製造プロセスを示す。 図18Gは、本開示の第8実施形態による製造プロセスを示す。 図18Hは、本開示の第8実施形態による製造プロセスを示す。 図18Iは、本開示の第8実施形態による製造プロセスを示す。 図18Jは、本開示の第8実施形態による製造プロセスを示す。 図18Kは、本開示の第8実施形態による製造プロセスを示す。 図18Lは、本開示の第8実施形態による製造プロセスを示す。 図18Mは、本開示の第8実施形態による製造プロセスを示す。 図18Nは、本開示の第8実施形態による製造プロセスを示す。 図18Oは、本開示の第8実施形態による製造プロセスを示す。 図18Pは、本開示の第8実施形態による製造プロセスを示す。 図18Qは、本開示の第8実施形態による製造プロセスを示す。 図19Bは、本開示の第9実施形態による製造プロセスの側面図および上面図を示す。 図19Bは、本開示の第9実施形態による製造プロセスの側面図および上面図を示す。 図20Aは、それぞれ、本開示の第10実施形態による側面図および上面図である。 図20Bは、それぞれ、本開示の第10実施形態による側面図および上面図である。 図21Aは、本開示の第11実施形態による製造プロセスを示す。 図21Bは、本開示の第11実施形態による製造プロセスを示す。 図21Cは、本開示の第11実施形態による製造プロセスを示す。 図21Dは、本開示の第11実施形態による製造プロセスを示す。 図21Eは、本開示の第11実施形態による製造プロセスを示す。 図21Fは、本開示の第11実施形態による製造プロセスを示す。 図21Gは、本開示の第11実施形態による製造プロセスを示す。 図21Hは、本開示の第11実施形態による製造プロセスを示す。 図21Iは、本開示の第11実施形態による製造プロセスを示す。 図21Jは、本開示の第11実施形態による製造プロセスを示す。 図21Kは、本開示の第11実施形態による製造プロセスを示す。 図22は、本開示の実施形態例による電圧増幅デバイスの回路図を示す。 図23は、本開示の実施形態例による電圧増幅デバイスの回路図を示す。 図24は、本開示の実施形態例によるN−型二重拡散MOS(DMOS)の断面図を示す。 図25は、本開示の実施形態例によるN−型DMOSデバイスの上面図を示す。 図26Aは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージ(system-in package)またはモジュールの側面図を示す。 図26Bは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージ(system-in package)またはモジュールの側面図を示す。 図27Aは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図27Bは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図28Aは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図28Bは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図29Aは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図29Bは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図30Aは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図30Bは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図31Aは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図31Bは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図32Aは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図32Bは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図33Aは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図33Bは、本開示の実施形態例による、オンチップ受動型デバイスを有する電力管理ICチップを含む、システム・イン・パッケージまたはモジュールを示す。 図34は、本開示の実施形態例による、入力電力用オンチップ受動型デバイス、および2つのN−型スイッチングDMOSデバイスを有するスイッチ・コントローラを含む、ステップ・ダウンDC−DCスイッチング電圧レギュレータまたは変換器を示す。 図35は、本開示の実施形態例による、入力電力用オンチップ受動型デバイス、ならびにP−型スイッチングDMOSデバイスおよびN−型スイッチングDMOSデバイスを有するスイッチ・コントローラを含む、ステップ・ダウンDC−DCスイッチング電圧レギュレータまたは変換器を示す。 図36は、本開示の実施形態例による、入力電力用オンチップ受動型デバイス、および2つのN−型スイッチングDMOSデバイスを有するスイッチ・コントローラを含む、ステップ・アップDC−DCスイッチング電圧レギュレータまたは変換器を示す。 図37は、図34のステップ・ダウン・スイッチング電圧レギュレータまたは変換器の一部の断面図を示す。 図38は、図36のステップ・アップ・スイッチング電圧レギュレータまたは変換器の一部の断面図を示す。 図39は、本開示の実施形態例による、演算増幅器の回路図を示す。 図40は、図34の回路図を実現する変換器の機能ブロックを有する回路レイアウトを示す。 図41は、図35の回路図を実現する変換器の機能ブロックを有する回路レイアウトを示す。
Other features and advantages of the present disclosure will be understood by reading and understanding the detailed description of example embodiments described herein with reference to the drawings. In the drawing
FIG. 1 shows a circuit diagram of an example embodiment of the present disclosure. FIG. 2 is a graph showing the relationship between the used frequency and the output impedance. FIG. 3 shows a cross-sectional diagram of a semiconductor chip according to a first embodiment of the present disclosure. FIG. 3A shows a semiconductor chip manufacturing process according to the first embodiment of the present disclosure. FIG. 3B shows a semiconductor chip manufacturing process according to the first embodiment of the present disclosure. FIG. 3C shows a semiconductor chip manufacturing process according to the first embodiment of the present disclosure. FIG. 3D shows a semiconductor chip manufacturing process according to the first embodiment of the present disclosure. FIG. 3E shows a semiconductor chip manufacturing process according to the first embodiment of the present disclosure. FIG. 4 shows a cross-sectional diagram of a semiconductor chip according to a second embodiment of the present disclosure. FIG. 4A shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4B shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4C shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4D shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4E shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4F shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4G shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4H illustrates a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4I illustrates a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4J illustrates a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4K illustrates a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4L illustrates a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4M shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4N shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4O illustrates a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4P shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4Q shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4R shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4S illustrates a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4T illustrates a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4U shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AA shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AB shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AC shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AD shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AE shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AF shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AG shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AH shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AI shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AJ shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AK shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AL shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 4AM shows a semiconductor chip manufacturing process according to the second embodiment of the present disclosure. FIG. 5 shows a cross-sectional diagram of a semiconductor chip according to a third embodiment of the present disclosure. FIG. 5A shows a semiconductor chip manufacturing process according to the third embodiment of the present disclosure. FIG. 5B shows a semiconductor chip manufacturing process according to the third embodiment of the present disclosure. FIG. 5C shows a semiconductor chip manufacturing process according to the third embodiment of the present disclosure. FIG. 5D shows a semiconductor chip manufacturing process according to the third embodiment of the present disclosure. FIG. 6 shows a cross-sectional diagram of a semiconductor chip according to a fourth embodiment of the present disclosure. FIG. 6A shows a semiconductor chip manufacturing process according to the fourth embodiment of the present disclosure. FIG. 6B shows a semiconductor chip manufacturing process according to the fourth embodiment of the present disclosure. FIG. 6C shows a semiconductor chip manufacturing process according to the fourth embodiment of the present disclosure. FIG. 6D shows a semiconductor chip manufacturing process according to the fourth embodiment of the present disclosure. FIG. 6E shows a semiconductor chip manufacturing process according to the fourth embodiment of the present disclosure. FIG. 6F shows a semiconductor chip manufacturing process according to the fourth embodiment of the present disclosure. FIG. 6G shows a semiconductor chip manufacturing process according to the fourth embodiment of the present disclosure. FIG. 6H illustrates a semiconductor chip manufacturing process according to the fourth embodiment of the present disclosure. FIG. 6I shows a semiconductor chip manufacturing process according to the fourth embodiment of the present disclosure. FIG. 7A shows a cross-sectional diagram of a semiconductor chip according to a fifth embodiment of the present disclosure. FIG. 7B shows a cross-sectional diagram of a semiconductor chip according to a sixth embodiment of the present disclosure. FIG. 8 illustrates a ball grid array (BGA) package structure according to a fourth embodiment of the present disclosure. FIG. 9 illustrates a ball grid array (BGA) package structure according to a fourth embodiment of the present disclosure. FIG. 10 illustrates a ball grid array (BGA) package structure according to a fourth embodiment of the present disclosure. FIG. 11 illustrates a ball grid array (BGA) package structure according to a fourth embodiment of the present disclosure. FIG. 12A shows a semiconductor chip package structure according to the first, second, fourth, and fifth embodiments of the present disclosure. FIG. 12B shows a semiconductor chip package structure according to the first, second, fourth, and fifth embodiments of the present disclosure. FIG. 12C illustrates a semiconductor chip package structure according to the first, second, fourth, and fifth embodiments of the present disclosure. FIG. 12D illustrates a semiconductor chip package structure according to the first, second, fourth, and fifth embodiments of the present disclosure. FIG. 12E illustrates a semiconductor chip package structure according to the first, second, fourth, and fifth embodiments of the present disclosure. FIG. 12F illustrates a semiconductor chip package structure according to the first, second, fourth, and fifth embodiments of the present disclosure. FIG. 13A shows a semiconductor chip package structure according to a third embodiment of the present disclosure. FIG. 13B shows a semiconductor chip package structure according to a third embodiment of the present disclosure. FIG. 13C shows a semiconductor chip package structure according to a third embodiment of the present disclosure. FIG. 13D shows a semiconductor chip package structure according to a sixth embodiment of the present disclosure. FIG. 13E shows a semiconductor chip package structure according to a sixth embodiment of the present disclosure. FIG. 13F shows a semiconductor chip package structure according to a sixth embodiment of the present disclosure. FIG. 14 shows a diagram of an equivalent circuit of the semiconductor chip according to the first embodiment of the present disclosure. FIG. 15 shows a diagram of an equivalent circuit of a semiconductor chip according to the second embodiment of the present disclosure. FIG. 16 is a graph showing the relationship between the voltage of the circuit in FIG. 15 and time. FIG. 17A shows a manufacturing process according to a seventh embodiment of the present disclosure. FIG. 17B shows a manufacturing process according to the seventh embodiment of the present disclosure. FIG. 17C illustrates a manufacturing process according to the seventh embodiment of the present disclosure. FIG. 17D shows a manufacturing process according to a seventh embodiment of the present disclosure. FIG. 17E illustrates a manufacturing process according to the seventh embodiment of the present disclosure. FIG. 17F shows a manufacturing process according to the seventh embodiment of the present disclosure. FIG. 17G shows a manufacturing process according to the seventh embodiment of the present disclosure. FIG. 17H illustrates a manufacturing process according to the seventh embodiment of the present disclosure. FIG. 17I illustrates a manufacturing process according to a seventh embodiment of the present disclosure. FIG. 17J illustrates a manufacturing process according to the seventh embodiment of the present disclosure. FIG. 17K illustrates a manufacturing process according to the seventh embodiment of the present disclosure. FIG. 17L illustrates a manufacturing process according to the seventh embodiment of the present disclosure. FIG. 18A shows a manufacturing process according to an eighth embodiment of the present disclosure. FIG. 18B shows a manufacturing process according to an eighth embodiment of the present disclosure. FIG. 18C shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18D shows a manufacturing process according to an eighth embodiment of the present disclosure. FIG. 18E shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18F shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18G shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18H shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18I shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18J shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18K shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18L shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18M shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18N shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18O shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18P shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 18Q shows a manufacturing process according to the eighth embodiment of the present disclosure. FIG. 19B shows a side view and a top view of a manufacturing process according to the ninth embodiment of the present disclosure. FIG. 19B shows a side view and a top view of a manufacturing process according to the ninth embodiment of the present disclosure. FIG. 20A is a side view and a top view according to a tenth embodiment of the present disclosure, respectively. FIG. 20B is a side view and a top view according to the tenth embodiment of the present disclosure, respectively. FIG. 21A shows a manufacturing process according to an eleventh embodiment of the present disclosure. FIG. 21B shows a manufacturing process according to an eleventh embodiment of the present disclosure. FIG. 21C shows a manufacturing process according to the eleventh embodiment of the present disclosure. FIG. 21D shows a manufacturing process according to an eleventh embodiment of the present disclosure. FIG. 21E shows a manufacturing process according to the eleventh embodiment of the present disclosure. FIG. 21F shows a manufacturing process according to the eleventh embodiment of the present disclosure. FIG. 21G shows a manufacturing process according to the eleventh embodiment of the present disclosure. FIG. 21H shows a manufacturing process according to the eleventh embodiment of the present disclosure. FIG. 21I shows a manufacturing process according to the eleventh embodiment of the present disclosure. FIG. 21J shows a manufacturing process according to the eleventh embodiment of the present disclosure. FIG. 21K shows a manufacturing process according to the eleventh embodiment of the present disclosure. FIG. 22 shows a circuit diagram of a voltage amplification device according to an example embodiment of the present disclosure. FIG. 23 shows a circuit diagram of a voltage amplification device according to an example embodiment of the present disclosure. FIG. 24 shows a cross-sectional view of an N-type double diffusion MOS (DMOS) according to an example embodiment of the present disclosure. FIG. 25 shows a top view of an N-type DMOS device according to an example embodiment of the present disclosure. FIG. 26A shows a side view of a system-in package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 26B shows a side view of a system-in package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 27A illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 27B illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 28A illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 28B illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 29A illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 29B illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 30A illustrates a system-in-package or module that includes a power management IC chip having an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 30B illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 31A illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 31B illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 32A illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 32B illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 33A illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device, according to an example embodiment of the present disclosure. FIG. 33B illustrates a system-in-package or module that includes a power management IC chip with an on-chip passive device according to an example embodiment of the present disclosure. FIG. 34 is a step-down DC-DC switching voltage regulator or converter including an on-chip passive device for input power and a switch controller having two N-type switching DMOS devices, according to an example embodiment of the present disclosure. Indicates. FIG. 35 is a step-down DC-DC switching including an on-chip passive device for input power and a switch controller having a P-type switching NMOS device and an N-type switching DMOS device according to an example embodiment of the present disclosure. Indicates a voltage regulator or converter. FIG. 36 is a step-up DC-DC switching voltage regulator or converter including an on-chip passive device for input power and a switch controller having two N-type switching DMOS devices, according to an example embodiment of the present disclosure. Indicates. FIG. 37 shows a cross-sectional view of a portion of the step-down switching voltage regulator or converter of FIG. FIG. 38 shows a cross-sectional view of a portion of the step-up switching voltage regulator or converter of FIG. FIG. 39 shows a circuit diagram of an operational amplifier, according to an example embodiment of the present disclosure. FIG. 40 shows a circuit layout having functional blocks of a converter that realizes the circuit diagram of FIG. FIG. 41 shows a circuit layout having functional blocks of a converter that realizes the circuit diagram of FIG.

ある種の実施形態を図面において図示するが、図示する実施形態は例示であり、示すものの変形、および本明細書において記載する他の実施形態も、本開示の範囲内において想起し実施することができる。   Although certain embodiments are illustrated in the drawings, the illustrated embodiments are exemplary and variations of what is shown and other embodiments described herein may be envisioned and practiced within the scope of the disclosure. it can.

本開示の態様は、半導体チップ構造、および半導体チップ上に集積された多数の受動型デバイスを有する関連応用回路を対象とする。半導体チップ上に集積された受動型コンポーネントに整合するように異なる機能の半導体チップからの能動型デバイスを用いることによって、特定の電圧範囲内において、即座の電圧適応を達成することができる。   Aspects of the present disclosure are directed to related application circuits having a semiconductor chip structure and a number of passive devices integrated on the semiconductor chip. By using active devices from different functional semiconductor chips to match passive components integrated on the semiconductor chip, immediate voltage adaptation can be achieved within a specific voltage range.

本開示の実施形態は、図1に示す等価回路構造1を有する半導体チップ構造を提供することができる。本開示の実施形態例において用いられる回路構造1の特徴は、この回路構造が、変換器とも呼ばれる電圧レギュレータ12’を内蔵しており、この電圧レギュレータ12’が、PCボードの寄生エレメント14‘およびチップ・パッケージの寄生エレメント15’の後に製作されることにある。したがって、電圧レギュレータ12’は寄生エレメント14‘および15’の負担を負う必要がないので、1つのチップと共に集積された電圧レギュレータまたは変換器が、より高い周波数の下における回路動作を可能にする。電圧レギュレータまたは変換器12’をパルス−周波数−変調またはパルス−幅−変調によって制御して、デューティ・サイクルを制御することができる。電圧レギュレータまたは変換器12’の変調周波数は、1KHzおよび300MHzの間とすることができ、デューティ・サイクル制御には1MHzおよび100MHzの間であることが好ましい。また、この回路構造設計は、製造コストを下げることができ、PCB上におけるルーティング設計を簡素化することができる。何故なら、電圧レギュレータ12’と対応する電気デバイス16’との間の距離が短くなるからである。ルーティング設計の簡素化によって、信号を配信する速度および効率が高くなり、高周波の使用における大きな電圧変動という問題を解消する。負荷電流周波数とインピーダンス抵抗値との間の関係の一例を図2に示す。
続いて、半導体チップ構造における各構造の好ましい実施形態を最初に提案する。次いで、具体的な実施形態を参照して、応用回路を提案する。
The embodiment of the present disclosure can provide a semiconductor chip structure having the equivalent circuit structure 1 shown in FIG. A feature of the circuit structure 1 used in the example embodiment of the present disclosure is that the circuit structure incorporates a voltage regulator 12 ′, also called a converter, which is connected to the parasitic elements 14 ′ and PC board parasitic elements 14 ′. It is to be manufactured after the parasitic element 15 'of the chip package. Thus, the voltage regulator 12 'need not bear the burden of the parasitic elements 14' and 15 ', so that the voltage regulator or converter integrated with one chip allows circuit operation under higher frequencies. The voltage regulator or converter 12 'can be controlled by pulse-frequency-modulation or pulse-width-modulation to control the duty cycle. The modulation frequency of the voltage regulator or converter 12 'can be between 1 KHz and 300 MHz, and is preferably between 1 MHz and 100 MHz for duty cycle control. Also, this circuit structure design can reduce the manufacturing cost and simplify the routing design on the PCB. This is because the distance between the voltage regulator 12 'and the corresponding electrical device 16' is shortened. The simplification of the routing design increases the speed and efficiency of signal distribution and eliminates the problem of large voltage fluctuations when using high frequencies. An example of the relationship between the load current frequency and the impedance resistance value is shown in FIG.
Subsequently, preferred embodiments of each structure in the semiconductor chip structure are proposed first. Next, an application circuit is proposed with reference to a specific embodiment.

実施形態1
図3は、ある型の半導体基材で作られた基板100を示す。この基板は、シリコン系、ヒ化ガリウム(GaAs)系、シリコン・インジウム系(SiIn)、シリコン・アンチモニ(SiSb)系、インジウム・アンチモニ(InSb)系、またはシリコン・ゲルマニウム(SiGe)系とすることができ、デバイス110、112、および114のようなデバイスの多くが、基板100内または基板100の上方に位置している。これらのデバイス110、112、および114は、主に能動型デバイスとすることができるが、受動型デバイスも含めることができる。能動型デバイスには、電圧フィードバック・デバイス、スイッチ・コントローラ、あるいは、p−チャネルMOSデバイス、n−チャネルMOSデバイス、n−チャネルDMOSデバイス、p−チャネルDMOSデバイス、LDMOS、BiCMOSデバイス、バイポーラ接合型トランジスタ(BJT)、またはCMOSのような、MOSデバイスが含まれる。
Embodiment 1
FIG. 3 shows a substrate 100 made of a type of semiconductor substrate. The substrate should be silicon, gallium arsenide (GaAs), silicon indium (SiIn), silicon antimony (SiSb), indium antimony (InSb), or silicon germanium (SiGe). Many devices, such as devices 110, 112, and 114, are located within or above substrate 100. These devices 110, 112, and 114 can be primarily active devices, but can also include passive devices. Active devices include voltage feedback devices, switch controllers, or p-channel MOS devices, n-channel MOS devices, n-channel DMOS devices, p-channel DMOS devices, LDMOS, BiCMOS devices, bipolar junction transistors. MOS devices such as (BJT) or CMOS are included.

図3に示すように、基板100の上に薄型回路構造を配置する、即ち、位置付けることができる。この回路構造は、第1誘電体層150、多数の金属層140、および少なくとも1つの第2誘電体層155を含むことができる。第1誘電体層150および第2誘電体層155の厚さは、実施形態例では、0.3マイクロメートルおよび2.5マイクロメートルの間とすることができ、第1および第2誘電体層を作るために用いられる材料は、硼素含有シリケート・ガラス、窒化シリコン、酸化シリコン、酸窒化シリコン、および炭素含有低k誘電体材料を含むことができる。金属層140の厚さは、実施形態例では、0.1マイクロメートルおよび2マイクロメートルの間とすることができ、金属層を作るために用いられる材料は、銅、アルミニウム−銅合金、タンタル、窒化タンタル、タングステン、およびタングステン合金を含むことができる。デバイス110、112、114は、金属コンタクト120および金属ビア130を通じて、金属層140に電気的に接続することができる。金属コンタクト120および金属ビア130は、第1誘電体層150および第2誘電体層155を通過する。金属コンタクト120およびビア130は、W−プラグまたはCu−プラグとすることができる。加えて、金属層140は、ダマシン・プロセス、電気めっき、CVD、およびスパッタリングを含む種々の方法によって形成することができる。例えば、ダマシン・プロセス、電気メッキ、スパッタリング、およびCVDは、銅金属層140を形成するために用いることができ、またスパッタリングは、アルミニウム金属層140を形成するために用いることができる。第1誘電体層150および第2誘電体層155は、化学蒸着(CVD)によって形成することができ、または炭素ナノチューブ材料によって形成することができる。   As shown in FIG. 3, a thin circuit structure can be placed on or positioned on the substrate 100. The circuit structure can include a first dielectric layer 150, a number of metal layers 140, and at least one second dielectric layer 155. The thickness of the first dielectric layer 150 and the second dielectric layer 155 can be between 0.3 micrometers and 2.5 micrometers in the example embodiment, and the first and second dielectric layers Materials used to make can include boron-containing silicate glass, silicon nitride, silicon oxide, silicon oxynitride, and carbon-containing low-k dielectric materials. The thickness of the metal layer 140 can be between 0.1 micrometers and 2 micrometers in the example embodiment, and the materials used to make the metal layer are copper, aluminum-copper alloy, tantalum, Tantalum nitride, tungsten, and tungsten alloys can be included. Devices 110, 112, 114 can be electrically connected to metal layer 140 through metal contacts 120 and metal vias 130. The metal contact 120 and the metal via 130 pass through the first dielectric layer 150 and the second dielectric layer 155. The metal contacts 120 and vias 130 can be W-plugs or Cu-plugs. In addition, the metal layer 140 can be formed by various methods including damascene processes, electroplating, CVD, and sputtering. For example, damascene processes, electroplating, sputtering, and CVD can be used to form the copper metal layer 140, and sputtering can be used to form the aluminum metal layer 140. The first dielectric layer 150 and the second dielectric layer 155 can be formed by chemical vapor deposition (CVD) or can be formed by a carbon nanotube material.

第1誘電体層150、金属層140、および第2誘電体層155によって構成される回路構造上に、パシベーション層160を配することができる。パシベーション層160は、前述のデバイス110、112、114および金属層140を、湿気および金属イオン汚染から保護することができる。言い換えると、パシベーション層160は、ナトリウム・イオンのような可動イオン、水分、金、銀、および銅のような遷移金属イオン、ならびに他の不純物が、デバイス110、112、114を通過しこれらに損傷を与えるのを防止することができる。デバイス110、112、114は、MOSデバイス、n−チャネルDMOSデバイス、p−チャネルDMOSデバイス、LDMOS、BiMOSデバイス、バイポーラ・トランジスタ、または電圧フィードバック・デバイス、およびスイッチ・コントローラ、あるいはパシベーション層160の下にある金属層140の全てとすることができる。加えて、パシベーション層160は、通常、酸化シリコン(SiOのような)、フォスフォシリケート・ガラス(PSG)、窒化シリコン(Siのような)、または酸窒化シリコンから成る。通例、パシベーション層160の厚さは、0.3マイクロメートルおよび2マイクロメートルの間であり、窒化シリコン層を含む場合、この窒化シリコン層の厚さは、通常、0.3マイクロメートルを超過し、2マイクロメートル未満である。 A passivation layer 160 can be disposed on the circuit structure constituted by the first dielectric layer 150, the metal layer 140, and the second dielectric layer 155. The passivation layer 160 can protect the devices 110, 112, 114 and the metal layer 140 described above from moisture and metal ion contamination. In other words, the passivation layer 160 can cause mobile ions such as sodium ions, transition metal ions such as moisture, gold, silver, and copper, and other impurities to pass through and damage the devices 110, 112, 114. Can be prevented. Devices 110, 112, 114 are under a MOS device, n-channel DMOS device, p-channel DMOS device, LDMOS, BiMOS device, bipolar transistor, or voltage feedback device, and switch controller, or passivation layer 160. It can be all of a certain metal layer 140. In addition, the passivation layer 160 is typically made of silicon oxide (such as SiO 2 ), phosphosilicate glass (PSG), silicon nitride (such as Si 3 N 4 ), or silicon oxynitride. Typically, the thickness of the passivation layer 160 is between 0.3 and 2 micrometers, and when including a silicon nitride layer, the thickness of the silicon nitride layer typically exceeds 0.3 micrometers. Less than 2 micrometers.

以下に、パシベーション層160を製造(manufacture)または製作(fabricate)する10の方法例について説明する。勿論、本開示によれば、パシベーション層160を製造または製作する他の適した方法を利用してもよい。   In the following, ten example methods for manufacturing or fabricating the passivation layer 160 will be described. Of course, according to the present disclosure, other suitable methods of manufacturing or fabricating the passivation layer 160 may be utilized.

第1方法では、パシベーション層160は、CVD法を用いて酸化シリコン層を0.2および1.2μmの間の厚さに堆積し、この酸化シリコン層上に、CVD法を用いて窒化シリコン層を0.3および1.2μmの間の厚さに堆積することによって、形成することができる。   In the first method, the passivation layer 160 is formed by depositing a silicon oxide layer to a thickness between 0.2 and 1.2 μm using a CVD method, and a silicon nitride layer is formed on the silicon oxide layer using the CVD method. Can be formed by depositing to a thickness between 0.3 and 1.2 μm.

第2方法では、パシベーション層160は、CVD法を用いて酸化シリコン層を0.2および1.2μmの間の厚さに堆積し、次にプラズマ・エンハンスCVD(PECVD)法を用いてこの酸化シリコン層上に酸窒化シリコン層を0.05および0.3μmの間の厚さに堆積し、次いでCVD法を用いて酸窒化シリコン層上に窒化シリコン層を0.2および1.2μmの間の厚さに堆積することによって形成することができる。   In the second method, the passivation layer 160 is formed by depositing a silicon oxide layer to a thickness of between 0.2 and 1.2 μm using a CVD method, and then using this plasma enhanced CVD (PECVD) method. A silicon oxynitride layer is deposited on the silicon layer to a thickness between 0.05 and 0.3 μm, and then a CVD method is used to deposit a silicon nitride layer on the silicon oxynitride layer between 0.2 and 1.2 μm. It can be formed by depositing to a thickness of.

第3方法では、パシベーション層160は、CVD法を用いて酸窒化シリコン層を0.05および0.3μmの間の厚さに堆積し、次にCVD法を用いてこの酸窒化シリコン層上に酸化シリコン層を0.2および1.2μmの間の厚さに堆積し、次いでCVD法を用いて酸化シリコン層上に窒化シリコン層を0.2および1.2μmの間の厚さに堆積することによって、形成することができる。   In the third method, the passivation layer 160 is formed by depositing a silicon oxynitride layer to a thickness between 0.05 and 0.3 μm using the CVD method, and then depositing the silicon oxynitride layer on the silicon oxynitride layer using the CVD method. A silicon oxide layer is deposited to a thickness between 0.2 and 1.2 μm, and then a silicon nitride layer is deposited on the silicon oxide layer to a thickness between 0.2 and 1.2 μm using a CVD method. Can be formed.

第4の方法では、パシベーション層160は、CVD法を用いて第1酸化シリコン層を0.2および0.5μmの間の厚さに堆積し、次に、スピン・コーティング法を用いて第1酸化シリコン層の上に第2酸化シリコン層を0.5および1μmの間の厚さに堆積し、次にCVD法を用いて第2酸化シリコン層上に第3酸化シリコン層を0.2および0.5μmの間の厚さに堆積し、次いでCVD法を用いて第3酸化シリコン上に窒化シリコン層を0.2および1.2μmの間の厚さに堆積することによって、形成することができる。   In the fourth method, the passivation layer 160 deposits a first silicon oxide layer to a thickness between 0.2 and 0.5 μm using a CVD method, and then uses a spin coating method to form a first silicon oxide layer. A second silicon oxide layer is deposited on the silicon oxide layer to a thickness of between 0.5 and 1 μm, and then a third silicon oxide layer is formed on the second silicon oxide layer using a CVD method to a thickness of 0.2 and By depositing to a thickness between 0.5 μm and then depositing a silicon nitride layer on the third silicon oxide to a thickness between 0.2 and 1.2 μm using a CVD method. it can.

第5方法では、パシベーション層160は、高密度プラズマCVD(HDP−CVD)法を用いて、酸化シリコン層を例えば0.5および2μmの間の厚さに堆積することによって形成することができる。この酸化シリコン層上に、CVD法を用いて、窒化シリコン層を所望の厚さで、例えば、0.2および1.2μmに堆積することができる。   In the fifth method, the passivation layer 160 can be formed by depositing a silicon oxide layer to a thickness of between 0.5 and 2 μm, for example, using a high density plasma CVD (HDP-CVD) method. On this silicon oxide layer, a silicon nitride layer can be deposited with a desired thickness, for example, 0.2 and 1.2 μm by using the CVD method.

第6方法では、パシベーション層160は、非ドープ・シリケート・ガラス(USG)層を、例えば、0.2および3μmの間の所望の厚さに堆積することによって形成することができる。次に、例えば、テトラエチル・オルトシリケート(「TEOS」)、フォスフォシリケート・ガラス(「PSG」)、またはボロフォスフォシリケート・ガラス(「BPSG」)の絶縁層を、例えば、0.5および3μmの厚さの所望の厚さで、USG層の上に堆積することができる。次いで、窒化シリコン層を、例えば、0.2および1.2μmの間の所望の厚さで、例えば、CVD法を用いることによって、絶縁層上に堆積することができる。   In a sixth method, the passivation layer 160 can be formed by depositing an undoped silicate glass (USG) layer to a desired thickness, for example, between 0.2 and 3 μm. Next, for example, an insulating layer of tetraethyl orthosilicate (“TEOS”), phosphosilicate glass (“PSG”), or borophosphosilicate glass (“BPSG”), for example, 0.5 and 3 μm. Can be deposited on the USG layer at a desired thickness. A silicon nitride layer can then be deposited on the insulating layer, for example by using a CVD method, with a desired thickness between 0.2 and 1.2 μm, for example.

第7方法では、パシベーション層160は、CVD法を用いて、第1酸窒化シリコン層を、0.05および0.3μmの間の厚さに任意に体積し、次にCVD法を用いて第1酸窒化層上に酸化シリコン層を0.2および1.2μmの間の厚さに堆積し、次いでCVD法を用いて酸化シリコン層上に第2酸窒化シリコン層を0.05および0.3μmの間の厚さに任意に堆積し、次にCVD法を用いて第2酸窒化シリコン層上または酸化シリコン層上に窒化シリコン層を0.2および1.2μmの間の厚さに堆積し、次にCVD法を用いて窒化シリコン層上に第3酸窒化シリコン層を0.05および0.3μmの間の厚さに堆積し、そしてCVD法を用いて第3酸窒化シリコン層上または窒化シリコン層上に酸化シリコン層を0.2および1.2μmの間の厚さに堆積することによって、形成することができる。   In the seventh method, the passivation layer 160 uses the CVD method to arbitrarily volume the first silicon oxynitride layer to a thickness between 0.05 and 0.3 μm, and then uses the CVD method. A silicon oxide layer is deposited on the one oxynitride layer to a thickness of between 0.2 and 1.2 μm, and then a second silicon oxynitride layer is deposited on the silicon oxide layer using a CVD method to a thickness of 0.05 and 0.00. Arbitrarily deposited to a thickness between 3 μm, then CVD method is used to deposit a silicon nitride layer on the second silicon oxynitride layer or silicon oxide layer to a thickness between 0.2 and 1.2 μm And then depositing a third silicon oxynitride layer on the silicon nitride layer to a thickness of between 0.05 and 0.3 μm using a CVD method and on the third silicon oxynitride layer using a CVD method Or a silicon oxide layer of 0.2 and 1.2 μm on the silicon nitride layer. By depositing a thickness of between, it can be formed.

第8方法では、パシベーション層160は、CVD法を用いて第1酸化シリコン層を0.2および1.2μmの間の厚さに堆積し、次にスピン・コーティング法を用いて第1酸化シリコン層上に第2酸化シリコン層を0.5および1μmの間の厚さに堆積し、次にCVD法を用いて第2酸化シリコン層上に第3酸化シリコン層を0.2および1.2μmの間の厚さに堆積し、次にCVD法を用いて第3酸化シリコン層の上に窒化シリコン層を0.2および1.2μmの間の厚さに堆積し、そしてCVD法を用いて窒化シリコン層の上に第4酸化シリコン層を0.2および1.2μmの間の厚さに堆積することによって、形成することができる。   In the eighth method, the passivation layer 160 is formed by depositing a first silicon oxide layer to a thickness between 0.2 and 1.2 μm using a CVD method, and then using a spin coating method. A second silicon oxide layer is deposited on the layer to a thickness of between 0.5 and 1 μm, and then a third silicon oxide layer is deposited on the second silicon oxide layer by a CVD method to a thickness of 0.2 and 1.2 μm. And then using a CVD method to deposit a silicon nitride layer on the third silicon oxide layer to a thickness between 0.2 and 1.2 μm and using the CVD method It can be formed by depositing a fourth silicon oxide layer on the silicon nitride layer to a thickness between 0.2 and 1.2 μm.

第9方法では、パシベーション層160は、HDP−CVD法を用いて第1酸化シリコン層を0.5および2μmの間の厚さに堆積し、次にCVD法を用いて第1酸化シリコン層上に窒化シリコン層を0.2および1.2μmの間の厚さに堆積し、そしてHDP−CVD法を用いて窒化シリコン上に第2酸化シリコン層を0.5および2μmの間の厚さに堆積することによって、形成することができる。   In the ninth method, the passivation layer 160 is formed by depositing a first silicon oxide layer to a thickness between 0.5 and 2 μm using the HDP-CVD method, and then using the CVD method on the first silicon oxide layer. A silicon nitride layer is deposited to a thickness between 0.2 and 1.2 μm and a second silicon oxide layer is deposited on the silicon nitride to a thickness between 0.5 and 2 μm using HDP-CVD. It can be formed by depositing.

第10方法では、パシベーション層160は、CVD法を用いて第1窒化シリコン層を0.2および1.2μmの間の厚さに堆積し、次にCVD法を用いて第1窒化シリコン層上に酸化シリコン層を0.2および1.2μmの間の厚さに堆積し、そしてCVD法を用いて酸化シリコン層上に第2窒化シリコン層を0.2および1.2μmの間の厚さに堆積することによって、形成することができる。   In the tenth method, the passivation layer 160 is formed by depositing a first silicon nitride layer to a thickness of between 0.2 and 1.2 μm using a CVD method, and then using a CVD method on the first silicon nitride layer. A silicon oxide layer is deposited to a thickness between 0.2 and 1.2 μm, and a second silicon nitride layer is deposited on the silicon oxide layer using a CVD method to a thickness between 0.2 and 1.2 μm. It can be formed by depositing.

引き続き図3を参照すると、パシベーション層160は、その下にある金属層140の一部を露出させるために、1つよりも多いパシベーション層開口165を含むことができる。パシベーション層開口165は、所望のそして実用的な形状であればいずれでも可能であり、例えば、円、正方形、矩形、またはエッジが5つよりも多い多角形とすることができる。形状が異なれば、異なる開口寸法および特性を有することができる。例えば、円形の開口は、その直径によって規定される寸法を有し、正方形の開口は、その辺の長さによって規定される寸法を有し、エッジが5つよりも多い多角形は、最も長い対角線によって規定される寸法を有する。   Still referring to FIG. 3, the passivation layer 160 may include more than one passivation layer opening 165 to expose a portion of the underlying metal layer 140. The passivation layer opening 165 can be any desired and practical shape, for example, a circle, a square, a rectangle, or a polygon with more than five edges. Different shapes can have different opening dimensions and characteristics. For example, a circular opening has a dimension defined by its diameter, a square opening has a dimension defined by its side length, and a polygon with more than 5 edges is the longest Has dimensions defined by diagonal lines.

パシベーション層160におけるパシベーション層開口165によって露出される金属層140の一部は、パッド166、167を規定する。パッド166、167上には、パッド166、167が酸化によって損傷されることから保護する任意の金属キャップ(図には示されていない)を設けることができる。この金属キャップは、アルミニウム−銅合金、金層、チタン・タングステン合金層、タンタル層、窒化タンタル層、またはニッケル層とすることができる。例えば、パッド166、167が銅製のパッドである場合、パシベーション層開口165によって露出される銅パッドを酸化から保護するためには、アルミニウム−銅合金のような金属キャップである必要がある。酸化は、銅パッドを損傷する可能性がある。また、金属キャップがアルミニウム−銅合金である場合、銅パッドとアルミニウム−銅合金との間に、バリア層を形成する。このバリア層は、チタン、チタン・タングステン合金、窒化チタン、タンタル、窒化タンタル、クロム、またはニッケルを含む。金属キャップがない条件下では以下の方法があるが、当業者であれば、金属キャップが追加された場合における同様の方法を推定することができてしかるべきである。   The portion of the metal layer 140 exposed by the passivation layer opening 165 in the passivation layer 160 defines the pads 166, 167. An optional metal cap (not shown) may be provided on the pads 166, 167 to protect the pads 166, 167 from being damaged by oxidation. The metal cap can be an aluminum-copper alloy, a gold layer, a titanium-tungsten alloy layer, a tantalum layer, a tantalum nitride layer, or a nickel layer. For example, if the pads 166 and 167 are copper pads, a metal cap such as an aluminum-copper alloy must be used to protect the copper pads exposed by the passivation layer openings 165 from oxidation. Oxidation can damage copper pads. When the metal cap is an aluminum-copper alloy, a barrier layer is formed between the copper pad and the aluminum-copper alloy. The barrier layer includes titanium, titanium-tungsten alloy, titanium nitride, tantalum, tantalum nitride, chromium, or nickel. There are the following methods under conditions without a metal cap, but one skilled in the art should be able to infer a similar method when a metal cap is added.

引き続き図3を参照すると、パシベーション層開口165上に、アンダー・バンプ金属(「UBM」)構造250が配置されている。アンダー・バンプ金属構造250の厚さは、所望に応じて選択することができ、実施形態例では、約1マイクロメートルおよび15マイクロメートルの間である。このアンダー・バンプ金属構造250は、はんだ層300を通じて外部デバイス310および320に接続することができる。はんだ層300は、金−錫合金、錫−銀合金、錫−銀−銅合金、またはその他の無鉛合金を含むとよい。錫−銀合金を一例として用いると、必要に応じて錫対銀の比率を調節することができ、最も広く一般的な錫/銀比は、96.0〜97/3.0〜4である。はんだ層300の厚さは、実施形態例では30マイクロメートルおよび350マイクロメートルの間とすることができるが、勿論他の厚さも実現することができる。アンダー・バンプ金属構造250は、TiW/Cu/Ni金属層構造、Ti/Cu/Ni金属構造、Ti/Cu金属構造、またはTi/Cu/Ni/Au金属構造とすることができる。   With continued reference to FIG. 3, an under bump metal (“UBM”) structure 250 is disposed over the passivation layer opening 165. The thickness of the under bump metal structure 250 can be selected as desired, and in an example embodiment is between about 1 micrometer and 15 micrometers. This under bump metal structure 250 can be connected to external devices 310 and 320 through solder layer 300. The solder layer 300 may include a gold-tin alloy, a tin-silver alloy, a tin-silver-copper alloy, or other lead-free alloy. Using a tin-silver alloy as an example, the ratio of tin to silver can be adjusted as needed, with the most common tin / silver ratio being 96.0 to 97 / 3.0 to 4 . The thickness of the solder layer 300 can be between 30 micrometers and 350 micrometers in the example embodiment, but of course other thicknesses can be realized. Under bump metal structure 250 can be a TiW / Cu / Ni metal layer structure, a Ti / Cu / Ni metal structure, a Ti / Cu metal structure, or a Ti / Cu / Ni / Au metal structure.

図3Aから図3Eを参照して、TiW/Cu/Ni/Auアンダー・バンプ金属構造250を形成するのに適した方法について説明する。最初に、図3Aに示すように、スパッタリング・プロセスまたは蒸着プロセスを用いて、TiW接着/バリア金属層168を、0.05および0.5マイクロメートルの間の厚さで、パッド166、パッド167、およびパシベーション層160上に形成することができ、次いで、スパッタリング・プロセスを用いて、銅シード層170(図3B)を0.05および1マイクロメートルの厚さで、TiW金属層168の上に形成することができる。次に、シード層170の上に、パターン化したフォトレジスト層172(図3C)を形成することができる。このパターン化フォトレジスト層172は、1つよりも多い開口172aを有し、シード層170を暴露することができる。次に、電気めっきまたは無電解めっきプロセスを用いて、1つ以上の金属層を堆積/形成することができる(図3D)。例えば、以下のものを形成することができる。(i)例えば、3および30マイクロメートルの間の所望の厚さを有する銅金属層174、(ii)例えば、0.5および5マイクロメートルの間の所望の厚さを有するニッケル層176、(iii)例えば、0.05および1.5マイクロメートルの間、好ましくは0.05および0.2マイクロメートルの間の所望の厚さを有する金層178を、それぞれ、パターン化フォトレジスト層172の開口172aの中に形成することができる。最後に、フォトレジスト層172、ならびに金層178の下にないシード層170およびTiW金属層168の部分を除去し(図3E)、TiW/Cu/Ni/Auアンダー・バンプ金属構造250を完成する。ここで、Cuシード層170除去プロセスは、HSOまたはNHOHを含有するウェット・エッチング溶液を用いて行うことができ、TiW接着/バリア金属層168除去プロセスは、20〜40%のHを含有するウェット・エッチング溶液を用いて行うことができる。尚、TiW除去のためのエッチング溶液のPH値は、TiW除去中におけるCu腐食を防止するために、6よりも高いことが好ましい場合もある。勿論、本開示の範囲内において、他の適した除去プロセスを用いることができる。 A suitable method for forming the TiW / Cu / Ni / Au under bump metal structure 250 will be described with reference to FIGS. 3A-3E. Initially, as shown in FIG. 3A, a TiW adhesion / barrier metal layer 168 is deposited with a thickness of between 0.05 and 0.5 micrometers using a sputtering or vapor deposition process. , And a passivation layer 160, and then using a sputtering process, a copper seed layer 170 (FIG. 3B) is deposited on the TiW metal layer 168 at a thickness of 0.05 and 1 micrometer. Can be formed. Next, a patterned photoresist layer 172 (FIG. 3C) can be formed on the seed layer 170. This patterned photoresist layer 172 has more than one opening 172a to expose the seed layer 170. Next, one or more metal layers can be deposited / formed using an electroplating or electroless plating process (FIG. 3D). For example, the following can be formed. (I) a copper metal layer 174 having a desired thickness between, for example, 3 and 30 micrometers, (ii) a nickel layer 176 having a desired thickness, for example, between 0.5 and 5 micrometers, ( iii) a gold layer 178 having a desired thickness of, for example, between 0.05 and 1.5 micrometers, preferably between 0.05 and 0.2 micrometers, respectively, of the patterned photoresist layer 172 It can be formed in the opening 172a. Finally, the photoresist layer 172 and portions of the seed layer 170 and TiW metal layer 168 that are not under the gold layer 178 are removed (FIG. 3E) to complete the TiW / Cu / Ni / Au under bump metal structure 250. . Here, the Cu seed layer 170 removal process can be performed using a wet etching solution containing H 2 SO 4 or NH 4 OH, and the TiW adhesion / barrier metal layer 168 removal process is 20-40%. This can be done using a wet etching solution containing H 2 O 2 . In some cases, the PH value of the etching solution for removing TiW is preferably higher than 6 in order to prevent Cu corrosion during TiW removal. Of course, other suitable removal processes may be used within the scope of this disclosure.

シード層170を形成する他の方法には、蒸着法、電気めっき法、または無電解めっき法がある。スパッタリング法が好ましい場合もある。シード層170はその上に電気回路を構成するのに重要であるので、シード層170に用いられる材料は、後続プロセスにおいて電気回路に用いられる材料に応じて、様々に変更することができる。
例えば、銅材料で作られた金属層174を電気めっきによってシード層170上に形成する場合、銅もシード層170に用いるのに最適な材料となる。同様に、金属層174が金材料で作られ電気めっきによってシード層170上に形成される場合、シード層170に用いるのに最適な材料は金である。同様に、金属層174がパラジウム材料で作られ電気めっきによってシード層170上に形成される場合、パラジウムもシード層170に用いるのに最適な材料となる。金属層174がプラチナ材料で作られ電気めっきによってシード層170上に形成される場合、プラチナもシード層170に用いるのに最適な材料となる。金属層174がロジウム材料で作られ電気めっきによってシード層170上に形成される場合、ロジウムもシード層170に用いるのに最適な材料となる。同様に、金属層174がルテニウム材料で作られ電気めっきによってシード層170上に形成される場合、ルテニウムもシード層170に用いるのに最適な材料となる。金属層174がルテニウム材料で作られ、電気めっきによってシード層170上に形成される場合、レニウムもシード層170に用いるのに最適な材料となる。金属層174が銀材料で作られ電気めっきによってシード層170上に形成される場合、銀もシード層170に用いるのに最適な材料となる。
Other methods for forming the seed layer 170 include vapor deposition, electroplating, or electroless plating. Sputtering may be preferred. Since the seed layer 170 is important for constructing an electrical circuit thereon, the material used for the seed layer 170 can be variously changed depending on the material used for the electrical circuit in a subsequent process.
For example, when the metal layer 174 made of a copper material is formed on the seed layer 170 by electroplating, copper is also an optimal material for use in the seed layer 170. Similarly, if the metal layer 174 is made of a gold material and is formed on the seed layer 170 by electroplating, the best material to use for the seed layer 170 is gold. Similarly, when the metal layer 174 is made of a palladium material and formed on the seed layer 170 by electroplating, palladium is also an optimal material for use in the seed layer 170. If the metal layer 174 is made of a platinum material and formed on the seed layer 170 by electroplating, platinum is also an optimal material for use in the seed layer 170. When the metal layer 174 is made of a rhodium material and is formed on the seed layer 170 by electroplating, rhodium is also an optimal material for use in the seed layer 170. Similarly, when the metal layer 174 is made of a ruthenium material and formed on the seed layer 170 by electroplating, ruthenium is also an optimal material for use in the seed layer 170. When the metal layer 174 is made of a ruthenium material and is formed on the seed layer 170 by electroplating, rhenium is also an optimal material for use in the seed layer 170. When the metal layer 174 is made of a silver material and is formed on the seed layer 170 by electroplating, silver is also an optimal material for use in the seed layer 170.

アンダー・バンプ金属構造250の構造は、はんだ層300(図3)を形成するために用いられる方法に応じて、変化することができる。例えば、はんだ層300を電気めっき法によってアンダー・バンプ金属構造250上に形成する場合、アンダー・バンプ金属構造250がTiW/Cu/Ni合金構造、またはTi/Cu/Ni合金構造であることが好ましい場合がある。はんだ構造300は、パッド166、167上にスパッタリング法で形成されたニッケル層、TiW、またはTi金属層、ならびにパシベーション層160上に電気めっきすることができ、Cu/Niは電気めっきによって堆積することができる。TiWおよびTi金属層および銅層の間には、スパッタリングによって堆積された銅シード層を設けることができる。   The structure of the under bump metal structure 250 can vary depending on the method used to form the solder layer 300 (FIG. 3). For example, when the solder layer 300 is formed on the under bump metal structure 250 by electroplating, the under bump metal structure 250 is preferably a TiW / Cu / Ni alloy structure or a Ti / Cu / Ni alloy structure. There is a case. Solder structure 300 can be electroplated on nickel, TiW, or Ti metal layers formed by sputtering on pads 166, 167, and passivation layer 160, and Cu / Ni is deposited by electroplating. Can do. A copper seed layer deposited by sputtering may be provided between the TiW and Ti metal layers and the copper layer.

他の例では、はんだ層300が外部デバイス310および320またははんだ印刷によって設けられる場合、アンダー・バンプ金属構造250は、好ましくは、TiW/Cu/Ni/AuまたはTi/Cu/Ni/Au構造とするとよい。   In other examples, when the solder layer 300 is provided by external devices 310 and 320 or by solder printing, the under bump metal structure 250 is preferably a TiW / Cu / Ni / Au or Ti / Cu / Ni / Au structure. Good.

はんだ層300を通じて、パシベーション層開口165上にあるアンダー・バンプ金属構造250を、外部デバイス310および320(図では310で示されている)に電気的に接続することができる。外部デバイス310および320も、パシベーション層160の下で金属層140に電気的に接続されており、したがって外部デバイス310および320も、デバイス110、112、および114に電気的に接続されている。   Through the solder layer 300, the under bump metal structure 250 overlying the passivation layer opening 165 can be electrically connected to external devices 310 and 320 (shown as 310 in the figure). External devices 310 and 320 are also electrically connected to the metal layer 140 under the passivation layer 160, and thus the external devices 310 and 320 are also electrically connected to the devices 110, 112, and 114.

外部デバイス310および320は、受動型デバイス、例えば、インダクタ、キャパシタ、抵抗器、または集積受動型デバイスとすることができる。本開示の実施形態例では、外部デバイス310および320は、それぞれ、キャパシタおよびインダクタを含む。例えば、外部デバイス310はキャパシタとすることができ、一方外部デバイス320はインダクタとすることができる。あるいは、外部デバイス310は集積受動型デバイスとすることができ、一方外部デバイス320はインダクタとすることもできる。外部デバイス310および320の寸法は、業界標準の寸法1210、寸法0603、寸法0402、または寸法0201から選択するとよく、寸法0201は0.02インチ×0.01インチを表し、寸法1210、寸法0603、および寸法0402は、同じ規格から推定される。一般に、外部デバイス310および320の長さは、実施形態例では、0.2mmおよび5mmの間、幅は0.1mmおよび4mmの間とすることができる。外部デバイス310および320は、はんだ層300の接続を通じて、アンダー・バンプ金属構造250上に直接構成することができる。また、外部デバイス310および320は、基板100上でダイス鋸引き手順(dice sawing procedure)が行われる前または後のいずれかに実装することができる。   External devices 310 and 320 can be passive devices, such as inductors, capacitors, resistors, or integrated passive devices. In example embodiments of the present disclosure, external devices 310 and 320 each include a capacitor and an inductor. For example, the external device 310 can be a capacitor, while the external device 320 can be an inductor. Alternatively, external device 310 can be an integrated passive device, while external device 320 can be an inductor. The dimensions of external devices 310 and 320 may be selected from industry standard dimension 1210, dimension 0603, dimension 0402, or dimension 0201, where dimension 0201 represents 0.02 inch x 0.01 inch, dimension 1210, dimension 0603, And the dimension 0402 is estimated from the same standard. In general, the length of the external devices 310 and 320 can be between 0.2 mm and 5 mm and the width between 0.1 mm and 4 mm in the example embodiment. External devices 310 and 320 can be configured directly on the under bump metal structure 250 through the connection of the solder layer 300. Also, the external devices 310 and 320 can be mounted either before or after a dice sawing procedure is performed on the substrate 100.

最後に、ダイス鋸引き手順後の半導体チップは、例えば、ワイヤ・ボンディングによって作られた銅ワイヤまたは金ワイヤを通じてあるいははんだによって、外部回路または電源に、フリップ・チップ技法によって電気的に接続することができる。例えば、銅ワイヤまたは金ワイヤをパッド167に、ワイヤ・ボンディング技法によって接続することができる。この場合、パッド167は銅パッド、アルミニウム・パッド、アルミニウム・キャップ、またはニッケル・キャップである。   Finally, the semiconductor chip after the die sawing procedure can be electrically connected to an external circuit or power supply by a flip chip technique, for example through a copper or gold wire made by wire bonding or by soldering. it can. For example, a copper wire or gold wire can be connected to the pad 167 by wire bonding techniques. In this case, pad 167 is a copper pad, an aluminum pad, an aluminum cap, or a nickel cap.

実施形態2
図4を参照すると、本開示の第2実施形態(「実施形態2」)によるチップ構造は、前述した第1実施形態(「実施形態1」)のそれと同様であり、したがって、製造プロセスおよび特性(property)の一部について、説明を繰り返さないこととする。実施形態2と実施形態1との間の相違は、パッド166bの上または上方に構成されたアンダー・バンプ金属構造260およびボンディング金属層400cにある。ボンディング金属層400cは、ワイヤ・ボンディングによって形成された銅ワイヤまたは金ワイヤ(図には示されていない)を通じて外部回路に電気的に接続するために用いることができる。
Embodiment 2
Referring to FIG. 4, the chip structure according to the second embodiment (“embodiment 2”) of the present disclosure is similar to that of the first embodiment (“embodiment 1”) described above, and thus the manufacturing process and characteristics are the same. The description of a part of (property) will not be repeated. The difference between Embodiment 2 and Embodiment 1 is in the under bump metal structure 260 and the bonding metal layer 400c configured on or above the pad 166b. The bonding metal layer 400c can be used to electrically connect to an external circuit through a copper wire or a gold wire (not shown) formed by wire bonding.

実施形態2の構造は、以下の方法を含む、適した方法によって製造することができる。
実施形態2の製造方法1:
図4Aを参照すると、集積回路20は、パシベーション層160の下にある全ての構造を表す。また、集積回路20には、基板100、デバイス110、112、114、第1誘電体層150、金属層140、第2誘電体層155、金属コンタクト120、およびビア130(図4には示されていない)が含まれる。回路20において、多数のパシベーション層開口165が、多数のパッド166aおよび166bを暴露する。
The structure of Embodiment 2 can be manufactured by a suitable method including the following method.
Manufacturing method 1 of Embodiment 2:
Referring to FIG. 4A, the integrated circuit 20 represents all the structures below the passivation layer 160. Also, integrated circuit 20 includes substrate 100, devices 110, 112, 114, first dielectric layer 150, metal layer 140, second dielectric layer 155, metal contact 120, and via 130 (shown in FIG. 4). Not included). In circuit 20, a number of passivation layer openings 165 expose a number of pads 166a and 166b.

図4Bを参照すると、例えば、スパッタリングを用いることによって、パシベーション層160ならびにパッド166aおよび166b上に接着/バリア層22を形成する。接着/バリア層22の厚さは、所望に応じて選択される。実施形態例では、この厚さは約0.1マイクロメートルおよび約1マイクロメートルの間とすることができ、最適な厚さは0.3マイクロメートルおよび0.8マイクロメートルの間である。接着/バリアは、以下の材料、Ti、TiW、TiN、Ta、TaN、Cr、およびMoから選択することができ、またはこれらで構成することができる。TiおよびTiWは、接着/バリアに好ましい2種類の材料である。   Referring to FIG. 4B, the adhesion / barrier layer 22 is formed on the passivation layer 160 and the pads 166a and 166b, for example by using sputtering. The thickness of the adhesion / barrier layer 22 is selected as desired. In example embodiments, this thickness can be between about 0.1 micrometers and about 1 micrometer with an optimum thickness being between 0.3 micrometers and 0.8 micrometers. The adhesion / barrier can be selected from or consist of the following materials: Ti, TiW, TiN, Ta, TaN, Cr, and Mo. Ti and TiW are two preferred materials for adhesion / barrier.

図4Cを参照すると、次に、例えば0.05マイクロメートルおよび1マイクロメートルの間の所望の厚さ(そして、最適な厚さは、0.1マイクロメートルおよび0.7マイクロメートルの間)を有するシード層24を、接着/バリア層22上に形成する。前述したシード層170と同様、シード層24に用いられる材料は、その後に形成される金属層の材料に応じて、様々に変化することができる。シード層の材料は、例えば、Cu、AuまたはAgとすることができる。この実施形態では、Auが好ましいシード層材料である。   Referring to FIG. 4C, the desired thickness, for example, between 0.05 and 1 micrometer (and the optimum thickness is between 0.1 and 0.7 micrometers) is then determined. A seed layer 24 is formed on the adhesion / barrier layer 22. Similar to the seed layer 170 described above, the material used for the seed layer 24 can vary depending on the material of the metal layer formed thereafter. The material of the seed layer can be, for example, Cu, Au, or Ag. In this embodiment, Au is a preferred seed layer material.

図4Dを参照すると、シード層24上にフォトレジスト層26を形成し、スピン・コーディング、露光、および現像によって、フォトレジスト層26をパターン化し、フォトレジスト層26に多数のフォトレジスト層開口26aを形成する。これらのフォトレジスト層開口26aは、パッド166b上にあるシード層24の部分を暴露する。   Referring to FIG. 4D, a photoresist layer 26 is formed on the seed layer 24, the photoresist layer 26 is patterned by spin coding, exposure, and development, and a number of photoresist layer openings 26a are formed in the photoresist layer 26. Form. These photoresist layer openings 26a expose portions of seed layer 24 that are on pads 166b.

図4Eを参照すると、電気めっき、無電解めっき、スパッタリング、またはCVD法によって、シード層24上にボンディング金属層400cを形成する。ボンディング金属層400cは、フォトレジスト層開口26aの中にある。ボンディング金属層400cは、アルミニウム、金、銅、銀、パラジウム、ロジウム、ルテニウム、レニウム、またはニッケルのような材料から成り、単一金属層構造または多重金属層構造を有することができる。ボンディング金属層400cの厚さは、1マイクロメートルおよび100マイクロメートルの間であり、最適な厚さは、1.5マイクロメートルおよび15マイクロメートルの間である。ボンディング金属層400cは、多数の金属層構造の組み合わせによって構成することもでき、金属層構造には、Cu/Ni/Au、Cu/Au、Cu/Ni/Pd、およびCu/Ni/Ptが含まれる。この実施形態では、ボンディング金属層400cは金で作られた単一層であることが好ましい。   Referring to FIG. 4E, a bonding metal layer 400c is formed on the seed layer 24 by electroplating, electroless plating, sputtering, or CVD. The bonding metal layer 400c is in the photoresist layer opening 26a. The bonding metal layer 400c is made of a material such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or a multiple metal layer structure. The thickness of the bonding metal layer 400c is between 1 micrometer and 100 micrometers, and the optimum thickness is between 1.5 micrometers and 15 micrometers. The bonding metal layer 400c can also be configured by a combination of a number of metal layer structures, which include Cu / Ni / Au, Cu / Au, Cu / Ni / Pd, and Cu / Ni / Pt. It is. In this embodiment, the bonding metal layer 400c is preferably a single layer made of gold.

図4Fを参照すると、パターン化フォトレジスト層26、および金属層400cの下にはないシード層24の部分に対して、除去プロセスを行うことができる。一例として、シード層24が金で作られている場合、IおよびKIを含有する溶液を用いて、シード層24を除去することができる。 Referring to FIG. 4F, a removal process can be performed on the patterned photoresist layer 26 and portions of the seed layer 24 that are not under the metal layer 400c. As an example, if the seed layer 24 is made of gold, the seed layer 24 can be removed using a solution containing I 2 and KI.

図4Gを参照すると、接着/バリア層22および金属層400cの上に、例えば、0.05マイクロメートルおよび1マイクロメートルの間の適した厚さ(最適な厚さは0.1マイクロメートルおよび0.7マイクロメートルの間である)を有するシード層28を形成することができる。この実施形態では、シード層28の材料は、好ましくは、銅(Cu)である。前述のシード層170と同様、シード層28に用いられる材料は、後に形成される金属層の材料に応じて変化する。   Referring to FIG. 4G, a suitable thickness between, for example, 0.05 and 1 micrometer (optimum thickness is 0.1 micrometer and 0) over the adhesion / barrier layer 22 and the metal layer 400c. Seed layer 28 can be formed. In this embodiment, the material of the seed layer 28 is preferably copper (Cu). Similar to the seed layer 170 described above, the material used for the seed layer 28 varies depending on the material of the metal layer to be formed later.

図4Hを参照すると、シード層28上にフォトレジスト層30を形成することができ、スピン・コーティング、露光、および現像によって、フォトレジスト層30にパターニングを行い、フォトレジスト層30に多数のフォトレジスト層開口30aを形成する。これらのフォトレジスト層開口30aは、パッド166aの上にあるシード層28の部分を暴露する。   Referring to FIG. 4H, a photoresist layer 30 can be formed on the seed layer 28, patterned by spin coating, exposure, and development, and the photoresist layer 30 can be coated with a number of photoresists. A layer opening 30a is formed. These photoresist layer openings 30a expose portions of the seed layer 28 that are over the pads 166a.

図4Iを参照すると、シード層28上に電気めっきによって金属層32を形成する。金属層32は、フォトレジスト層開口30a内にある。金属層32は、銅で作ることができ、所望の厚さ、例えば、約1マイクロメートルおよび約100マイクロメートルの間の厚さを有することができる。好ましい厚さは、約1.5マイクロメートルおよび約15マイクロメートルの間である。   Referring to FIG. 4I, a metal layer 32 is formed on the seed layer 28 by electroplating. The metal layer 32 is in the photoresist layer opening 30a. The metal layer 32 can be made of copper and can have a desired thickness, eg, between about 1 micrometer and about 100 micrometers. A preferred thickness is between about 1.5 micrometers and about 15 micrometers.

図4Jを参照すると、金属層32上に電気めっき法によって金属層34を形成することができる。金属層34は、フォトレジスト層開口30aの中にある。金属層34は、ニッケルで作ることができ、所望の厚さ、例えば、約0.1マイクロメートルおよび約20マイクロメートルの間の厚さを有することができる。好ましい厚さは、1マイクロメートルおよび5マイクロメートルの間である。   Referring to FIG. 4J, a metal layer 34 can be formed on the metal layer 32 by electroplating. The metal layer 34 is in the photoresist layer opening 30a. The metal layer 34 can be made of nickel and can have a desired thickness, for example, a thickness between about 0.1 micrometers and about 20 micrometers. A preferred thickness is between 1 and 5 micrometers.

図4Kを参照すると、金属層34上に、電気めっき法によって金属層300を形成することができる。金属層300は、フォトレジスト層開口30aの中にある。金属層300は、錫、Sn/Ag合金、Sn/In合金、Sn/Ag/Cu合金のような材料、および他のあらゆる無鉛はんだ材料から成ることができ、所望の厚さ、例えば、約5マイクロメートルおよび約300マイクロメートルの間の厚さを有する。好ましい厚さは、20マイクロメートルおよび150マイクロメートルの間である。   Referring to FIG. 4K, the metal layer 300 can be formed on the metal layer 34 by electroplating. The metal layer 300 is in the photoresist layer opening 30a. The metal layer 300 can be made of a material such as tin, Sn / Ag alloy, Sn / In alloy, Sn / Ag / Cu alloy, and any other lead-free solder material, with a desired thickness, eg, about 5 Having a thickness between micrometer and about 300 micrometers. A preferred thickness is between 20 and 150 micrometers.

図4Lは、パターン化フォトレジスト層30ならびに金属層300の下にないシード層28および接着/バリア層22の部分の除去プロセスを示す。銅で作られたシード層28を除去するには、銅をエッチングするためにNH またはSO 2+を用いることができる。そして、接着/バリア層22を除去するためには、ドライ・エッチングまたはウェット・エッチングを用いることができる。ドライ・エッチングは、反応性イオン・エッチングまたはアルゴン・スパッタ・エッチングを用いることを必要とする。一方、ウェット・エッチングを用いるとき、接着/バリア層22がTi/W合金で作られている場合には、この層を除去するために過酸化水素を用いることができる。接着/バリア層22がTiで作られている場合、HF含有溶液を用いると、この層を除去することができる。一方、金属層300の下にある、金属層34、金属層32、シード層28、および接着/バリア層22のような多数の金属層は、図4に示したアンダー・バンプ金属構造250であり、金属層400cの下にあるシード層28および接着/バリア層24は、それぞれ、図4に示したアンダー・バンプ金属構造260である。この実施形態の製造では、アンダー・バンプ金属構造250は、TiW/Cu/Ni構造とすることができ、アンダー・バンプ金属構造260はTiW/Auシード層とすることができる。 FIG. 4L illustrates the removal process of the patterned photoresist layer 30 and portions of the seed layer 28 and the adhesion / barrier layer 22 that are not under the metal layer 300. To remove the seed layer 28 made of copper, NH 3 + or SO 4 2+ can be used to etch the copper. Then, dry etching or wet etching can be used to remove the adhesion / barrier layer 22. Dry etching requires the use of reactive ion etching or argon sputter etching. On the other hand, when using wet etching, if the adhesion / barrier layer 22 is made of a Ti / W alloy, hydrogen peroxide can be used to remove this layer. If the adhesion / barrier layer 22 is made of Ti, this layer can be removed using an HF-containing solution. On the other hand, a number of metal layers, such as metal layer 34, metal layer 32, seed layer 28, and adhesion / barrier layer 22 below metal layer 300 are the under bump metal structure 250 shown in FIG. The seed layer 28 and the adhesion / barrier layer 24 under the metal layer 400c are each the under-bump metal structure 260 shown in FIG. In the manufacture of this embodiment, the under bump metal structure 250 can be a TiW / Cu / Ni structure and the under bump metal structure 260 can be a TiW / Au seed layer.

図4Mを参照すると、20ppm未満の酸素を含有する環境におけるリフロー・プロセスによって、はんだ層300が半球形状になる(collate)。
図4Nを参照すると、はんだ層300上に、外部デバイス310および外部デバイス320を実装する。この実施形態では、外部デバイス310および320は受動型デバイスとすることができ、インダクタ、キャパシタ、抵抗器、および/または集積受動型デバイスを含むことができる。本開示の実施形態例では、外部デバイス310および320は2つの異なる受動型デバイスである。例えば、外部デバイス310はキャパシタとしてもよく、一方外部デバイス320はインダクタとしてもよい。または、外部デバイス310が集積受動型デバイスであってもよく、一方外部デバイス320がインダクタであってもよい。外部デバイス310および320は、各々、多数のコンタクト・ポイント(図には示されていない)を有することができる。これら多数のコンタクト・ポイントの表面には、金属層300上に実装するのに適した金属がある。例えば、コンタクト・ポイントの表面は、錫含有層のようなはんだ材料層、または金層のようなはんだウエット・エッチング層を有することができる。
Referring to FIG. 4M, the reflow process in an environment containing less than 20 ppm oxygen causes the solder layer 300 to collate.
Referring to FIG. 4N, the external device 310 and the external device 320 are mounted on the solder layer 300. In this embodiment, external devices 310 and 320 can be passive devices and can include inductors, capacitors, resistors, and / or integrated passive devices. In example embodiments of the present disclosure, external devices 310 and 320 are two different passive devices. For example, the external device 310 may be a capacitor, while the external device 320 may be an inductor. Alternatively, the external device 310 may be an integrated passive device, while the external device 320 may be an inductor. External devices 310 and 320 can each have multiple contact points (not shown in the figure). On the surface of these many contact points, there is a metal suitable for mounting on the metal layer 300. For example, the surface of the contact point can have a solder material layer, such as a tin-containing layer, or a solder wet etch layer, such as a gold layer.

外部デバイス310および320の寸法は、業界標準の寸法12101、寸法0603、寸法0402、または寸法0201から選択するとよく、例えば、寸法0201は0.02インチ×0.01インチを表し、寸法1210、寸法0603、および寸法0402は、同じ規格によって推定することができる。一般に、外部デバイス310および320は、 実施形態例では、 長さが0.2mmおよび5mmの間、幅が0.1mmおよび4mmの間、そして高さが0.01mmおよび2mmの間とすることができる。   The dimensions of external devices 310 and 320 may be selected from industry standard dimension 12101, dimension 0603, dimension 0402, or dimension 0201, for example, dimension 0201 represents 0.02 inch x 0.01 inch, dimension 1210, dimension 0603 and dimension 0402 can be estimated by the same standard. In general, external devices 310 and 320 may have a length between 0.2 mm and 5 mm, a width between 0.1 mm and 4 mm, and a height between 0.01 mm and 2 mm in the example embodiment. it can.

後続のステップは、ダイシング手順を含むことができ、基板100を最初に多数のチップに鋸引きする。次に、パッド166b上にある金属層400c上においてワイヤ・ボンディングによってワイヤ37を形成することができ、外部回路または電源に接続するために、ワイヤ37が用いられる。ワイヤ37は、銅または金で形成することができる。例えば、銅ワイヤまたは金ワイヤをボンディング金属層400cに、ワイヤ・ボンディング技法によって接続することができる。この場合、ボンディング金属層400cは銅パッド、アルミニウム・パッド、アルミニウム・キャップ、またはニッケル・キャップである。
また、外部デバイス310および320は、基板100に対してダイシング手順を行った後に実装することもできる。
Subsequent steps can include a dicing procedure, where the substrate 100 is first sawed into a number of chips. Next, the wire 37 can be formed by wire bonding on the metal layer 400c on the pad 166b, and the wire 37 is used to connect to an external circuit or a power source. The wire 37 can be formed of copper or gold. For example, a copper wire or gold wire can be connected to the bonding metal layer 400c by wire bonding techniques. In this case, the bonding metal layer 400c is a copper pad, an aluminum pad, an aluminum cap, or a nickel cap.
The external devices 310 and 320 can also be mounted after performing a dicing procedure on the substrate 100.

実施形態2の製造方法2:
製造方法2が製造方法1と相違するのは、はんだ層300が外部デバイス310および320または外部付加(external addition)によって、デバイス310および320の実装プロセスの間に設けられることである。言い換えると、外部デバイス310および320を実装する前には、構造はアンダー・バンプ金属構造250上にはんだ層300を有していない。以下に、この製造プロセスの詳細について説明する。
Manufacturing method 2 of Embodiment 2:
Manufacturing method 2 differs from manufacturing method 1 in that solder layer 300 is provided during the mounting process of devices 310 and 320 by external devices 310 and 320 or by external addition. In other words, the structure does not have a solder layer 300 on the under bump metal structure 250 prior to mounting the external devices 310 and 320. Details of this manufacturing process will be described below.

図4Bから継続し、更に図4Oも参照すると、接着/バリア層22上に、シード層38を所望の厚さ、例えば、約0.05マイクロメートルおよび約1マイクロメートル(好ましい最適な厚さは、0.1マイクロメートルおよび0.7マイクロメートルの間である)の厚さに形成する。この実施形態では、シード層38は、Cuで作られている。前述のシード層170と同様、シード層38に用いられる材料は、後に形成される金属層の材料にしたがって、変化する。   Continuing from FIG. 4B and with further reference to FIG. 4O, a seed layer 38 is deposited on the adhesion / barrier layer 22 to a desired thickness, for example, about 0.05 micrometers and about 1 micrometer (preferred optimal thicknesses are , Between 0.1 and 0.7 micrometers). In this embodiment, the seed layer 38 is made of Cu. Similar to the seed layer 170 described above, the material used for the seed layer 38 varies according to the material of the metal layer to be formed later.

図4Pを参照すると、シード層38上にフォトレジスト層40を形成し、スピン・コーティング、露光、および現像によって、フォトレジスト層40にパターニングを行い、フォトレジスト層40内に多数のフォトレジスト層開口40aを形成する。フォトレジスト層開口40aは、パッド166bおよびパッド166aの上にあるシード層24の部分を別個に暴露する。   Referring to FIG. 4P, a photoresist layer 40 is formed on the seed layer 38, patterned by spin coating, exposure, and development, and a large number of photoresist layer openings are formed in the photoresist layer 40. 40a is formed. Photoresist layer opening 40a separately exposes pad 166b and the portion of seed layer 24 overlying pad 166a.

図4Qを参照すると、シード層38上に電気めっき法によって金属層42を形成する。この金属層40はフォトレジスト層開口40aの中にある。金属層42は、金、銅、銀、パラジウム、ロジウム、ルテニウム、レニウム、またはニッケルのような材料から成り、単一金属層構造または多重金属層構造を有することができる。金属層42の厚さは、約1マイクロメートルおよび約100マイクロメートルの間とすることができ、最適な好ましい厚さは、1.5マイクロメートルおよび15マイクロメートルの間である。この実施形態では、金属層42は銅で作ることができる。   Referring to FIG. 4Q, a metal layer 42 is formed on the seed layer 38 by electroplating. This metal layer 40 is in the photoresist layer opening 40a. The metal layer 42 is made of a material such as gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or a multiple metal layer structure. The thickness of the metal layer 42 can be between about 1 micrometer and about 100 micrometers, with an optimal preferred thickness being between 1.5 micrometers and 15 micrometers. In this embodiment, the metal layer 42 can be made of copper.

図4Rを参照すると、金属層42上に電気めっき法によって金属層44を形成する。金属層44は、フォトレジスト層開口40aの中にある。金属層44は、ニッケルで作ることができ、所望の厚さ、例えば、約0.5マイクロメートルおよび約100マイクロメートルの間の厚さを有することができ、最適な好ましい厚さは1マイクロメートルおよび5マイクロメートルの間である。   Referring to FIG. 4R, a metal layer 44 is formed on the metal layer 42 by electroplating. The metal layer 44 is in the photoresist layer opening 40a. The metal layer 44 can be made of nickel and can have a desired thickness, for example, between about 0.5 micrometers and about 100 micrometers, with an optimal preferred thickness of 1 micrometer. And between 5 micrometers.

図4Sを参照すると、電気めっき、非電解めっき、スパッタリング、またはCVD法によって、金属層44上に金属層46を形成する。金属層46は、フォトレジスト層開口40aの中にある。金属層46は、アルミニウム、金、銀、パラジウム、ロジウム、ルテニウム、またはレニウムのような材料から成ることができ、所望の厚さ、例えば、約0.03マイクロメートルおよび約2マイクロメートルの間の厚さを有することができる。最適な好ましい厚さは、0.05マイクロメートルおよび0.5マイクロメートルの間である。この実施形態では、金属層46の材料は金(Au)とすることができる。   Referring to FIG. 4S, a metal layer 46 is formed on the metal layer 44 by electroplating, electroless plating, sputtering, or CVD. The metal layer 46 is in the photoresist layer opening 40a. The metal layer 46 can be made of a material such as aluminum, gold, silver, palladium, rhodium, ruthenium, or rhenium and has a desired thickness, eg, between about 0.03 micrometers and about 2 micrometers. Can have a thickness. The optimal preferred thickness is between 0.05 and 0.5 micrometers. In this embodiment, the material of the metal layer 46 can be gold (Au).

図4Tを参照すると、除去プロセスを用いて、パターン化フォトレジスト層40、ならびに金属層46の下にないシード層44および接着/バリア層22の部分を除去することができる。銅で作られたシード層24を除去するには、NH またはSO 2+含有溶液を用いて、銅をエッチングすることができる。接着/バリア層22を除去するためには、ドライ・エッチングまたはウェット・エッチングを用いることができる。ドライ・エッチングは、反応性イオン・エッチングまたはアルゴン・スパッタ・エッチングを用いることを必要とする。一方、ウェット・エッチングを用いるとき、接着/バリア層22がTi/W合金で作られている場合には、この層を除去するために過酸化水素を用いることができる。接着/バリア層22がTiで作られている場合、HF含有溶液を用いると、この層を除去することができる。 Referring to FIG. 4T, a removal process can be used to remove the patterned photoresist layer 40 and portions of the seed layer 44 and adhesion / barrier layer 22 that are not under the metal layer 46. To remove the seed layer 24 made of copper, the copper can be etched using NH 3 + or SO 4 2+ containing solutions. Dry or wet etching can be used to remove the adhesion / barrier layer 22. Dry etching requires the use of reactive ion etching or argon sputter etching. On the other hand, when using wet etching, if the adhesion / barrier layer 22 is made of a Ti / W alloy, hydrogen peroxide can be used to remove this layer. If the adhesion / barrier layer 22 is made of Ti, this layer can be removed using an HF-containing solution.

図4Uを参照すると、外部デバイス310および外部デバイス320を金属層46上/に別個に接続することができる。外部デバイス310および320は、はんだ層300を含むことができる。または、はんだ層300をスクリーン・プリンティング法で金属層46の上に形成することができる。このはんだ層400を介して、外部デバイス310および320を金属層46に実装する。   Referring to FIG. 4U, external device 310 and external device 320 may be separately connected on / to metal layer 46. External devices 310 and 320 can include a solder layer 300. Alternatively, the solder layer 300 can be formed on the metal layer 46 by a screen printing method. The external devices 310 and 320 are mounted on the metal layer 46 through the solder layer 400.

この実施形態では、外部デバイス310および320は、受動型デバイス、例えば、インダクタ、キャパシタ、抵抗器、および/または集積受動型デバイスとすることができる。本開示の実施形態例では、外部デバイス310および320は2つの異なる受動型デバイスである。例えば、外部デバイス310はキャパシタとしてもよく、一方外部デバイス320はインダクタとしてもよい。または、外部デバイス310が集積受動型デバイスであってもよく、一方外部デバイス320がインダクタであってもよい。外部デバイス310および320は、各々、多数のコンタクト・ポイント(図には示されていない)を有することができる。これら多数のコンタクト・ポイントの表面には、金属層300上に実装するのに適した金属がある。例えば、コンタクト・ポイントの表面は、はんだ材料層、または金層のようなはんだウェッティング層(solder wetting layer)を有することができる。   In this embodiment, external devices 310 and 320 can be passive devices, such as inductors, capacitors, resistors, and / or integrated passive devices. In example embodiments of the present disclosure, external devices 310 and 320 are two different passive devices. For example, the external device 310 may be a capacitor, while the external device 320 may be an inductor. Alternatively, the external device 310 may be an integrated passive device, while the external device 320 may be an inductor. External devices 310 and 320 can each have multiple contact points (not shown in the figure). On the surface of these many contact points, there is a metal suitable for mounting on the metal layer 300. For example, the surface of the contact point can have a solder material layer or a solder wetting layer such as a gold layer.

外部デバイス310および320の寸法は、業界標準の寸法12101、寸法0603、寸法0402、または寸法0201から選択するとよく、寸法0201は0.02インチ×0.01インチを表し、寸法1210、寸法0603、および寸法0402は、同じ規格によって推定することができる。一般に、外部デバイス310および320は、長さが0.2mmおよび5mmの間、幅が0.1mmおよび4mmの間、そして高さが0.01mmおよび2mmの間とすることができる。   The dimensions of external devices 310 and 320 may be selected from industry standard dimension 12101, dimension 0603, dimension 0402, or dimension 0201, where dimension 0201 represents 0.02 inch x 0.01 inch, and dimension 1210, dimension 0603, And the dimension 0402 can be estimated by the same standard. In general, external devices 310 and 320 can be between 0.2 mm and 5 mm in length, between 0.1 mm and 4 mm in width, and between 0.01 mm and 2 mm in height.

続いて、ダイシング手順を行うことができ、基板100を最初に鋸引きして多数のチップを得る。次に、パッド166b上にある金属層46上においてワイヤ・ボンディングによってワイヤ47を導通させる(conduct)ことができ、外部回路または電源に接続するために、ワイヤ47を用いることができる。ワイヤ47は、銅または金で形成することができる。例えば、銅ワイヤまたは金ワイヤをボンディング金属層400cに、ワイヤ・ボンディング技法によって接続することができる。この場合、ボンディング金属層400cは銅パッド、アルミニウム・パッド、アルミニウム・キャップ、またはニッケル・キャップである。外部デバイス310および320は、基板100に対してダイシング手順を行った後に実装することができる。   Subsequently, a dicing procedure can be performed and the substrate 100 is first sawed to obtain a large number of chips. The wire 47 can then be conducted on the metal layer 46 on the pad 166b by wire bonding, and the wire 47 can be used to connect to an external circuit or power source. The wire 47 can be formed of copper or gold. For example, a copper wire or gold wire can be connected to the bonding metal layer 400c by wire bonding techniques. In this case, the bonding metal layer 400c is a copper pad, an aluminum pad, an aluminum cap, or a nickel cap. The external devices 310 and 320 can be mounted after performing a dicing procedure on the substrate 100.

実施形態2の製造方法3:
図4AAから図4AMは、実施形態2の第3製造方法を示す。図4AAは、図4ABにおける線2−2に沿って切断した断面図である。集積回路20は、パシベーション層160下にある全ての構造を表す。また、集積回路20には、基板100、デバイス110、112、114、第1誘電体層150、金属層140、第2誘電体層155、金属コンタクト120、およびビア130(図4には示されていない)が含まれる。パシベーション層160における、多数のパシベーション層開口165aおよび開口165bが、多数のパッド166a、パッド166b、および166abを暴露する。多数の金属パッド166aおよび116bを矩形形態に設計することが好ましい。
Manufacturing method 3 of Embodiment 2:
4A to 4AM show a third manufacturing method according to the second embodiment. 4AA is a cross-sectional view taken along line 2-2 in FIG. 4AB. Integrated circuit 20 represents all the structures underlying passivation layer 160. Also, integrated circuit 20 includes substrate 100, devices 110, 112, 114, first dielectric layer 150, metal layer 140, second dielectric layer 155, metal contact 120, and via 130 (shown in FIG. 4). Not included). A number of passivation layer openings 165a and openings 165b in the passivation layer 160 expose a number of pads 166a, pads 166b, and 166ab. A number of metal pads 166a and 116b are preferably designed in a rectangular configuration.

図4ACを参照すると、スパッタリング法を用いることによって、パシベーション層160ならびにパッド166aおよび166b上に接着/バリア層22を形成する。接着/バリア層22の厚さは、所望に応じて選択される。例えば、この厚さは0.1マイクロメートルおよび1マイクロメートルの間とすることができ、最適な厚さは0.3マイクロメートルおよび0.8マイクロメートルの間である。接着/バリアは、以下の材料、Ti、TiW、TiN、Ta、TaN、Cr、およびMoから選択することができ、またはこれらで構成することができる。Tiおよび/またはTiWは、接着/バリアに好ましい材料である。   Referring to FIG. 4AC, the adhesion / barrier layer 22 is formed on the passivation layer 160 and the pads 166a and 166b by using a sputtering method. The thickness of the adhesion / barrier layer 22 is selected as desired. For example, this thickness can be between 0.1 and 1 micrometer, with an optimum thickness between 0.3 and 0.8 micrometers. The adhesion / barrier can be selected from or consist of the following materials: Ti, TiW, TiN, Ta, TaN, Cr, and Mo. Ti and / or TiW are preferred materials for adhesion / barrier.

図4ADを参照すると、次に、例えば約0.05マイクロメートルおよび約1マイクロメートルの間の所望の厚さ(そして、最適な厚さは、0.1マイクロメートルおよび0.7マイクロメートルの間)を有するシード層38を、接着/バリア層22上に形成する。前述したシード層170と同様、シード層38に用いられる材料は、その後に形成される金属層の材料に応じて、様々に変化することができる。シード層38の材料は、例えば、Cu、Au、またはAgとすることができる。この実施形態では、Cuが好ましいシード層材料である。   Referring to FIG. 4AD, then, for example, a desired thickness between about 0.05 micrometers and about 1 micrometer (and an optimum thickness is between 0.1 micrometers and 0.7 micrometers) ) Is formed on the adhesion / barrier layer 22. Similar to the seed layer 170 described above, the material used for the seed layer 38 can vary depending on the material of the metal layer to be subsequently formed. The material of the seed layer 38 can be, for example, Cu, Au, or Ag. In this embodiment, Cu is a preferred seed layer material.

図4AEを参照すると、シード層38上にフォトレジスト層40を形成し、スピン・コーティング、露光、および現像によって、フォトレジスト層40にパターニングを行い、フォトレジスト層40内に多数のフォトレジスト層開口40aを形成する。フォトレジスト層開口40aは、パッド166aおよびパッド166bの上にあるシード層38の部分を別個に暴露する。   Referring to FIG. 4AE, a photoresist layer 40 is formed on the seed layer 38, and the photoresist layer 40 is patterned by spin coating, exposure, and development, and a large number of photoresist layer openings are formed in the photoresist layer 40. 40a is formed. Photoresist layer opening 40a separately exposes the portion of seed layer 38 overlying pad 166a and pad 166b.

図4AFを参照すると、シード層38上に電気めっき法によって金属層42を形成する。この金属層42はフォトレジスト層開口40aの中にある。金属層42は、金、銅、銀、パラジウム、ロジウム、ルテニウム、またはレニウムのような材料から成ることができる。金属層42の厚さは、約1マイクロメートルおよび約100マイクロメートルの間とすることができ、最適な好ましい厚さは、1.5マイクロメートルおよび15マイクロメートルの間である。この実施形態では、金属層42は銅の単一層であることが好ましい。   Referring to FIG. 4AF, a metal layer 42 is formed on the seed layer 38 by electroplating. This metal layer 42 is in the photoresist layer opening 40a. The metal layer 42 can be made of a material such as gold, copper, silver, palladium, rhodium, ruthenium, or rhenium. The thickness of the metal layer 42 can be between about 1 micrometer and about 100 micrometers, with an optimal preferred thickness being between 1.5 micrometers and 15 micrometers. In this embodiment, the metal layer 42 is preferably a single layer of copper.

図4AGを参照すると、金属層42上に電気めっき法によって金属層44を形成する。金属層44は、フォトレジスト層開口40aの中にある。金属層44は、ニッケルで構成することができる。金属層44の厚さは、所望に応じて、例えば、約0.1マイクロメートルおよび約10マイクロメートルの間の厚さを有することができ、最適な好ましい厚さは0.5マイクロメートルおよび5マイクロメートルの間である。   Referring to FIG. 4AG, a metal layer 44 is formed on the metal layer 42 by electroplating. The metal layer 44 is in the photoresist layer opening 40a. The metal layer 44 can be made of nickel. The thickness of the metal layer 44 can have a thickness, for example, between about 0.1 micrometers and about 10 micrometers, as desired, with an optimal preferred thickness of 0.5 micrometers and 5 micrometers. Between micrometer.

図4AHを参照すると、電気めっき、非電解めっき、スパッタリング、またはCVD法によって、金属層44上に金属層46を形成する。金属層46は、フォトレジスト層開口40aの中にある。金属層46は、アルミニウム、金、銀、パラジウム、ロジウム、ルテニウム、またはレニウムのような材料から成ることができる。金属層46の厚さは、所望に応じて、例えば、約0.03マイクロメートルおよび約5マイクロメートルの間で選択することができる。最適な好ましい厚さは、0.05マイクロメートルおよび1.5マイクロメートルの間である。この実施形態では、金属層46の材料は金の単一層であることが好ましい。   Referring to FIG. 4AH, a metal layer 46 is formed on the metal layer 44 by electroplating, electroless plating, sputtering, or CVD. The metal layer 46 is in the photoresist layer opening 40a. The metal layer 46 can be made of a material such as aluminum, gold, silver, palladium, rhodium, ruthenium, or rhenium. The thickness of the metal layer 46 can be selected as desired, for example, between about 0.03 micrometers and about 5 micrometers. The optimum preferred thickness is between 0.05 and 1.5 micrometers. In this embodiment, the material of the metal layer 46 is preferably a single layer of gold.

図4AIを参照すると、除去プロセスを用いて、パターン化フォトレジスト層40、ならびに金属層46の下にないシード層38および接着/バリア層22の部分を除去することができる。銅で作られたシード層38を除去するには、NH またはSO 2+含有溶液を用いて、銅をエッチングすることができる。接着/バリア層22を除去するためには、ドライ・エッチングまたはウェット・エッチングを用いることができる。ドライ・エッチングは、反応性イオン・エッチングまたはアルゴン・スパッタ・エッチングを用いることを必要とする。一方、ウェット・エッチングを用いるとき、接着/バリア層22がTi/W合金で作られている場合には、この層を除去するために過酸化水素を用いることができる。接着/バリア層22がTiで作られている場合、HF含有溶液を用いると、この層を除去することができる。 Referring to FIG. 4AI, a removal process can be used to remove the patterned photoresist layer 40 and portions of the seed layer 38 and adhesion / barrier layer 22 that are not under the metal layer 46. To remove the seed layer 38 made of copper, the copper can be etched using NH 3 + or SO 4 2+ containing solutions. Dry or wet etching can be used to remove the adhesion / barrier layer 22. Dry etching requires the use of reactive ion etching or argon sputter etching. On the other hand, when using wet etching, if the adhesion / barrier layer 22 is made of a Ti / W alloy, hydrogen peroxide can be used to remove this layer. If the adhesion / barrier layer 22 is made of Ti, this layer can be removed using an HF-containing solution.

図4AJを参照すると、外部デバイス310を、パッド166aの上方にある金属層46上/に別個に接続することができる。外部デバイス310は、はんだ層300を含むことができる。あるいは、はんだ層300をスクリーン・プリンティング法で金属層46の上に形成することができる。このはんだ層300を介して、外部デバイス310を金属層46に実装することができる。   Referring to FIG. 4AJ, external device 310 may be separately connected on / on metal layer 46 above pad 166a. The external device 310 can include a solder layer 300. Alternatively, the solder layer 300 can be formed on the metal layer 46 by a screen printing method. The external device 310 can be mounted on the metal layer 46 through the solder layer 300.

図4AKから図4AMを参照すると、図4ALは図4AKにおける線2−2’に沿って切断した断面図であり、図4AMは図4AKにおける線2−2に沿って切断した断面図である。外部デバイス320を、パッド166ab上にある金属層46上に接続することができる。また、外部デバイス320は、外部デバイス310の上方にある。外部デバイス320は、はんだ層301を有することができる。あるいは、はんだ層301をスクリーン・プリンティング法で金属層46上に形成することもできる。このはんだ層301を介して、外部デバイス320を金属層46上に実装することができる。   Referring to FIGS. 4AK to 4AM, FIG. 4AL is a cross-sectional view taken along line 2-2 'in FIG. 4AK, and FIG. 4AM is a cross-sectional view taken along line 2-2 in FIG. 4AK. An external device 320 can be connected on the metal layer 46 on the pad 166ab. The external device 320 is above the external device 310. The external device 320 can have a solder layer 301. Alternatively, the solder layer 301 can be formed on the metal layer 46 by a screen printing method. The external device 320 can be mounted on the metal layer 46 through the solder layer 301.

図4AMを参照すると、ダイシング・プロセスを実行して各チップを個別化することができる。ここで、基板100が鋸引きされて、多数のチップが得られる。次に、パッド166b上にある金属層46上においてワイヤ・ボンディングによってワイヤ47を形成することができ、外部回路または電源に接続するために、ワイヤ47を用いることができる。ワイヤ47は、銅または金で形成することができる。例えば、銅ワイヤまたは金ワイヤをボンディング金属層400c(図4)に、ワイヤ・ボンディング技法によって接続することができる。この場合、ボンディング金属層400cは銅パッド、アルミニウム・パッド、アルミニウム・キャップ、またはニッケル・キャップである。外部デバイス310および320は、基板100に対してダイシング手順を行った後に実装することができる。   Referring to FIG. 4AM, a dicing process can be performed to individualize each chip. Here, the substrate 100 is sawed to obtain a large number of chips. A wire 47 can then be formed by wire bonding on the metal layer 46 overlying the pad 166b, and the wire 47 can be used to connect to an external circuit or power source. The wire 47 can be formed of copper or gold. For example, copper wires or gold wires can be connected to the bonding metal layer 400c (FIG. 4) by wire bonding techniques. In this case, the bonding metal layer 400c is a copper pad, an aluminum pad, an aluminum cap, or a nickel cap. The external devices 310 and 320 can be mounted after performing a dicing procedure on the substrate 100.

実施形態3
図5を参照すると、第3実施形態(「実施形態3」)が示されている。第3実施形態は、実施形態2と同様であり、接続金属層400の材料および厚さが異なる。実施形態3では、はんだ層400はパッド166aおよび166b上に構成される。以下に、実施形態3の構造の形成について説明する。
実施形態3の製造方法:
実施形態3は、実施形態2の製造方法2の図4Rから継続することができる。図5Aを参照すると、電気めっき法によってフォトレジスト層開口40a内において金属層44上にはんだ層400を形成する。はんだ層400の厚さは、所望に応じて、例えば、約30マイクロメートルおよび約350マイクロメートルの間で選択することができる。はんだ層400に好ましい材料には、錫/銀、錫/銅/銀、および錫/鉛合金が含まれる。
Embodiment 3
Referring to FIG. 5, a third embodiment (“Embodiment 3”) is shown. 3rd Embodiment is the same as that of Embodiment 2, and the material and thickness of the connection metal layer 400 differ. In the third embodiment, the solder layer 400 is configured on the pads 166a and 166b. Hereinafter, the formation of the structure of the third embodiment will be described.
Manufacturing method of Embodiment 3:
The third embodiment can be continued from FIG. 4R of the manufacturing method 2 of the second embodiment. Referring to FIG. 5A, a solder layer 400 is formed on the metal layer 44 in the photoresist layer opening 40a by electroplating. The thickness of the solder layer 400 can be selected, for example, between about 30 micrometers and about 350 micrometers, as desired. Preferred materials for the solder layer 400 include tin / silver, tin / copper / silver, and tin / lead alloys.

図5Bを参照すると、除去プロセスを適用して、パターン化フォトレジスト層40、ならびにはんだ層400の下ではないシード層38および接着/バリア層22の部分を除去することができる。銅で作られたシード層38を除去するには、NH またはSO 2+含有溶液を用いて、銅をエッチングすることができる。 Referring to FIG. 5B, a removal process can be applied to remove the patterned photoresist layer 40 and portions of the seed layer 38 and adhesion / barrier layer 22 that are not under the solder layer 400. To remove the seed layer 38 made of copper, the copper can be etched using NH 3 + or SO 4 2+ containing solutions.

図5Cを参照すると、はんだ層400が融点に達し、図示のような、半球形状に凝集する(aggregate)ように、図4Mについて以前に説明したのと同様のリフロー・プロセスを用いることができる。   Referring to FIG. 5C, a reflow process similar to that previously described for FIG. 4M can be used so that the solder layer 400 reaches the melting point and aggregates into a hemispherical shape as shown.

図5Dを参照すると、外部デバイス310および外部デバイス320を、パッド166aの上方で、はんだ層400に別個に実装する。この実施形態では、外部デバイス310および320は受動型デバイスである。受動型デバイスには、インダクタ、キャパシタ、および集積受動型デバイスが含まれる。本開示では、外部デバイス310および320は2つの異なる受動型デバイスである。例えば、外部デバイス310はキャパシタとしてもよく、一方外部デバイス320はインダクタとしてもよい。または、外部デバイス310が集積受動型デバイスであってもよく、一方外部デバイス320がインダクタであってもよい。
外部デバイス310および320の寸法は、業界標準の寸法1210、寸法0603、寸法0402、または寸法0201から選択するとよく、寸法0201は0.02インチ×0.01インチを表し、寸法1210、寸法0603、および寸法0402は、同じ規格によって推定することができる。一般に、外部デバイス310および320は、長さが0.2mmおよび5mmの間、幅が0.1mmおよび4mmの間、そして高さが0.01mmおよび2mmの間とすることができる。
Referring to FIG. 5D, the external device 310 and the external device 320 are separately mounted on the solder layer 400 above the pad 166a. In this embodiment, external devices 310 and 320 are passive devices. Passive devices include inductors, capacitors, and integrated passive devices. In the present disclosure, external devices 310 and 320 are two different passive devices. For example, the external device 310 may be a capacitor, while the external device 320 may be an inductor. Alternatively, the external device 310 may be an integrated passive device, while the external device 320 may be an inductor.
The dimensions of external devices 310 and 320 may be selected from industry standard dimension 1210, dimension 0603, dimension 0402, or dimension 0201, where dimension 0201 represents 0.02 inch x 0.01 inch, dimension 1210, dimension 0603, And the dimension 0402 can be estimated by the same standard. In general, external devices 310 and 320 can be between 0.2 mm and 5 mm in length, between 0.1 mm and 4 mm in width, and between 0.01 mm and 2 mm in height.

実施形態4
図6を参照すると、この実施形態によって明らかにされる半導体チップ構造において、パシベーション層160上に、第1ポリマー層200を任意に形成することができる。第1ポリマー層200は、所望の厚さ、例えば、約3マイクロメートルおよび約25マイクロメートルの間の厚さを有することができる。このポリマー層は、ポリイミド(PI)、ベンゾシクロブテン(BCB)、パリレン、エポキシ樹脂、エラストマー、および/または多孔性誘電体材料というような、1つ以上の適した材料によって作ることができる。以下に、実施形態4の構造の形成について説明する。
実施形態4の製造方法:
図6Aを参照すると、パシベーション層160の下にある種々の構造を表すために、集積回路20が用いられている。集積回路20は、基板100、デバイス110、112、114、第1誘電体層150、金属層140、第2誘電体層155、金属コンタクト120、および金属ビア130(図6には示されていない)を含み、パシベーション層160は、多数のパッド166を暴露する多数の開口165を有する。
Embodiment 4
Referring to FIG. 6, the first polymer layer 200 can optionally be formed on the passivation layer 160 in the semiconductor chip structure revealed by this embodiment. The first polymer layer 200 can have a desired thickness, for example, a thickness between about 3 micrometers and about 25 micrometers. The polymer layer can be made of one or more suitable materials, such as polyimide (PI), benzocyclobutene (BCB), parylene, epoxy resin, elastomer, and / or porous dielectric material. Hereinafter, the formation of the structure of the fourth embodiment will be described.
Manufacturing method of Embodiment 4:
Referring to FIG. 6A, an integrated circuit 20 is used to represent various structures under the passivation layer 160. Integrated circuit 20 includes substrate 100, devices 110, 112, 114, first dielectric layer 150, metal layer 140, second dielectric layer 155, metal contact 120, and metal via 130 (not shown in FIG. 6). The passivation layer 160 has a number of openings 165 that expose a number of pads 166.

図6Bを参照すると、所望の厚さ、例えば、約3マイクロメートルおよび約25マイクロメートルの間の厚さを有する感光性ポリマー層200を、パシベーション層160上に形成することができる。スピン・コーティング、露光および現像、ならびにOプラズマ・アッシュまたはエッチングによって、ポリマー層200にパターニングを行い、ポリマー層200に多くの開口200aを形成する。これらの開口200aは、パッド166を暴露する。次いで、例えば、約150および390度Cの間の温度にポリマー層200を加熱して(heat)、ポリマー層200が硬化する(harden)ように、ポリマー層200を熱処理する(cure)。ポリマー層200の材料例は、以下の中から選択することができる。ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリベンゾオキサゾール(PBO)、パリレン、エポキシ樹脂またはスイス国RenensのSotec Microsystemsによって提供されるフォトエポキシSU−8のようなエポキシ系材料、あるいは、シリコーン、またはAsahi glass Co.によって提供されるAL−2000のようなエラストマー。あるいは、ポリマー層200は、硬化温度が約130および約200度Cの間、または好ましくは150および190度Cの間であるフッ素含有ポリマーであってもよい。 Referring to FIG. 6B, a photosensitive polymer layer 200 having a desired thickness, for example, between about 3 micrometers and about 25 micrometers, can be formed on the passivation layer 160. The polymer layer 200 is patterned by spin coating, exposure and development, and O 2 plasma ash or etching to form many openings 200a in the polymer layer 200. These openings 200a expose the pads 166. The polymer layer 200 is then heated, for example, to heat the polymer layer 200 to a temperature between about 150 and 390 degrees C. so that the polymer layer 200 hardens. The material example of the polymer layer 200 can be selected from the following. Polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy resin or an epoxy-based material such as photoepoxy SU-8 provided by Sotec Microsystems of Renens, Switzerland, or silicone, Or an elastomer such as AL-2000 provided by Asahi glass Co. Alternatively, the polymer layer 200 may be a fluorine-containing polymer having a cure temperature between about 130 and about 200 degrees C, or preferably between 150 and 190 degrees C.

実施形態例では、ポリマー層200は、所望の厚さ、例えば、約6および約50マイクロメートルの厚さを有するネガティブ型感光性ポリイミド層を、パシベーション層160およびコンタクト・パッド166上にスピンオン・コーティングすることによって、形成することができる。次いで、スピンオン・コーティングしたポリイミド層をベーキングし、露光することができる。ベーキングしたポリイミド層の露光は、実施形態例では、水銀蒸気灯からの以下のラインの内少なくとも2つを有する、1Xステッパまたは1Xコンタクト・アライナを用いることによって行われる。434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線。次に、ベーキングしたポリイミド層に、所望の波長、例えば、g線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明によって、照明することができる。露光したポリイミド層を現像して、コンタクト・パッド166を露出させる多数の開口を形成することができる。次に、現像したポリイミド層を、例えば、130および400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。熱処理したポリイミド層は、実施形態例では、約3および約25マイクロメートルの間の厚さを有することができる。次に、例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をコンタクト・パッド166から除去することができる。その結果、パシベーション層160の上にポリマー層200を形成することができ、ポリマー層200に形成された開口200aがコンタクト・パッド166を露出させる。 In the example embodiment, the polymer layer 200 is formed by applying a negative photosensitive polyimide layer having a desired thickness, eg, about 6 and about 50 micrometers, onto the passivation layer 160 and the contact pad 166 by spin-on coating. By doing so, it can be formed. The spin-on coated polyimide layer can then be baked and exposed. Exposure of the baked polyimide layer is done in the example embodiment by using a 1X stepper or 1X contact aligner having at least two of the following lines from the mercury vapor lamp. G-line having a wavelength in the range of 434 to 438 nm, h-line having a wavelength in the range of 403 to 407 nm, and i-line having a wavelength in the range of 363 to 367 nm. Next, illuminate the baked polyimide layer with illumination of the desired wavelength, e.g. g-line and h-line, g-line and i-line, h-line and i-line, or g-line, h-line, and i-line. Can do. The exposed polyimide layer can be developed to form a number of openings that expose the contact pads 166. The developed polyimide layer can then be heated or heat treated in a nitrogen or oxygen-free atmosphere, for example, at a temperature between 130 and 400 ° C. for a time between 20 and 150 minutes. The heat-treated polyimide layer can have a thickness between about 3 and about 25 micrometers in example embodiments. Residual polymer material or other contaminants can then be removed from contact pad 166, for example, with an O 2 plasma or a plasma containing less than 200 PPM fluorine and oxygen. As a result, the polymer layer 200 can be formed on the passivation layer 160, and the opening 200 a formed in the polymer layer 200 exposes the contact pad 166.

例えば、現像したポリイミド層は、180および250°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。あるいは、現像したポリイミド層は、250および290°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。あるいは、現像したポリイミド層は、290および400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。あるいは、現像したポリイミド層は、200および390°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。あるいは、現像したポリイミド層は、130および220°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。   For example, the developed polyimide layer can be heated or heat treated in a nitrogen or oxygen-free atmosphere at a temperature between 180 and 250 ° C. for a period of 20 and 150 minutes. Alternatively, the developed polyimide layer can be heated or heat treated in a nitrogen or oxygen-free atmosphere at a temperature between 250 and 290 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heated or heat treated in a nitrogen or oxygen-free atmosphere at a temperature between 290 and 400 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heated or heat treated in a nitrogen or oxygen-free atmosphere at a temperature between 200 and 390 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heated or heat treated in a nitrogen or oxygen-free atmosphere at a temperature between 130 and 220 ° C. for a time between 20 and 150 minutes.

他の実施形態では、ポリマー層200は、約3および約25マイクロメートルの間の厚さを有するポジティブ型感光性ポリベンゾオキサゾール層を、パシベーション層160およびコンタクト・パッド166上にスピンオン・コーティングすることによって形成することができる。スピンオン・コーティングしたポリベンゾオキサゾール層は、次いで、ベーキングし露光することができる。ベーキングしたポリベンゾオキサゾール層を露光するには、434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。続くベーキングしたポリベンゾオキサゾール層の照明は、gおよびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を含むことができる。次に、露光したポリベンゾオキサゾール層を現像して、コンタクト・パッド166を露出させる多数の開口を形成することができる。次に、現像したポリベンゾオキサゾール層を、例えば、約150および約250°Cの間の温度、好ましくは180および250°Cの間、または200および300°Cの間、好ましくは250および350°Cの間の温度で、約5および180分の間の時間、好ましくは、30および120分の間の時間、窒素雰囲気または無酸素雰囲気において加熱即ち熱処理することができる。熱処理したポリベンゾオキサゾール層は、約3および約25μmの間の厚さを有することが好ましい。例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をコンタクト・パッド166から除去することができる。その結果、パシベーション層160の上にポリマー層200を形成することができ、コンタクト・パッド166を露出させる開口200aをポリマー層200に形成することができる。 In other embodiments, the polymer layer 200 is spin-on coated with a positive photosensitive polybenzoxazole layer having a thickness between about 3 and about 25 micrometers on the passivation layer 160 and the contact pad 166. Can be formed. The spin-on coated polybenzoxazole layer can then be baked and exposed. For exposing the baked polybenzoxazole layer, the g-line has a wavelength in the range of 434 to 438 nm, the h-line has a wavelength in the range of 403 to 407 nm, and the i-line has a wavelength in the range of 363 to 367 nm. It may include using a 1X stepper or 1X contact aligner with at least two. Subsequent illumination of the baked polybenzoxazole layer can include g and h line, g and i line, h and i line, or g, h and i line illumination. The exposed polybenzoxazole layer can then be developed to form a number of openings that expose the contact pads 166. The developed polybenzoxazole layer is then subjected to, for example, a temperature between about 150 and about 250 ° C, preferably between 180 and 250 ° C, or between 200 and 300 ° C, preferably 250 and 350 °. Heating or heat treatment can be performed in a nitrogen or oxygen-free atmosphere at a temperature between C for a time between about 5 and 180 minutes, preferably between 30 and 120 minutes. The heat treated polybenzoxazole layer preferably has a thickness between about 3 and about 25 μm. For example, residual polymer material or other contaminants can be removed from contact pad 166 by O 2 plasma or plasma containing less than 200 PPM fluorine and oxygen. As a result, the polymer layer 200 can be formed on the passivation layer 160, and the opening 200a exposing the contact pad 166 can be formed in the polymer layer 200.

図6Cを参照すると、スパッタリング法によって、ポリマー層200およびパッド166上に接着/バリア層48を形成することができる。接着/バリア層48の厚さは、好ましくは、約0.1マイクロメートルおよび約1マイクロメートルの間であり、最適な厚さは0.2マイクロメートルおよび0.5マイクロメートルの間である。接着/バリア層48の材料は、Ti、TiW、TiN、Ta、TaN、または以上の材料の複合物とすることができる。   Referring to FIG. 6C, the adhesion / barrier layer 48 can be formed on the polymer layer 200 and the pad 166 by a sputtering method. The thickness of the adhesion / barrier layer 48 is preferably between about 0.1 micrometers and about 1 micrometer with the optimum thickness being between 0.2 micrometers and 0.5 micrometers. The material of the adhesion / barrier layer 48 can be Ti, TiW, TiN, Ta, TaN, or a composite of the above materials.

図6Dを参照すると、シード層50が示されている。シード層は、適した厚さ、例えば、約0.05マイクロメートルおよび約1マイクロメートルの間の厚さ(好ましい厚さは、0.08マイクロメートルおよび0.5マイクロメートルの間である)を有することができる。シード層(see layer)50層は、接着/バリア層48の上に形成される。この実施形態では、シード層50の材料は、金(Au)であることが好ましいが、先のシード層170の説明におけるように、シード層50の材料は、後にその上に形成される金属層の材料に応じて、変化することができる。   Referring to FIG. 6D, a seed layer 50 is shown. The seed layer is of a suitable thickness, for example, between about 0.05 micrometers and about 1 micrometer (preferred thickness is between 0.08 micrometers and 0.5 micrometers). Can have. A seed layer 50 layer is formed over the adhesion / barrier layer 48. In this embodiment, the material of the seed layer 50 is preferably gold (Au), but the material of the seed layer 50 is a metal layer to be formed later, as in the description of the seed layer 170 above. Depending on the material, it can vary.

図6Eを参照すると、シード層50上にフォトレジスト層52を形成し、スピン・コーティング、露光、および現像によって、パターン化フォトレジスト層52を形成する。パッド166上のシード層50を暴露する多数のフォトレジスト開口52aが、フォトレジスト層52上にある。   Referring to FIG. 6E, a photoresist layer 52 is formed on the seed layer 50, and a patterned photoresist layer 52 is formed by spin coating, exposure, and development. There are a number of photoresist openings 52 a on the photoresist layer 52 that expose the seed layer 50 on the pad 166.

図6Fを参照すると、電気めっき、無電解めっき、スパッタリング、またはCVD法によって、フォトレジスト層開口52a内において、シード層50上に金属層220を形成する。金属層220の材料は、アルミニウム、金、銅、銀、パラジウム、プラチナ、ロジウム、ルテニウム、レニウム、またはニッケルを含み、単一金属層構造または多重金属層構造を有することができる。金属層42の厚さは、約2マイクロメートルおよび約25マイクロメートルの間とすることができ、最適な好ましい厚さは、3マイクロメートルおよび10マイクロメートルの間である。金属層220の構造は、多重金属層構造として形成される場合、Cu/Ni/Au、Cu/Au、Cu/Ni/Pd、およびCu/Ni/Ptのような組み合わせを含むことができる。この実施形態では、金属層220は単一金層であることが好ましい。   Referring to FIG. 6F, a metal layer 220 is formed on the seed layer 50 in the photoresist layer opening 52a by electroplating, electroless plating, sputtering, or CVD. The material of the metal layer 220 includes aluminum, gold, copper, silver, palladium, platinum, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or a multiple metal layer structure. The thickness of the metal layer 42 can be between about 2 micrometers and about 25 micrometers, with an optimal preferred thickness being between 3 micrometers and 10 micrometers. The structure of the metal layer 220 can include combinations such as Cu / Ni / Au, Cu / Au, Cu / Ni / Pd, and Cu / Ni / Pt when formed as a multiple metal layer structure. In this embodiment, the metal layer 220 is preferably a single gold layer.

図6Gを参照すると、パターン化フォトレジスト層52、ならびに金属層220の下ではないシード層50および接着/バリア層48の部分に、除去プロセスを適用することができる。シード層50が金で作られている場合、IおよびKIの溶液を用いることによって、シード層50を除去することができる。一方、接着/バリア層48の材料がTiWである場合、過酸化水素(H)を用いて接着/バリア層48を除去することができる。金属層220の下にあるシード層50および接着/バリア層48の部分は、図6における参照番号210に対応する。 Referring to FIG. 6G, the removal process can be applied to the patterned photoresist layer 52 and portions of the seed layer 50 and adhesion / barrier layer 48 that are not under the metal layer 220. If the seed layer 50 is made of gold, the seed layer 50 can be removed by using a solution of I 2 and KI. On the other hand, when the material of the adhesion / barrier layer 48 is TiW, the adhesion / barrier layer 48 can be removed using hydrogen peroxide (H 2 O 2 ). The portions of seed layer 50 and adhesion / barrier layer 48 that underlie metal layer 220 correspond to reference numeral 210 in FIG.

図6Hを参照すると、所望の厚さ、例えば、約3マイクロメートルおよび約25マイクロメートルの間の厚さを有する感光性ポリマー層230を形成することができる。スピン・コーティング、露光、現像、およびOプラズマ・アッシュまたはエッチングによって、ポリマー層230に多くの開口240aを形成することができる。これらの開口240aは、金属層220を露出させる。次に、ポリマー層230を加熱および熱処理することができる。適した熱処理プロセスは、摂氏約150および約380度の間の温度で進めることができる。ポリマー層230の材料は、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリベンゾオキサゾール(PBO)、パリレン、エポキシ樹脂またはスイス国RenensのSotec Microsystemsによって提供されるフォトエポキシSU−8のようなエポキシ系材料、あるいは、シリコーン、またはAsahi glass Co.によって提供されるAL−2000のようなエラストマーから選択することができる。あるいは、ポリマー層230は、硬化温度が約130および約200度Cの間、または好ましくは150および190度Cの間であるフッ素含有ポリマーであってもよい。 Referring to FIG. 6H, a photosensitive polymer layer 230 can be formed having a desired thickness, eg, between about 3 micrometers and about 25 micrometers. Many openings 240a can be formed in the polymer layer 230 by spin coating, exposure, development, and O 2 plasma ash or etching. These openings 240a expose the metal layer 220. The polymer layer 230 can then be heated and heat treated. A suitable heat treatment process can proceed at a temperature between about 150 and about 380 degrees Celsius. The material of the polymer layer 230 is polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy resin or epoxy such as photoepoxy SU-8 provided by Sotec Microsystems of Renens, Switzerland. The material can be selected from silicones or elastomers such as AL-2000 provided by Asahi glass Co. Alternatively, the polymer layer 230 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C, or preferably between 150 and 190 degrees C.

ポリマー層230は、6および約50マイクロメートルの厚さを有するネガティブ型感光性ポリイミド層を、ポリマー層200および金属層220上にスピンオン・コーティングすることによって、形成することができる。次いで、スピンオン・コーティングしたポリイミド層をベーキングし、露光することができる。ベーキングしたポリイミド層を露光するには、434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する、1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。次に、ベーキングしたポリイミド層を照明することができる。ベーキングしたポリイミド層を照明するには、ベーキングしたポリイミド層のg線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を含むことができる。次に、露光したポリイミド層を現像して、金属層220を露出させる多数の開口を形成することができる。次に、現像したポリイミド層を、例えば、約130°Cおよび約400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。熱処理したポリイミド層は、実施形態例では、約3および約25マイクロメートルの間の厚さを有することができる。次に、例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物を、露出された金属層220から除去することができる。 The polymer layer 230 can be formed by spin-on coating a negative photosensitive polyimide layer having a thickness of 6 and about 50 micrometers on the polymer layer 200 and the metal layer 220. The spin-on coated polyimide layer can then be baked and exposed. To expose the baked polyimide layer, at least two of g-line having a wavelength in the range of 434 to 438 nm, h-line having a wavelength in the range of 403 to 407 nm, and i-line having a wavelength in the range of 363 to 367 nm. Using a 1X stepper or 1X contact aligner with two. The baked polyimide layer can then be illuminated. Illuminating the baked polyimide layer can include g-line and h-line, g-line and i-line, h-line and i-line, or g-line, h-line, and i-line illumination of the baked polyimide layer. . The exposed polyimide layer can then be developed to form a number of openings that expose the metal layer 220. The developed polyimide layer can then be heated or heat treated, for example, at a temperature between about 130 ° C. and about 400 ° C. for a time between 20 and 150 minutes in a nitrogen or oxygen-free atmosphere. The heat-treated polyimide layer can have a thickness between about 3 and about 25 micrometers in example embodiments. The remaining polymer material or other contaminants can then be removed from the exposed metal layer 220, for example, by an O 2 plasma or a plasma containing less than 200 PPM fluorine and oxygen.

ポリマー層230は、ポリマー層200および金属層220上に形成することができ、ポリマー層230に形成された開口240aは、金属層220を露出させることができる。例えば、現像したポリイミド層は、180および250°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。あるいは、現像したポリイミド層は、250および290°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理(cure)即ち加熱(heat)することができる。あるいは、現像したポリイミド層は、290および400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、200および390°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、130および220°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。   The polymer layer 230 can be formed on the polymer layer 200 and the metal layer 220, and the opening 240 a formed in the polymer layer 230 can expose the metal layer 220. For example, the developed polyimide layer can be heated or heat treated in a nitrogen or oxygen-free atmosphere at a temperature between 180 and 250 ° C. for a period of 20 and 150 minutes. Alternatively, the developed polyimide layer can be cured or heated in a nitrogen or oxygen-free atmosphere at a temperature between 250 and 290 ° C. for a period of 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 290 and 400 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 200 and 390 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 130 and 220 ° C. for a time between 20 and 150 minutes.

ポリマー層230は、3および25マイクロメートルの間の厚さを有するポジティブ型感光性ポリベンゾオキサゾール層を、ポリマー層200および金属層220上にスピンオン・コーティングすることによって形成することができる。スピンオン・コーティングしたポリベンゾオキサゾール層は、次いで、ベーキングし続いて露光することができる。ベーキングしたポリベンゾオキサゾール層を露光するには、434から438nmの範囲の波長を有するg、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。次いで、ベーキングした層を照明することができる。ベーキングしたポリベンゾオキサゾール層を照明するには、g線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を含むことができる。次に、露光したポリベンゾオキサゾール層を現像して、金属層220を露出させる多数の開口を形成することができる。次に、現像したポリベンゾオキサゾール層の熱処理即ち加熱を、例えば、約150および約250°Cの間の温度、好ましくは180および250°Cの間、または約200および約400°Cの間、好ましくは250および350°Cの間の温度で、約5および約180分の間の時間、好ましくは、30および120分の間の時間、窒素雰囲気または無酸素雰囲気において、行うことができる。実施形態例では、熱処理したポリベンゾオキサゾール層は、約3および約25μmの間の厚さを有することができる。適したプロセスによって、残余のポリマー材または他の汚染物を、露出された金属層220から除去することができる。例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマを用いる。その結果、金属層220およびポリマー層200の上にポリマー層230を形成することができ、金属層220を露出させる開口240aをポリマー層230に形成することができる。 The polymer layer 230 can be formed by spin-on coating a positive photosensitive polybenzoxazole layer having a thickness between 3 and 25 micrometers on the polymer layer 200 and the metal layer 220. The spin-on coated polybenzoxazole layer can then be baked and subsequently exposed. To expose the baked polybenzoxazole layer, at least of g having a wavelength in the range of 434 to 438 nm, h-line having a wavelength in the range of 403 to 407 nm, and i-line having a wavelength in the range of 363 to 367 nm. Using a 2X 1X stepper or 1X contact aligner can be included. The baked layer can then be illuminated. Illuminating the baked polybenzoxazole layer can include g-line and h-line, g-line and i-line, h-line and i-line, or g-line, h-line, and i-line illuminations. The exposed polybenzoxazole layer can then be developed to form a number of openings that expose the metal layer 220. Next, a heat treatment or heating of the developed polybenzoxazole layer is performed, for example, at a temperature between about 150 and about 250 ° C, preferably between 180 and 250 ° C, or between about 200 and about 400 ° C. It can be carried out at a temperature preferably between 250 and 350 ° C. for a time between about 5 and about 180 minutes, preferably between 30 and 120 minutes in a nitrogen or oxygen-free atmosphere. In example embodiments, the heat-treated polybenzoxazole layer can have a thickness between about 3 and about 25 μm. Residual polymeric material or other contaminants can be removed from the exposed metal layer 220 by a suitable process. For example, O 2 plasma or plasma containing less than 200 PPM fluorine and oxygen is used. As a result, the polymer layer 230 can be formed on the metal layer 220 and the polymer layer 200, and the opening 240a exposing the metal layer 220 can be formed in the polymer layer 230.

更に図6Hを参照すると、開口240aによって暴露された金属層220は、多数のパッド220a、および1つのワイヤ・ボンディング・パッド220bを形成することができる。パッド220aは、外部デバイス310および外部デバイス320に接続するために用いることができ、ワイヤ・ボンディング・パッド220bは、ワイヤ結束方法によって形成されたワイヤを通じて、外部回路または電源に接続することができる。この実施形態では、外部デバイス310および320は、受動型デバイスとするとよい。受動型デバイスには、インダクタ、キャパシタ、および集積受動型デバイスが含まれる。本開示では、外部デバイス310および320は2つの異なる受動型デバイスである。例えば、外部デバイス310はキャパシタとしてもよく、一方外部デバイス320はインダクタとしてもよい。または、外部デバイス310が集積受動型デバイスであってもよく、一方外部デバイス320がインダクタであってもよい。外部デバイス310および320の寸法は、業界標準の寸法1210、寸法0603、寸法0402、または寸法0201から選択するとよく、寸法0201は0.02インチ×0.01インチを表し、寸法1210、寸法0603、および寸法0402は、同じ規格によって推定することができる。一般に、外部デバイス310および320は、長さが0.2mmおよび5mmの間、幅が0.1mmおよび4mmの間、そして高さが0.01mmおよび2mmの間とすることができる。   Still referring to FIG. 6H, the metal layer 220 exposed by the opening 240a can form a number of pads 220a and a single wire bonding pad 220b. The pad 220a can be used to connect to the external device 310 and the external device 320, and the wire bonding pad 220b can be connected to an external circuit or a power source through a wire formed by a wire binding method. In this embodiment, external devices 310 and 320 may be passive devices. Passive devices include inductors, capacitors, and integrated passive devices. In the present disclosure, external devices 310 and 320 are two different passive devices. For example, the external device 310 may be a capacitor, while the external device 320 may be an inductor. Alternatively, the external device 310 may be an integrated passive device, while the external device 320 may be an inductor. The dimensions of external devices 310 and 320 may be selected from industry standard dimension 1210, dimension 0603, dimension 0402, or dimension 0201, where dimension 0201 represents 0.02 inch x 0.01 inch, dimension 1210, dimension 0603, And the dimension 0402 can be estimated by the same standard. In general, external devices 310 and 320 can be between 0.2 mm and 5 mm in length, between 0.1 mm and 4 mm in width, and between 0.01 mm and 2 mm in height.

図6Iを参照すると、外部デバイス310および外部デバイス320をパッド220aに別個に接続することができる。外部デバイス310および320は、厚さが30マイクロメートルおよび350マイクロメートルの間であるはんだ層400を含むことができ、Sn/Ag、Sn/Cu/Ag、Sn/Au合金、または他の関係する材料というような材料で作ることができる。はんだ層400は、外部デバイスに含ませる代わりに、スクリーン・プリンティング・プロセスで設けることができる。外部デバイス310および320は、表面実装技術を用いることによって、はんだ層400を介してパッド220aに接続することができる。   Referring to FIG. 6I, external device 310 and external device 320 may be separately connected to pad 220a. External devices 310 and 320 can include a solder layer 400 having a thickness between 30 and 350 micrometers, Sn / Ag, Sn / Cu / Ag, Sn / Au alloy, or other related It can be made of materials such as materials. The solder layer 400 can be provided by a screen printing process instead of being included in an external device. External devices 310 and 320 can be connected to pads 220a through solder layer 400 by using surface mount technology.

次のステップは、ダイシング手順を含むことができ、基板100を鋸引きして多数のチップを得る。次いで、ワイヤ・ボンディング・パッド220b上に、ワイヤ・ボンディングによってワイヤ56を形成し、ワイヤ・ボンディング・パッド220bを外部回路または電源に接続するために、ワイヤ56が用いられる。ワイヤ56は、銅または金で形成することができる。例えば、銅または金のワイヤは、ワイヤ・ボンディング技法によって、ワイヤ・ボンディング・パッド220bに接続することができる。この場合、ワイヤ・ボンディング・パッド220bは、銅パッド、アルミニウム・パッド、アルミニウム・キャップ、またはニッケル・キャップである。また、外部デバイス310および320は、ダイシング手順が基板100に対して行われた後に、表面実装技術を用いることによって、実装することができる。
実施形態5
図7Aを参照すると、前述した4つの実施形態における回路構造のパッド金属166は、アルミニウムで作ることができる。本開示の第5実施形態(「実施形態5」)では、パッド金属166を銅で作ることができる。パッド金属166が銅で作られるとき、パシベーション層160の開口によって暴露されるパッド166を保護して、パッド166が酸化によって損傷を受けず、後にワイヤ・ボンディングやフリップ・チップのようなプロセスにおいて持ちこたえることができるように、金属キャップ層170を含ませることが望ましい。金属キャップ層170は、アルミニウム−銅層、金層、チタン(Ti)層、チタン・タングステン合金層、タンタル(Ta)層、窒化タンタル(TaN)層、またはニッケル(Ni)層とすることができる。金属キャップがアルミニウム−銅層である場合、バリア層(図には示されていない)を銅パッド166と金属キャップ層170との間に形成することができる。実施形態例では、このバリア層は、チタン、チタン・タングステン合金、窒化チタン、タンタル、窒化タンタル、クロム、またはニッケルとすることができる。
図7Aにおけるアンダー・バンプ金属構造の製造および外部デバイスの実装は、実施形態4について説明したものと同一とすることができる。
The next step can include a dicing procedure where the substrate 100 is sawed to obtain multiple chips. Next, the wire 56 is formed on the wire bonding pad 220b by wire bonding, and the wire 56 is used to connect the wire bonding pad 220b to an external circuit or a power source. The wire 56 can be formed of copper or gold. For example, a copper or gold wire can be connected to the wire bonding pad 220b by wire bonding techniques. In this case, the wire bonding pad 220b is a copper pad, an aluminum pad, an aluminum cap, or a nickel cap. Further, the external devices 310 and 320 can be mounted by using a surface mounting technique after the dicing procedure is performed on the substrate 100.
Embodiment 5
Referring to FIG. 7A, the pad metal 166 of the circuit structure in the four embodiments described above can be made of aluminum. In the fifth embodiment of the present disclosure (“Embodiment 5”), the pad metal 166 can be made of copper. When the pad metal 166 is made of copper, it protects the pad 166 exposed by the opening in the passivation layer 160 so that the pad 166 is not damaged by oxidation and later withstands in processes such as wire bonding and flip chip. It may be desirable to include a metal cap layer 170 so that the The metal cap layer 170 can be an aluminum-copper layer, a gold layer, a titanium (Ti) layer, a titanium-tungsten alloy layer, a tantalum (Ta) layer, a tantalum nitride (TaN) layer, or a nickel (Ni) layer. . If the metal cap is an aluminum-copper layer, a barrier layer (not shown) can be formed between the copper pad 166 and the metal cap layer 170. In example embodiments, the barrier layer can be titanium, titanium-tungsten alloy, titanium nitride, tantalum, tantalum nitride, chromium, or nickel.
The fabrication of the under bump metal structure and the mounting of the external device in FIG. 7A can be the same as described for the fourth embodiment.

実施形態6
図7Bを参照すると、本開示の第6実施形態(「実施形態6」)が示されている。実施形態6と第1ないし第5実施形態との間の相違は、外部デバイスが1つの外部デバイス330に統合されたことである。例えば、外部デバイス330は、キャパシタおよびインダクタの集積受動型デバイスとすることができる。外部デバイス330を除いて、製造プロセスおよび材料は全て第1ないし第5実施形態のそれらと同一である。したがって、同一デバイスの製造プロセスおよび材料については、繰り返さないこととする。
Embodiment 6
Referring to FIG. 7B, a sixth embodiment (“Embodiment 6”) of the present disclosure is shown. The difference between the sixth embodiment and the first to fifth embodiments is that the external device is integrated into one external device 330. For example, the external device 330 may be a capacitor and inductor integrated passive device. Except for the external device 330, the manufacturing process and materials are all the same as those of the first to fifth embodiments. Therefore, the manufacturing process and materials for the same device will not be repeated.

これまでの6つの実施形態において説明した半導体チップ構造は全て、図8から図11に示すような、ボール・グリッド・アレイ(BGA)にパッケージ化することができる。図8から図11は、1つの半導体デバイスのみを有する半導体チップ・パッケージ構造のパッケージング構造を明らかにする。   All of the semiconductor chip structures described in the previous six embodiments can be packaged in a ball grid array (BGA) as shown in FIGS. 8 to 11 reveal the packaging structure of a semiconductor chip package structure having only one semiconductor device.

図8は、本開示、例えば、図3に示した実施形態1、図4に示した実施形態2、図6に示した実施形態4、および/または図7Aに示した実施形態5のチップ構造を収容するまたはパッケージ化するのに有用なパッケージング構造を示す。図8のパッケージング構造は、ワイヤ510を通じてBGA基板500に電気的に接続されている集積回路20(100)を含む。また、図に示すように、前述したチップ・デバイスは、成形材料520によって封止することができる。図示するBGA基板500は、多数のはんだボール530を有し、これらのはんだボール530を通じて外部回路に電気的に接続される。   8 shows the chip structure of the present disclosure, for example, the first embodiment shown in FIG. 3, the second embodiment shown in FIG. 4, the fourth embodiment shown in FIG. 6, and / or the fifth embodiment shown in FIG. 7A. A packaging structure useful for housing or packaging is shown. The packaging structure of FIG. 8 includes an integrated circuit 20 (100) that is electrically connected to the BGA substrate 500 through wires 510. Further, as shown in the figure, the above-described chip device can be sealed with a molding material 520. The illustrated BGA substrate 500 has a large number of solder balls 530, and is electrically connected to an external circuit through these solder balls 530.

図9は、図5に示した実施形態3によるチップ・パッケージを保持またはパッケージ化するのに有用な、パッケージング構造の別の実施形態を示す。集積回路20(100)は、はんだ層400aを通じてBGA基板500に電気的に接続されている。次いで、前述のデバイスは、成型材料520によって封止され、BGA基板500は、はんだボール530を通じて外部回路に電気的に接続される。成形材料520は、エポキシ樹脂またはポリイミド複合物のようなポリマーとすることができる。   FIG. 9 shows another embodiment of a packaging structure useful for holding or packaging a chip package according to embodiment 3 shown in FIG. The integrated circuit 20 (100) is electrically connected to the BGA substrate 500 through the solder layer 400a. Then, the aforementioned device is sealed with a molding material 520, and the BGA substrate 500 is electrically connected to an external circuit through a solder ball 530. Molding material 520 can be a polymer such as an epoxy resin or a polyimide composite.

図10および図11において、図8および図9における外部デバイス310および320は、集積受動型デバイス330(実施形態6におけるような)によって置き換えられている。図10では、集積回路20(100)はワイヤ510を通じてBGA基板500に電気的に接続されており、図11では、集積回路20(100)ははんだ層400aを通じてBGA基板500に電気的に接続されている。   In FIGS. 10 and 11, the external devices 310 and 320 in FIGS. 8 and 9 have been replaced by integrated passive devices 330 (as in Embodiment 6). In FIG. 10, the integrated circuit 20 (100) is electrically connected to the BGA substrate 500 through the wire 510, and in FIG. 11, the integrated circuit 20 (100) is electrically connected to the BGA substrate 500 through the solder layer 400a. ing.

前述したBGAパッケージング構造以外にも、本開示は、薄型スモール・アウトライン・パッケージ(「TSOP」)、スモール・アウトラインJ−リード(「SOJ」)、クアッド・フラット・パッケージ(「QFP」)、薄型クアッド・フラット・パッケージ(「TQFP」)、または他の広く一般的なリード・フレーム・パッケージング形態というような、共通のパッケージング・フォーマットに対応または適応することができる。図12Aから図12Fおよび図13Aから図13Fに示すように、集積回路20(100)は、リード・フレーム600上に構成することができる。リード・フレーム600は、銅または銅合金で作ることができ、約100マイクロメートルおよび約2000マイクロメートルの間の厚さを有することができる。   In addition to the BGA packaging structure described above, the present disclosure includes a thin small outline package (“TSOP”), a small outline J-lead (“SOJ”), a quad flat package (“QFP”), a thin Common packaging formats such as quad flat package ("TQFP") or other widely popular lead frame packaging configurations can be accommodated or adapted. As shown in FIGS. 12A-12F and 13A-13F, the integrated circuit 20 (100) may be configured on a lead frame 600. FIG. The lead frame 600 can be made of copper or a copper alloy and can have a thickness between about 100 micrometers and about 2000 micrometers.

図12Aから図12Cは、図3の実施形態1、図4の実施形態2、図5の実施形態4、および図6Aの実施形態5によるチップ構造をパッケージ化するのに適したパッケージング構造を示す。図12Aから図12Cに示すように、集積回路20(100)がワイヤ610を通じてリード・フレーム600に電気的に接続されている。次いで、前述したデバイスが、成形材料620によって封止されるが、リード・フレーム600のリードを露出させる。次いで、これらのリードは外部回路に接続される。   12A to 12C show packaging structures suitable for packaging the chip structure according to Embodiment 1 of FIG. 3, Embodiment 2 of FIG. 4, Embodiment 4 of FIG. 5, and Embodiment 5 of FIG. 6A. Show. As shown in FIGS. 12A to 12C, the integrated circuit 20 (100) is electrically connected to the lead frame 600 through the wire 610. The device described above is then encapsulated with the molding material 620, exposing the leads of the lead frame 600. These leads are then connected to external circuitry.

図12Dから図12Fでは、図12Aから図12Cにおける外部デバイス310および320が、集積デバイス330によって置き換えられる(実施形態6におけるように)。
図13Aから図13Cには、図5の実施形態3の他のパッケージング構造が示されている。集積回路20(100)は、はんだ層400bを通じてリード・フレーム600に電気的に接続されており、次いで、前述したデバイスは成形材料620によって封止されるが、リード・フレーム600のリードを露出させる。次いで、これらのリードは外部回路に接続される。成形材料620は、エポキシ樹脂またはポリイミド複合物のようなポリマーである。
12D-12F, external devices 310 and 320 in FIGS. 12A-12C are replaced by integrated device 330 (as in embodiment 6).
13A to 13C show another packaging structure of the third embodiment shown in FIG. The integrated circuit 20 (100) is electrically connected to the lead frame 600 through the solder layer 400b, and the aforementioned device is then sealed by the molding material 620, but exposing the leads of the lead frame 600. . These leads are then connected to external circuitry. The molding material 620 is a polymer such as an epoxy resin or a polyimide composite.

図13Dから図13Fにおいて、図14Aから図14Cにおける外部デバイス310および320が、集積デバイス330によって置き換えられる(実施形態6におけるように)。   In FIGS. 13D-13F, external devices 310 and 320 in FIGS. 14A-14C are replaced by integrated device 330 (as in embodiment 6).

この時点までの説明は、半導体チップ構造についてであった。以下に、半導体チップ構造に対応する応用回路の記載および説明を行う。応用回路は、内部回路、外部回路、および金属接続を含み、これらは1つの半導体チップ上に全て集積されている。   The description up to this point was about the semiconductor chip structure. The application circuit corresponding to the semiconductor chip structure will be described and explained below. Application circuits include internal circuits, external circuits, and metal connections, all of which are integrated on a single semiconductor chip.

図14において、簡略化した等価回路が示されている。電圧フィードバック・デバイス1112、ならびにスイッチ・コントローラ1114aおよびスイッチMOS1114b、1114eを含むスイッチ回路が図14に示されている。インダクタ1320およびキャパシタ1310も図14に示されており、インダクタ1320およびキャパシタ1310が接続されており、電圧フィードバック・デバイス1112が、インダクタ1320とキャパシタ1310との間に電気的に接続されている。この電圧フィードバック・デバイス1112は、インダクタ1320とキャパシタ1310との間で電圧信号をフィードバックすることができる。   In FIG. 14, a simplified equivalent circuit is shown. A voltage feedback device 1112 and a switch circuit including a switch controller 1114a and switch MOSs 1114b, 1114e are shown in FIG. Inductor 1320 and capacitor 1310 are also shown in FIG. 14, where inductor 1320 and capacitor 1310 are connected, and voltage feedback device 1112 is electrically connected between inductor 1320 and capacitor 1310. The voltage feedback device 1112 can feed back a voltage signal between the inductor 1320 and the capacitor 1310.

図14によって明らかにされた回路では、電源入力1311が、半導体チップのコンタクト・パッド上にあるワイヤ・ボンド/リードまたははんだ層を用いて、電力をMOS1114bに入力する。MOS1114bは、半導体チップのパシベーション層の下にある。次いで、フィードバック・デバイス1112は、インダクタ1320とキャパシタ1310との間を通過する電圧信号を取り込み、この電圧信号が逆にスイッチ・コントローラ1114aに送信される。次いで、スイッチ・コントローラ1114aは、この信号を用いて、半導体チップ上に位置する2つのMOS1114bおよび1114eのオンおよびオフのタイミングを決定する。これによって、スイッチ・コントローラ1114aは、MOS1114bおよび1114eのデューティ・サイクルを規制し、したがって出力1313における電圧を規制することが可能になる。本開示では、インダクタ1320、キャパシタ1310、スイッチ・コントローラ1114a、および電圧フィードバック・デバイス1112は、電圧レギュレータまたは変換器を形成する。したがって、半導体チップの異なる動作電圧範囲にしたがって、半導体チップと一体化された電圧レギュレータは、先に説明したメカニズムを用いて電圧を直ちに規制し、最も短い転送経路を用いて電源を半導体チップに転送し、半導体チップの電源の電圧レベルを素早く特定の電圧範囲に規制することが可能となる。MOS1114bは、DMOS、LDMOS、またはバイポーラ・トランジスタで置き換えることができる。MOS1114eも、DMOS、LDMOS、またはバイポーラ・トランジスタと置き換えることができる。電圧フィードバック・デバイス1112は、動的電圧スケーリング機能(dynamic voltage scaling function)を特徴とすることもできる。スイッチ・コントローラ1114aは、デューティ・サイクルを制御するために、パルス−周波数−変調器またはパルス−幅−変調機を備えるとよく、デューティ・サイクル制御に適したスイッチ・コントローラ1114aの変調周波数は、1KHzおよび300MHzの間であり、好ましくは、1MHzおよび100MHzの間である。   In the circuit revealed by FIG. 14, power input 1311 inputs power to MOS 1114b using wire bonds / leads or solder layers on the contact pads of the semiconductor chip. The MOS 1114b is under the passivation layer of the semiconductor chip. The feedback device 1112 then takes a voltage signal that passes between the inductor 1320 and the capacitor 1310 and this voltage signal is sent back to the switch controller 1114a. Next, the switch controller 1114a uses this signal to determine the on and off timings of the two MOSs 1114b and 1114e located on the semiconductor chip. This allows switch controller 1114a to regulate the duty cycle of MOSs 1114b and 1114e and thus regulate the voltage at output 1313. In this disclosure, inductor 1320, capacitor 1310, switch controller 1114a, and voltage feedback device 1112 form a voltage regulator or converter. Therefore, according to the different operating voltage range of the semiconductor chip, the voltage regulator integrated with the semiconductor chip immediately regulates the voltage using the mechanism explained above and transfers the power to the semiconductor chip using the shortest transfer path In addition, it becomes possible to quickly regulate the voltage level of the power source of the semiconductor chip to a specific voltage range. The MOS 1114b can be replaced with a DMOS, LDMOS, or bipolar transistor. The MOS 1114e can also be replaced with a DMOS, LDMOS, or bipolar transistor. The voltage feedback device 1112 may also feature a dynamic voltage scaling function. The switch controller 1114a may comprise a pulse-frequency-modulator or a pulse-width-modulator to control the duty cycle, and the modulation frequency of the switch controller 1114a suitable for duty cycle control is 1 KHz. And between 300 MHz and preferably between 1 MHz and 100 MHz.

また、図14に示す電気回路構造、および本開示によって開示された半導体チップ構造によれば、本開示における受動型コンポーネントは全て、能動型デバイスと共に半導体基板上に集積されているので、したがって、多数の電子デバイスを容易に互いに接続することができる。   Further, according to the electric circuit structure shown in FIG. 14 and the semiconductor chip structure disclosed by the present disclosure, all the passive components in the present disclosure are integrated on the semiconductor substrate together with the active device, and therefore, a large number of the passive components are disclosed. The electronic devices can be easily connected to each other.

図15は、互いに接続されている多数の受動型デバイスおよび半導体チップの等価回路を示し、全てのスイッチMOS1114f、1114h、1114j、1114g、1114i、1114k、ならびにインダクタ1320a、1320b、および1320cが、キャパシタ1310、電圧フィードバック・デバイス1112、およびスイッチ・コントローラ1114aに接続する。したがって、入力パッド1110が電源を入力したとき、電圧フィードバック・デバイス1112は、インダクタ1320a、1320b、1320cとキャパシタ1310との間における電圧信号を取り込み、電圧フィードバック信号をスイッチ・コントローラ1114aに送る。次いで、スイッチ・コントローラ1114aは、MOS1114f、1114g、1114h、1114i、1114j、1114kをいつオンまたはオフに切り替えるか別個に決定する。スイッチ・コントローラ1114aは、MOS1114f、1114g、1114h、1114i、1114j、1114kのデューティ・サイクルおよびオン−オフ位相を制御して、出力1313における電圧レベルを精細に調整する。スイッチ・コントローラ1114aがMOS1114f、1114g、1114h、1114i、1114j、1114kを制御するとき、少なくとも2つの異なるオン−オフ位相が発生する。   FIG. 15 shows an equivalent circuit of a large number of passive devices and semiconductor chips connected to each other. All switch MOSs 1114f, 1114h, 1114j, 1114g, 1114i, 1114k, and inductors 1320a, 1320b, and 1320c , Voltage feedback device 1112 and switch controller 1114a. Thus, when input pad 1110 receives power, voltage feedback device 1112 captures the voltage signal between inductors 1320a, 1320b, 1320c and capacitor 1310 and sends the voltage feedback signal to switch controller 1114a. The switch controller 1114a then determines separately when to switch the MOSs 1114f, 1114g, 1114h, 1114i, 1114j, 1114k on or off. The switch controller 1114a finely adjusts the voltage level at the output 1313 by controlling the duty cycle and on-off phase of the MOSs 1114f, 1114g, 1114h, 1114i, 1114j, 1114k. When the switch controller 1114a controls the MOSs 1114f, 1114g, 1114h, 1114i, 1114j, 1114k, at least two different on-off phases occur.

図16に示すように、各スイッチMOSに異なるスイッチング位相を設定したときの図15の回路の出力の結果、出力の電圧リップルが、スイッチングMOSの異なるオン−オフ位相によって、最小となる。したがって、本開示の実施形態は、電源電圧を一層安定にした半導体チップを提供する。MOS1114f、1114h、1114j、1114g、1114i、1114kの全ては、DMOS、LDMOS、またはバイポーラ・トランジスタと置き換えることができる。   As shown in FIG. 16, as a result of the output of the circuit of FIG. 15 when different switching phases are set for each switch MOS, the output voltage ripple is minimized by the different on-off phases of the switching MOS. Therefore, the embodiment of the present disclosure provides a semiconductor chip in which the power supply voltage is further stabilized. All of the MOSs 1114f, 1114h, 1114j, 1114g, 1114i, 1114k can be replaced with DMOS, LDMOS, or bipolar transistors.

実施形態7
図17Aから図17Lは、インダクタおよびキャパシタを有するオンチップ・レギュレータまたは変換器の製造プロセスを示し、インダクタは、パシベーション後エンボス・プロセス(Post-Passivation Embossing Process)を用いることによって作られ、キャパシタは、表面実装技術を用いることによって取り付けられる。
Embodiment 7
17A-17L show the manufacturing process of an on-chip regulator or converter with inductor and capacitor, where the inductor is made by using a post-passivation embossing process, where the capacitor is Attached by using surface mount technology.

図17Aを参照すると、集積回路20は、パシベーション層160の下にある全ての構造を表す。また、半導体回路20には、基板100、デバイス110、112、114、第1誘電体層150、金属層140、第2誘電体層155、金属コンタクト120、および金属ビア130(例えば、図7Aに示したような)も含まれており、パシベーション層160における多数のパシベーション層開口165aが、多数のパッド166a、166b、および166cを暴露する。   Referring to FIG. 17A, the integrated circuit 20 represents all the structures underlying the passivation layer 160. In addition, the semiconductor circuit 20 includes a substrate 100, devices 110, 112, and 114, a first dielectric layer 150, a metal layer 140, a second dielectric layer 155, a metal contact 120, and a metal via 130 (see, for example, FIG. 7A). As shown, multiple passivation layer openings 165a in the passivation layer 160 expose multiple pads 166a, 166b, and 166c.

図17Bを参照すると、スパッタリングによって接着/バリア層401を、パシベーション層160、ならびにコンタクト・パッド166a、166b、および166c上に形成する。接着/バリア層401の厚さは、約0.1マイクロメートルおよび約1マイクロメートルの間とすることができ、好ましい最適な厚さは0.3マイクロメートルおよび0.8マイクロメートルの間である。接着/バリア401の材料は、TiWまたはTiまたはTi/TiWであることが好ましい。   Referring to FIG. 17B, an adhesion / barrier layer 401 is formed on the passivation layer 160 and the contact pads 166a, 166b, and 166c by sputtering. The thickness of the adhesion / barrier layer 401 can be between about 0.1 micrometers and about 1 micrometer, with a preferred optimal thickness being between 0.3 micrometers and 0.8 micrometers. . The material of the adhesion / barrier 401 is preferably TiW or Ti or Ti / TiW.

図17Cを参照すると、所望の厚さ、例えば、約0.05マイクロメートルおよび約1マイクロメートルの間の厚さ(好ましい最適な厚さは、0.08マイクロメートルおよび0.7マイクロメートルの間である)で、シード層402を形成する。シード層402は、スパッタリングによって接着/バリア層401上に形成することができる。この実施形態では、シード層402は、金で作られることが好ましい。しかしながら、前述のように、シード層402の材料は、後に形成される金属層の材料にしたがって、変化することができる。   Referring to FIG. 17C, a desired thickness, for example, a thickness between about 0.05 micrometers and about 1 micrometer (a preferred optimal thickness is between 0.08 micrometers and 0.7 micrometers). The seed layer 402 is formed. The seed layer 402 can be formed on the adhesion / barrier layer 401 by sputtering. In this embodiment, seed layer 402 is preferably made of gold. However, as described above, the material of the seed layer 402 can vary according to the material of the metal layer that will be formed later.

図17Dを参照すると、フォトレジスト層404をシード層402上に形成し、スピン・コーティング、露光、および現像によって、フォトレジスト層404にパターニングを行い、フォトレジスト層404に多数のフォトレジスト層開口404aを形成する。フォトレジスト層開口404aは、パッド166a、166b、および166cの上にあるシード層402の部分を別個に暴露する。   Referring to FIG. 17D, a photoresist layer 404 is formed on the seed layer 402, and patterned by spin coating, exposure, and development, and the photoresist layer 404 has a number of photoresist layer openings 404a. Form. Photoresist layer opening 404a separately exposes the portion of seed layer 402 overlying pads 166a, 166b, and 166c.

図17Eを参照すると、電気めっき、非電解めっき、スパッタリング、またはCVD法によって、シード層402上にボンディング金属層406を形成する。ボンディング金属層406は、フォトレジスト層開口404aの中にある。ボンディング金属層406は、アルミニウム、金、銅、銀、パラジウム、ロジウム、ルテニウム、レニウム、またはニッケルのような材料から成り、単一金属層構造または多重金属層構造を有することができる。ボンディング金属層406の厚さは、所望に応じて、例えば、約1マイクロメートルおよび約100マイクロメートルの間で選択され、最適な好ましい厚さは、1.5マイクロメートルおよび15マイクロメートルの間である。多重金属層構造の組み合わせには、Cu/Ni/Au、Cu/Au、Cu/Ni/Pd、およびCu/Ni/Ptを含むことができる。この実施形態では、ボンディング金属層406は、金で作られた単一層であることが好ましい。   Referring to FIG. 17E, a bonding metal layer 406 is formed on the seed layer 402 by electroplating, electroless plating, sputtering, or CVD. The bonding metal layer 406 is in the photoresist layer opening 404a. The bonding metal layer 406 is made of a material such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or a multiple metal layer structure. The thickness of the bonding metal layer 406 is selected as desired, for example, between about 1 micrometer and about 100 micrometers, with an optimal preferred thickness being between 1.5 micrometers and 15 micrometers. is there. Combinations of multiple metal layer structures can include Cu / Ni / Au, Cu / Au, Cu / Ni / Pd, and Cu / Ni / Pt. In this embodiment, the bonding metal layer 406 is preferably a single layer made of gold.

図17Fを参照すると、パターン化フォトレジスト層404、ならびに金属層406の下ではないシード層402および接着/バリア層401の部分を除去するために、除去プロセスを適用することができる。金で作られているシード層402の部分は、IおよびKI溶液を含有する溶媒を用いることによって除去することができる。一方、接着/バリア層401の材料がTiWである場合、過酸化水素(H)を含有する溶媒を用いて接着/バリア層401を除去することができる。 Referring to FIG. 17F, a removal process can be applied to remove the patterned photoresist layer 404 and portions of the seed layer 402 and adhesion / barrier layer 401 that are not under the metal layer 406. The portion of seed layer 402 made of gold can be removed by using a solvent containing I 2 and KI solutions. On the other hand, when the material of the adhesion / barrier layer 401 is TiW, the adhesion / barrier layer 401 can be removed using a solvent containing hydrogen peroxide (H 2 O 2 ).

図17Gを参照すると、パターン化フォトレジスト層404、ならびに金属層406の下ではないシード層402および接着/バリア層401の部分を除去した後、ボンディング金属層406は、1つのインダクタ・デバイス408、多数のワイヤ・ボンディング・パッド410、および多数のコンタクト・パッド412をパシベーション層160上に少なくとも形成する。ワイヤ・ボンディング・パッド410はパッド166a上に形成され、一方、コンタクト・パッド412はパッド166c上に形成され、更に、インダクタ・デバイス408はパシベーション層160およびパッド166b上に形成される。図17Fは、水平線2−2に沿った図17Gの断面図である。図17Hに示すように、多数のインダクタ・デバイス408をパシベーション層160の上または上方に形成することができるが、図17Fの図では、1つのインダクタ・デバイス408のみが示されている。   Referring to FIG. 17G, after removing the patterned photoresist layer 404 and portions of the seed layer 402 and the adhesion / barrier layer 401 that are not under the metal layer 406, the bonding metal layer 406 includes one inductor device 408, A number of wire bonding pads 410 and a number of contact pads 412 are formed on the passivation layer 160 at least. Wire bond pad 410 is formed on pad 166a, while contact pad 412 is formed on pad 166c, and inductor device 408 is formed on passivation layer 160 and pad 166b. FIG. 17F is a cross-sectional view of FIG. 17G along the horizontal line 2-2. As shown in FIG. 17H, a number of inductor devices 408 can be formed on or over the passivation layer 160, but only one inductor device 408 is shown in the view of FIG. 17F.

図17Iおよび図17Jを参照すると、スピンオン・コーティング・プロセス、積層プロセス、スクリーン・プリンティング・プロセス、またはスプレイ・プロセスを含むプロセスによって、多数のワイヤ・ボンディング・パッド410、多数のコンタクト・パッド412、パシベーション層160、およびインダクタ408上にポリマー層414を形成することができ、ポリマー層414における多数の開口がパッド410の上方にあり、パッド410および412を露出させる。ポリマー層414は、所望に応じた厚さ、例えば、約3および約25マイクロメートルの間の厚さ、そして好ましくは5および15マイクロメートルの間の厚さを有することができ、ポリマー層414の材料には、ベンゾシクロブタン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂を含むことができる。   Referring to FIGS. 17I and 17J, a number of wire bonding pads 410, a number of contact pads 412, and a passivation may be achieved by processes including spin-on coating processes, lamination processes, screen printing processes, or spray processes. A polymer layer 414 can be formed over the layer 160 and the inductor 408, with multiple openings in the polymer layer 414 above the pad 410, exposing the pads 410 and 412. The polymer layer 414 can have a thickness as desired, for example, between about 3 and about 25 micrometers, and preferably between 5 and 15 micrometers, The material can include benzocyclobutane (BCB), polyimide (PI), polybenzoxazole (PBO), or epoxy resin.

図17Jを参照すると、スピン・コーティング、露光および現像、エッチング、ならびにOプラズマ・アッシュによってポリマー層414を形成し、これにパターニングを行って多くの開口414aを形成する。これらの開口414aは、多数のワイヤ・ボンディング・パッド410、多数のコンタクト・パッド412を暴露し、インダクタ・デバイス408を覆う。次いで、摂氏150および380度の間の温度にポリマー層414を熱処理する。ポリマー層414の材料は、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリベンゾオキサゾール(PBO)、パリレン、エポキシ樹脂またはスイス国RenensのSotec Microsystemsによって提供されるフォトエポキシSU−8のようなエポキシ系材料、あるいは、シリコーン、またはAsahi glass Co.によって提供されるAL−2000のようなエラストマーから選択することができる。ポリマー層414がポリイミドで作られている場合、エステル型ポリイミドであることが好ましい。ポリマー層414は、リソグラフィを用いてポリマー層414をパターニングすることができるように、感光性であることが好ましい。ポリマー層414は、所望の厚さ、例えば、約5マイクロメートルおよび約50マイクロメートルの間の厚さを有することができ、最適な好ましい厚さは10マイクロメートルおよび20マイクロメートルの間である。あるいは、ポリマー層414は、硬化温度が約130および約200度Cの間、または好ましくは150および190度Cの間であるフッ素含有ポリマーであってもよい。 Referring to FIG. 17J, a polymer layer 414 is formed by spin coating, exposure and development, etching, and O 2 plasma ash, and patterned to form a number of openings 414a. These openings 414a expose a number of wire bonding pads 410, a number of contact pads 412 and cover the inductor device 408. The polymer layer 414 is then heat treated to a temperature between 150 and 380 degrees Celsius. The material of the polymer layer 414 is an epoxy such as polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy resin or photoepoxy SU-8 provided by Sotec Microsystems of Renens, Switzerland. The material can be selected from silicones or elastomers such as AL-2000 provided by Asahi glass Co. When the polymer layer 414 is made of polyimide, it is preferably an ester type polyimide. The polymer layer 414 is preferably photosensitive so that the polymer layer 414 can be patterned using lithography. The polymer layer 414 can have a desired thickness, for example, between about 5 micrometers and about 50 micrometers, with an optimal preferred thickness being between 10 micrometers and 20 micrometers. Alternatively, the polymer layer 414 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C, or preferably between 150 and 190 degrees C.

用途によっては、6および150マイクロメートルの間の厚さを有するネガティブ型感光性ポリイミド層を、ワイヤ・ボンディング・パッド410、コンタクト・パッド412、パシベーション層160、およびインダクタ408上にスピンオン・コーティングすることによって、ポリマー層414を形成することができることもある。次いで、スピンオン・コーティングしたポリイミド層をベーキングし、露光することができる。ベーキングしたポリイミド層を露光するには、434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する、1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。次に、ベーキングしたポリイミド層を照明することができる。ベーキングしたポリイミド層を照明するには、ベーキングしたポリイミド層のg線およびh線、g線およびi線、h線およびi線、または、h線、およびi線の照明を含むことができる。次に、露光したポリイミド層を現像して、パッド410および412を露出させる多数の開口を形成することができる。次に、このポリイミド層を熱処理即ち加熱することができる。現像したポリイミド層の熱処理即ち加熱は、例えば、130および400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で行うことができる。熱処理したポリイミド層は、実施形態例では、約3および約25マイクロメートルの間の厚さを有することができる。次に、例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をパッド410および412から除去することができる。 Depending on the application, a negative photosensitive polyimide layer having a thickness between 6 and 150 micrometers may be spin-on coated on the wire bonding pad 410, contact pad 412, passivation layer 160, and inductor 408. In some cases, the polymer layer 414 may be formed. The spin-on coated polyimide layer can then be baked and exposed. To expose the baked polyimide layer, at least two of g-line having a wavelength in the range of 434 to 438 nm, h-line having a wavelength in the range of 403 to 407 nm, and i-line having a wavelength in the range of 363 to 367 nm. Using a 1X stepper or 1X contact aligner with two. The baked polyimide layer can then be illuminated. Illuminating the baked polyimide layer can include g-line and h-line, g-line and i-line, h-line and i-line, or h-line and i-line illumination of the baked polyimide layer. The exposed polyimide layer can then be developed to form a number of openings that expose the pads 410 and 412. The polyimide layer can then be heat treated or heated. Heat treatment or heating of the developed polyimide layer can be performed, for example, at a temperature between 130 and 400 ° C. for a time between 20 and 150 minutes in a nitrogen or oxygen-free atmosphere. The heat-treated polyimide layer can have a thickness between about 3 and about 25 micrometers in example embodiments. The remaining polymer material or other contaminants can then be removed from pads 410 and 412 by, for example, an O 2 plasma or a plasma containing less than 200 PPM fluorine and oxygen.

ポリマー層414は、パシベーション層160およびインダクタ408上に形成することができ、ポリマー層414に形成された開口414aは、パッド410および420を露出させることができる。例えば、現像したポリイミド層は、180および250°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。あるいは、現像したポリイミド層は、250および290°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、290および400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、200および390°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、130および220°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。   A polymer layer 414 can be formed over the passivation layer 160 and the inductor 408, and an opening 414 a formed in the polymer layer 414 can expose the pads 410 and 420. For example, the developed polyimide layer can be heated or heat treated in a nitrogen or oxygen-free atmosphere at a temperature between 180 and 250 ° C. for a period of 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 250 and 290 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 290 and 400 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 200 and 390 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 130 and 220 ° C. for a time between 20 and 150 minutes.

他の用途では、ポリマー層414は、約3および約25マイクロメートルの間の厚さを有するポジティブ型感光性ポリベンゾオキサゾール層を、ワイヤ・ボンディング・パッド410、コンタクト・パッド412、パシベーション層160、およびインダクタ408上にスピンオン・コーティングすることによって形成することができる。スピンオン・コーティングしたポリベンゾオキサゾール層は、次いで、ベーキングし露光することができる。ベーキングしたポリベンゾオキサゾール層を露光するには、434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。次いで、ベーキングした層を照明することができる。ベーキングしたポリベンゾオキサゾール層を照明するには、g線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を含むことができる。次に、露光したポリベンゾオキサゾール層を現像して、パッド410および412を露出させる多数の開口を形成することができる。次に、現像したポリベンゾオキサゾール層を加熱即ち熱処理することができる。例えば、加熱即ち熱処理は、約150および約250°Cの間の温度、好ましくは180および250°Cの間、または200および400°Cの間、好ましくは250および350°Cの間の温度で、約5および180分の間の時間、好ましくは、30および120分の間の時間、窒素雰囲気または無酸素雰囲気において、行うことができる。実施形態例では、熱処理したポリベンゾオキサゾール層は、約3および約25μmの間の厚さを有することができる。例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をパッド410および412から除去することができる。その結果、パシベーション層160およびインダクタ408の上にポリマー層414を形成することができ、パッド410および412を露出させる開口414aをポリマー層414に形成することができる。 In other applications, the polymer layer 414 comprises a positive photosensitive polybenzoxazole layer having a thickness between about 3 and about 25 micrometers, a wire bonding pad 410, a contact pad 412, a passivation layer 160, And by spin-on coating on inductor 408. The spin-on coated polybenzoxazole layer can then be baked and exposed. To expose the baked polybenzoxazole layer, g-line having a wavelength in the range of 434 to 438 nm, h-line having a wavelength in the range of 403 to 407 nm, and i-line having a wavelength in the range of 363 to 367 nm. It may include using a 1X stepper or 1X contact aligner with at least two. The baked layer can then be illuminated. Illuminating the baked polybenzoxazole layer can include g-line and h-line, g-line and i-line, h-line and i-line, or g-line, h-line, and i-line illuminations. The exposed polybenzoxazole layer can then be developed to form a number of openings that expose pads 410 and 412. The developed polybenzoxazole layer can then be heated or heat treated. For example, the heating or heat treatment is performed at a temperature between about 150 and about 250 ° C, preferably between 180 and 250 ° C, or between 200 and 400 ° C, preferably between 250 and 350 ° C. A time between about 5 and 180 minutes, preferably between 30 and 120 minutes, in a nitrogen or oxygen-free atmosphere. In example embodiments, the heat-treated polybenzoxazole layer can have a thickness between about 3 and about 25 μm. For example, residual polymer material or other contaminants can be removed from pads 410 and 412 by O 2 plasma or plasma containing less than 200 PPM fluorine and oxygen. As a result, a polymer layer 414 can be formed over the passivation layer 160 and the inductor 408, and an opening 414 a exposing the pads 410 and 412 can be formed in the polymer layer 414.

図17Kおよび図17Lを参照すると、ダイシング手順を用いて、基板100、パシベーション層160、およびポリマー層414を切断して、多数の半導体チップ600を得ることができる。半導体チップ600上にある多数のワイヤ・ボンディング・パッド410は、ワイヤ・ボンディング・プロセスによって形成されたワイヤ416を通じて、外部回路または電源に接続することができる。ワイヤ416は、銅または金で形成することができる。例えば、銅または金のワイヤは、ワイヤ・ボンディング技法によって、ワイヤ・ボンディング・パッド410に接続することができる。この場合、ワイヤ・ボンディング・パッド410は、銅パッド、アルミニウム・パッド、アルミニウム・キャップ、またはニッケル・キャップである。次いで、はんだ層420によって、表面実装技法(SMT)を用いて、コンタクト・パッド412をキャパシタ・デバイス418に接続することができ、キャパシタ・デバイス418は、集積回路20内の金属層140を通じて、インダクタ・デバイス408に接続される。勿論、キャパシタの実装後に、ダイシング手順を行うこともできる。   Referring to FIGS. 17K and 17L, the substrate 100, the passivation layer 160, and the polymer layer 414 can be cut using a dicing procedure to obtain a large number of semiconductor chips 600. A number of wire bonding pads 410 on the semiconductor chip 600 can be connected to an external circuit or power source through wires 416 formed by a wire bonding process. The wire 416 can be formed of copper or gold. For example, a copper or gold wire can be connected to the wire bonding pad 410 by wire bonding techniques. In this case, the wire bonding pad 410 is a copper pad, an aluminum pad, an aluminum cap, or a nickel cap. The solder layer 420 can then connect the contact pads 412 to the capacitor device 418 using surface mount techniques (SMT), which pass through the metal layer 140 in the integrated circuit 20 and the inductor. Connected to device 408 Of course, a dicing procedure can also be performed after mounting the capacitor.

実施形態8の製造方法および構造1
図18Aから図18Mは、本開示の第8実施形態(「実施形態8」)による、インダクタおよびキャパシタを有する別のオンチップ・レギュレータまたは変換器の製造プロセスを示す。インダクタは、パシベーション後エンボス・プロセスを用いることによって作られ、キャパシタは、表面実装技術を用いることによって取り付けられる。
Manufacturing method and structure 1 of Embodiment 8
18A to 18M show a manufacturing process of another on-chip regulator or converter having an inductor and a capacitor according to the eighth embodiment of the present disclosure (“Embodiment 8”). Inductors are made by using a post-passivation embossing process, and capacitors are attached by using surface mount technology.

図18Aを参照すると、集積回路20は、パシベーション層160の下にある全ての構造を表す。また、半導体回路20には、基板100、デバイス110、112、114、第1誘電体層150、金属層140、第2誘電体層155、金属コンタクト120、およびビア130(例えば、図7Aに示したような)も含まれており、パシベーション層160における多数のパシベーション層開口165aが、多数のパッド166a、166b、および166cを暴露する。   Referring to FIG. 18A, the integrated circuit 20 represents all the structures underneath the passivation layer 160. The semiconductor circuit 20 also includes a substrate 100, devices 110, 112, and 114, a first dielectric layer 150, a metal layer 140, a second dielectric layer 155, a metal contact 120, and a via 130 (eg, as shown in FIG. 7A). And a number of passivation layer openings 165a in the passivation layer 160 expose a number of pads 166a, 166b, and 166c.

図18Bを参照すると、パシベーション層160ならびにパッド166a、166b、および166c上にポリマー層421を形成することができる。スピン・コーティング、露光および現像、エッチング、ならびにOプラズマ・アッシュによって、ポリマー層421を形成し、これにパターニングを行って多くの開口421aを形成する。これらの開口421aは、多数のパッド166a、166b、および166cを暴露する。次いで、例えば、摂氏約150および380度の間の温度下でポリマー層421を熱処理する。ポリマー層421の材料は、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリベンゾオキサゾール(PBO)、パリレン、エポキシ樹脂またはスイス国RenensのSotec Microsystemsによって提供されるフォトエポキシSU−8のようなエポキシ系材料、あるいは、シリコーン、またはAsahi glass Co.によって提供されるAL−2000のようなエラストマーから選択することができる。ポリマー層421がポリイミドで作られている場合、エステル型ポリイミドであることが好ましい。ポリマー層421は、リソグラフィを用いてポリマー層421をパターニングすることができるように、感光性であることが好ましい。ポリマー層421は、所望の厚さ、例えば、約5マイクロメートルおよび約50マイクロメートルの間の厚さを有することができ、最適な好ましい厚さは10マイクロメートルおよび25マイクロメートルの間である。あるいは、ポリマー層421は、硬化温度が約130および約200度Cの間、そして好ましくは150および190度Cの間であるフッ素含有ポリマーであってもよい。 Referring to FIG. 18B, a polymer layer 421 can be formed on the passivation layer 160 and the pads 166a, 166b, and 166c. A polymer layer 421 is formed by spin coating, exposure and development, etching, and O 2 plasma ash, and is patterned to form a number of openings 421a. These openings 421a expose a number of pads 166a, 166b, and 166c. The polymer layer 421 is then heat treated, for example, at a temperature between about 150 and 380 degrees Celsius. The material of the polymer layer 421 is polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy resin or epoxy such as photoepoxy SU-8 provided by Sotec Microsystems of Renens, Switzerland. The material can be selected from silicones or elastomers such as AL-2000 provided by Asahi glass Co. When the polymer layer 421 is made of polyimide, it is preferably an ester type polyimide. The polymer layer 421 is preferably photosensitive so that the polymer layer 421 can be patterned using lithography. The polymer layer 421 can have a desired thickness, for example, between about 5 micrometers and about 50 micrometers, with an optimal preferred thickness being between 10 micrometers and 25 micrometers. Alternatively, the polymer layer 421 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C, and preferably between 150 and 190 degrees C.

用途によっては、6および50マイクロメートルの間の厚さを有するネガティブ型感光性ポリイミド層を、パシベーション層160、ならびにパッド166a、166b、および166c上にスピンオン・コーティングすることによって、ポリマー層421を形成することができることもある。次いで、スピンオン・コーティングしたポリイミド層をベーキングし、露光することができる。ベーキングしたポリイミド層を露光するには、434から438nmの範囲の波長を有するg、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する、1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。次に、ベーキングしたポリイミド層を照明することができる。ベーキングしたポリイミド層を照明するには、g線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を含むことができる。次に、露光したポリイミド層を現像して、パッド166a、166b、166cを露出させる多数の開口を形成することができる。次に、このポリイミド層を熱処理即ち加熱することができる。現像したポリイミド層の熱処理即ち加熱は、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で、130および400°Cの間の温度を用いることを含むことができる。実施形態例では、熱処理したポリイミド層は、約3および約25マイクロメートルの間の厚さを有することができる。次に、例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をパッド166a、166b、166cから除去することができる。このように、ポリマー層412をパシベーション層160上に形成することができ、ポリマー層421に形成された開口421aはパッド166a、166b、166cを露出させる。 In some applications, a negative photosensitive polyimide layer having a thickness between 6 and 50 micrometers is spin-on coated on the passivation layer 160 and pads 166a, 166b, and 166c to form the polymer layer 421. Sometimes you can do it. The spin-on coated polyimide layer can then be baked and exposed. To expose the baked polyimide layer, at least two of g having a wavelength in the range of 434 to 438 nm, h-line having a wavelength in the range of 403 to 407 nm, and i-line having a wavelength in the range of 363 to 367 nm. Using a 1X stepper or a 1X contact aligner. The baked polyimide layer can then be illuminated. Illuminating the baked polyimide layer can include g-line and h-line, g-line and i-line, h-line and i-line, or g-line, h-line, and i-line illuminations. Next, the exposed polyimide layer can be developed to form a number of openings that expose the pads 166a, 166b, 166c. The polyimide layer can then be heat treated or heated. Heat treatment or heating of the developed polyimide layer can include using a temperature between 130 and 400 ° C. in a nitrogen or oxygen-free atmosphere for a time between 20 and 150 minutes. In example embodiments, the heat-treated polyimide layer can have a thickness between about 3 and about 25 micrometers. The remaining polymer material or other contaminants can then be removed from the pads 166a, 166b, 166c, for example, with an O 2 plasma or a plasma containing less than 200 PPM fluorine and oxygen. In this manner, the polymer layer 412 can be formed on the passivation layer 160, and the opening 421a formed in the polymer layer 421 exposes the pads 166a, 166b, 166c.

実施形態8の熱処理プロセスの一例として、現像したポリイミド層は、180および250°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。あるいは、現像したポリイミド層は、250および290°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、290および400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、200および390°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、130および220°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。   As an example of the heat treatment process of Embodiment 8, the developed polyimide layer can be heated or heat treated in a nitrogen or oxygen-free atmosphere at a temperature between 180 and 250 ° C. for a time between 20 and 150 minutes. . Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 250 and 290 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 290 and 400 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 200 and 390 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 130 and 220 ° C. for a time between 20 and 150 minutes.

他の用途では、ポリマー層421は、約3および約25マイクロメートルの間の厚さを有するポジティブ型感光性ポリベンゾオキサゾール層を、パシベーション層160、ならびにパッド166a、166b、および166c上にスピンオン・コーティングすることによって形成することができる。スピンオン・コーティングしたポリベンゾオキサゾール層は、次いで、ベーキングすることができる。スピンオン・コーティングしたポリベンゾオキサゾール層をベーキングした後、露光することができる。ベーキングしたポリベンゾオキサゾール層を露光するには、434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。次いで、ベーキングした層を照明することができる。ベーキングしたポリベンゾオキサゾール層を照明するには、例えば、水銀灯からの、g線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を含むことができる。次に、露光したポリベンゾオキサゾール層を現像して、パッド166a、166b、および166cを露出させる多数の開口を形成することができる。次に,熱処理を行うことができる。現像したポリベンゾオキサゾール層を加熱即ち熱処理するには、約150および約250°Cの間の温度、好ましくは180および250°Cの間、または200および300°Cの間、好ましくは250および350°Cの間の温度で、約5および180分の間の時間、好ましくは、30および120分の間の時間、窒素雰囲気または無酸素雰囲気において、行うことができる。熱処理したポリベンゾオキサゾール層は、約3および約25μmの間の厚さを有することが好ましい。例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をパッド166a、166b、および166cから除去することができる。このプロセスによって、パシベーション層160上にポリマー層421を形成することができ、パッド166a、166b、および166cを露出させる開口421aをポリマー層421に形成することができる。 In other applications, the polymer layer 421 can be formed by applying a positive photosensitive polybenzoxazole layer having a thickness between about 3 and about 25 micrometers on the passivation layer 160 and the pads 166a, 166b, and 166c. It can be formed by coating. The spin-on coated polybenzoxazole layer can then be baked. The spin-on coated polybenzoxazole layer can be baked and then exposed. To expose the baked polybenzoxazole layer, g-line having a wavelength in the range of 434 to 438 nm, h-line having a wavelength in the range of 403 to 407 nm, and i-line having a wavelength in the range of 363 to 367 nm. It may include using a 1X stepper or 1X contact aligner with at least two. The baked layer can then be illuminated. Illuminating the baked polybenzoxazole layer includes, for example, g- and h-line, g- and i-line, h- and i-line, or g-line, h-line and i-line illumination from a mercury lamp be able to. The exposed polybenzoxazole layer can then be developed to form a number of openings that expose the pads 166a, 166b, and 166c. Next, heat treatment can be performed. To heat or heat-treat the developed polybenzoxazole layer, a temperature between about 150 and about 250 ° C, preferably between 180 and 250 ° C, or between 200 and 300 ° C, preferably 250 and 350 It can be carried out at a temperature between 0 ° C. for a time between about 5 and 180 minutes, preferably between 30 and 120 minutes, in a nitrogen or oxygen-free atmosphere. The heat treated polybenzoxazole layer preferably has a thickness between about 3 and about 25 μm. For example, residual polymer material or other contaminants can be removed from pads 166a, 166b, and 166c by O 2 plasma or plasma containing less than 200 PPM fluorine and oxygen. By this process, a polymer layer 421 can be formed on the passivation layer 160, and an opening 421a exposing the pads 166a, 166b, and 166c can be formed in the polymer layer 421.

図18Cを参照すると、ポリマー層421ならびにパッド166a、166b、166c上でスパッタリングを行うことによって、接着/バリア層422を形成することができる。接着/バリア層422の厚さは、約0.1マイクロメートルおよび約1マイクロメートルの間とすることができ、好ましい最適な厚さは0.3マイクロメートルおよび0.8マイクロメートルの間である。接着/バリア層422の材料は、チタン、チタン・タングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前述した材料の複合物を含む。接着/バリア422の材料は、TiWまたはTiまたはTi/TiWであることが好ましい。   Referring to FIG. 18C, the adhesion / barrier layer 422 can be formed by sputtering on the polymer layer 421 and the pads 166a, 166b, 166c. The thickness of the adhesion / barrier layer 422 can be between about 0.1 micrometers and about 1 micrometer with a preferred optimal thickness being between 0.3 micrometers and 0.8 micrometers. . The material of the adhesion / barrier layer 422 includes titanium, titanium-tungsten alloy, titanium nitride, chromium, tantalum, tantalum nitride, or a composite of the aforementioned materials. The material of the adhesion / barrier 422 is preferably TiW or Ti or Ti / TiW.

図18Dを参照すると、例えば、約0.05マイクロメートルおよび約1マイクロメートルの間の厚さ(好ましい厚さは、0.08マイクロメートルおよび0.7マイクロメートルの間である)を有するシード層424を、スパッタリングによって接着/バリア層422上に次に形成する。この実施形態では、シード層424を作る材料は、金であることが好ましい。しかしながら、先に説明したように、シード層424の材料は、後にその上に形成される金属層の材料に応じて、変化することができる。   Referring to FIG. 18D, for example, a seed layer having a thickness between about 0.05 micrometers and about 1 micrometer (preferred thickness is between 0.08 micrometers and 0.7 micrometers). 424 is then formed on the adhesion / barrier layer 422 by sputtering. In this embodiment, the material from which the seed layer 424 is made is preferably gold. However, as described above, the material of the seed layer 424 can vary depending on the material of the metal layer that is subsequently formed thereon.

図18Eを参照すると、シード層424上にフォトレジスト層426を形成し、スピン・コーティング、露光、および現像によって、フォトレジスト層426にパターニングを行い、フォトレジスト層426に多数のフォトレジスト層開口426aを形成する。フォトレジスト層開口426aは、パッド166a、166b、および166cの上にあるシード層424の部分を別個に暴露する。   Referring to FIG. 18E, a photoresist layer 426 is formed on the seed layer 424, and the photoresist layer 426 is patterned by spin coating, exposure, and development, and a plurality of photoresist layer openings 426a are formed in the photoresist layer 426. Form. Photoresist layer opening 426a separately exposes the portion of seed layer 424 overlying pads 166a, 166b, and 166c.

図18Fを参照すると、電気めっき、無電解めっき、スパッタリング、またはCVD法によって、フォトレジスト層開口426a内において、シード層424上にボンディング金属層428を形成する。ボンディング金属層428は、アルミニウム、金、銅、銀、パラジウム、ロジウム、ルテニウム、レニウム、および/またはニッケルから成ることができ、単一金属層構造または多重金属層構造を有することができる。ボンディング金属層428の厚さは、例えば、約1マイクロメートルおよび約100マイクロメートルの間とすることができ、最適な好ましい厚さは、約1.5マイクロメートルおよび約15マイクロメートルの間である。層428は、Cu/Ni/Au、Cu/Au、Cu/Ni/Pd、およびCu/Ni/Pt等の多重積層構造を形成する多数の金属層の組み合わせで作ることができる。この実施形態では、ボンディング金属層428は、金の単一層であることが好ましい。   Referring to FIG. 18F, a bonding metal layer 428 is formed on the seed layer 424 in the photoresist layer opening 426a by electroplating, electroless plating, sputtering, or CVD. The bonding metal layer 428 can be made of aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, and / or nickel and can have a single metal layer structure or a multiple metal layer structure. The thickness of the bonding metal layer 428 can be, for example, between about 1 micrometer and about 100 micrometers, with an optimal preferred thickness being between about 1.5 micrometers and about 15 micrometers. . Layer 428 can be made of a combination of multiple metal layers forming a multi-layered structure such as Cu / Ni / Au, Cu / Au, Cu / Ni / Pd, and Cu / Ni / Pt. In this embodiment, the bonding metal layer 428 is preferably a single layer of gold.

図18Gを参照すると、パターン化フォトレジスト層426、ならびに金属層428の下ではないシード層424および接着/バリア層422の部分に、除去プロセスを適用することができる。シード層424が金で作られている場合、IおよびKIの溶液を用いることによって、シード層424を除去することができる。一方、接着/バリア層422の材料がTiWを含む場合、過酸化水素(H)を含有する溶剤を用いることによって、接着/バリア層422を除去することができる。 Referring to FIG. 18G, a removal process can be applied to the patterned photoresist layer 426 and portions of the seed layer 424 and the adhesion / barrier layer 422 that are not under the metal layer 428. If the seed layer 424 is made of gold, the seed layer 424 can be removed by using a solution of I 2 and KI. On the other hand, when the material of the adhesion / barrier layer 422 includes TiW, the adhesion / barrier layer 422 can be removed by using a solvent containing hydrogen peroxide (H 2 O 2 ).

図18Hを参照すると、パターン化フォトレジスト層426、ならびに金属層428の下ではないシード層424および接着/バリア層422の部分を除去した後、ボンディング金属層428は、1つ以上のインダクタ・デバイス430(1つのみが示されている)、多数のワイヤ・ボンディング・パッド432、および多数のコンタクト・パッド434を、ポリマー層421上に形成することができる。ワイヤ・ボンディング・パッド432はパッド166a上に形成され、一方、コンタクト・パッド434はパッド166c上に形成され、更に、インダクタ・デバイス430はパシベーション層160およびパッド166bの上または上方に形成される。図18Gは、水平線2−2によって示される切断面の方向における図18Hの断面図である。図18Iに示すように、ポリマー421上にも、多数のインダクタ・デバイス430を形成することができる。   Referring to FIG. 18H, after removing the patterned photoresist layer 426 and portions of the seed layer 424 and the adhesion / barrier layer 422 that are not under the metal layer 428, the bonding metal layer 428 may include one or more inductor devices. 430 (only one shown), multiple wire bond pads 432, and multiple contact pads 434 can be formed on the polymer layer 421. Wire bond pad 432 is formed on pad 166a, while contact pad 434 is formed on pad 166c, and inductor device 430 is formed on or above passivation layer 160 and pad 166b. 18G is a cross-sectional view of FIG. 18H in the direction of the cut plane indicated by horizontal line 2-2. A number of inductor devices 430 can also be formed on the polymer 421 as shown in FIG.

図18Jを参照すると、スピン・コーティングを用いることによって、インダクタ・デバイス430、多数のワイヤ・ボンディング・パッド432、多数のコンタクト・パッド434、およびポリマー層421の上に、ポリマー層436を形成することができる。   Referring to FIG. 18J, polymer layer 436 is formed over inductor device 430, multiple wire bonding pads 432, multiple contact pads 434, and polymer layer 421 by using spin coating. Can do.

図18Kを参照すると、露光および現像、ならびにOプラズマ・アッシュによって、ポリマー層436は多数の開口436aを形成することができる。これらの開口436aは、多数のワイヤ・ボンディング・パッド432、多数のコンタクト・パッド434を暴露し、インダクタ・デバイス430を隠蔽する。次いで、摂氏約150および380度の間の温度下でポリマー層436を熱処理することができる。ポリマー層436の材料は、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリベンゾオキサゾール(PBO)、パリレン、エポキシ樹脂またはスイス国RenensのSotec Microsystemsによって提供されるフォトエポキシSU−8のようなエポキシ系材料、あるいは、シリコーン、またはAsahi glass Co.によって提供されるAL−2000のようなエラストマーから選択することができる。ポリマー層436がポリイミドで作られている場合、エステル型ポリイミドであることが好ましい。ポリマー層436は、リソグラフィを用いてポリマー層436をパターニングすることができるように、感光性であることが好ましい。ポリマー層436は、実施形態例では、約5マイクロメートルおよび約50マイクロメートルの間の厚さを有することができる。最適な厚さは、10マイクロメートルおよび20マイクロメートルの間である。ポリマー層436は、硬化温度が約130および約200度Cの間、そして好ましくは150および190度Cの間であるフッ素含有ポリマーであってもよい。 Referring to FIG. 18K, the polymer layer 436 can form multiple openings 436a by exposure and development, and O 2 plasma ash. These openings 436a expose a large number of wire bonding pads 432, a large number of contact pads 434 and conceal the inductor device 430. The polymer layer 436 can then be heat treated under a temperature between about 150 and 380 degrees Celsius. The material of the polymer layer 436 is polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy resin or epoxy such as photoepoxy SU-8 provided by Sotec Microsystems of Renens, Switzerland. The material can be selected from silicones or elastomers such as AL-2000 provided by Asahi glass Co. When the polymer layer 436 is made of polyimide, it is preferably an ester type polyimide. The polymer layer 436 is preferably photosensitive so that the polymer layer 436 can be patterned using lithography. The polymer layer 436 can have a thickness between about 5 micrometers and about 50 micrometers in example embodiments. The optimum thickness is between 10 and 20 micrometers. The polymer layer 436 may be a fluorine-containing polymer having a cure temperature between about 130 and about 200 degrees C, and preferably between 150 and 190 degrees C.

用途によっては、6および150マイクロメートルの間の厚さを有するネガティブ型感光性ポリイミド層を、金属層428、インダクタ430、およびポリマー層421上にスピンオン・コーティングすることによって、ポリマー層436を形成することができることもある。次いで、スピンオン・コーティングしたポリイミド層をベーキングすることができる。次いで、スピンオン・コーティングしたポリイミド層を露光することができる。ベーキングしたポリイミド層を露光するには、434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する、1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。次に、ポリイミド層を照明することができる。ベーキングしたポリイミド層を照明するには、例えば、水銀灯光源からの、g線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を用いることを含むことができる。次に、ベーキングしたポリイミド層を現像し、次いで露光して、パッド432および434を露出させる多数の開口を形成することができる。次に、熱処理を行うことができる。現像したポリイミド層の熱処理即ち加熱は、例えば、130および400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で行うことができる。実施形態例では、熱処理したポリイミド層は、約3および約25マイクロメートルの間の厚さを有することができる。次に、例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をパッド432および434から除去することができる。このように、ポリマー層436を金属層428、インダクタ430、およびポリマー層421上に形成することができ、ポリマー層436に形成された開口436aはパッド432および434を露出させる。 In some applications, polymer layer 436 is formed by spin-on coating a negative photosensitive polyimide layer having a thickness between 6 and 150 micrometers on metal layer 428, inductor 430, and polymer layer 421. Sometimes it is possible. The spin-on coated polyimide layer can then be baked. The spin-on coated polyimide layer can then be exposed. To expose the baked polyimide layer, at least two of g-line having a wavelength in the range of 434 to 438 nm, h-line having a wavelength in the range of 403 to 407 nm, and i-line having a wavelength in the range of 363 to 367 nm. Using a 1X stepper or 1X contact aligner with two. The polyimide layer can then be illuminated. To illuminate the baked polyimide layer, for example, using g- and h-line, g- and i-line, h- and i-line, or g-line, h-line, and i-line illumination from a mercury lamp light source Can be included. The baked polyimide layer can then be developed and then exposed to form multiple openings that expose the pads 432 and 434. Next, heat treatment can be performed. Heat treatment or heating of the developed polyimide layer can be performed, for example, at a temperature between 130 and 400 ° C. for a time between 20 and 150 minutes in a nitrogen or oxygen-free atmosphere. In example embodiments, the heat-treated polyimide layer can have a thickness between about 3 and about 25 micrometers. Residual polymer material or other contaminants can then be removed from pads 432 and 434, for example, by O 2 plasma or plasma containing less than 200 PPM fluorine and oxygen. In this manner, the polymer layer 436 can be formed on the metal layer 428, the inductor 430, and the polymer layer 421, and the opening 436a formed in the polymer layer 436 exposes the pads 432 and 434.

適した熱処理プロセスの一例として、現像したポリイミド層は、180および250°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することができる。あるいは、現像したポリイミド層は、250および290°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、290および400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、200および390°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、130および220°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。   As an example of a suitable heat treatment process, the developed polyimide layer can be heated or heat treated in a nitrogen or oxygen-free atmosphere at a temperature between 180 and 250 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 250 and 290 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 290 and 400 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 200 and 390 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 130 and 220 ° C. for a time between 20 and 150 minutes.

他の用途では、ポリマー層436は、約3および約25マイクロメートルの間の厚さを有するポジティブ型感光性ポリベンゾオキサゾール層を、金属層428、インダクタ430、およびポリマー層421上にスピンオン・コーティングすることによって形成することができる。スピンオン・コーティングしたポリベンゾオキサゾール層は、次いで、ベーキングし、露光することができる。ベーキングしたポリベンゾオキサゾール層を露光するには、434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。ベーキングしたポリベンゾオキサゾール層を照明することができる。ベーキングしたポリベンゾオキサゾール層を照明するには、g線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を含むことができる。露光したポリベンゾオキサゾール層を現像して、パッド432および434を露出させる多数の開口を形成することができる。次いで、現像したポリベンゾオキサゾールに熱処理ステップ/プロセスを適用することができる。現像したポリベンゾオキサゾール層を加熱即ち熱処理するには、約5および180分の間の時間、好ましくは、30および120分の間の時間、窒素雰囲気または無酸素雰囲気において、約150および約250°Cの間の温度、好ましくは180および250°Cの間、または200および400°Cの間、好ましくは250および350°Cの間の温度を用いることを含むことができる。実施形態例では、熱処理したポリベンゾオキサゾール層は、約3および約25μmの間の厚さを有することができる。例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をパッド432および434から除去することができる。このようなプロセスによって、金属層428、インダクタ430、およびポリマー層421上にポリマー層436を形成することができ、パッド432および434を露出させる開口436aをポリマー層436に形成することができる。 In other applications, the polymer layer 436 is a spin-on coating of a positive photosensitive polybenzoxazole layer having a thickness between about 3 and about 25 micrometers on the metal layer 428, the inductor 430, and the polymer layer 421. Can be formed. The spin-on coated polybenzoxazole layer can then be baked and exposed. For exposing the baked polybenzoxazole layer, the g-line has a wavelength in the range of 434 to 438 nm, the h-line has a wavelength in the range of 403 to 407 nm, and the i-line has a wavelength in the range of 363 to 367 nm. It may include using a 1X stepper or 1X contact aligner with at least two. The baked polybenzoxazole layer can be illuminated. Illuminating the baked polybenzoxazole layer can include g-line and h-line, g-line and i-line, h-line and i-line, or g-line, h-line, and i-line illuminations. The exposed polybenzoxazole layer can be developed to form multiple openings that expose pads 432 and 434. A heat treatment step / process can then be applied to the developed polybenzoxazole. To heat or heat-treat the developed polybenzoxazole layer, a time between about 5 and 180 minutes, preferably a time between 30 and 120 minutes, about 150 and about 250 ° in a nitrogen or oxygen-free atmosphere. It may include using a temperature between C, preferably between 180 and 250 ° C, or between 200 and 400 ° C, preferably between 250 and 350 ° C. In example embodiments, the heat-treated polybenzoxazole layer can have a thickness between about 3 and about 25 μm. For example, residual polymer material or other contaminants can be removed from pads 432 and 434 by O 2 plasma or plasma containing less than 200 PPM fluorine and oxygen. By such a process, polymer layer 436 can be formed on metal layer 428, inductor 430, and polymer layer 421, and openings 436a exposing pads 432 and 434 can be formed in polymer layer 436.

図18Lおよび図18Mを参照すると、ダイシング手順を用いて、基板100、パシベーション層160、ポリマー層421、およびポリマー層436を切断して、多数の半導体チップ600を得ることができる。半導体チップ600上にある多数のワイヤ・ボンディング・パッド432は、ワイヤ・ボンディング・プロセスによって形成されたワイヤ416を通じて、外部回路または電源に接続することができる。ワイヤ416は、銅または金で形成することができる。例えば、銅または金のワイヤは、ワイヤ・ボンディング技法によって、ワイヤ・ボンディング・パッド432に接続することができる。この場合、ワイヤ・ボンディング・パッド432は、銅パッド、アルミニウム・パッド、アルミニウム・キャップ、またはニッケル・キャップである。次いで、はんだ層420によって、表面実装技法(SMT)を用いて、コンタクト・パッド434をキャパシタ・デバイス418に接続することができ、キャパシタ・デバイス418は、集積回路20内の金属層140を通じて、インダクタ・デバイス430に接続される。勿論、キャパシタの実装後に、ダイシング手順を行うこともできる。
実施形態8の製造方法および構造2
引き続き図18Kを参照し、更に図18Nおよび図18Oを参照すると、コンタクト・パッド434およびパッド166cの間に、インダクタ430およびパッド166bを配置することができる。
Referring to FIGS. 18L and 18M, the substrate 100, the passivation layer 160, the polymer layer 421, and the polymer layer 436 can be cut to obtain a large number of semiconductor chips 600 using a dicing procedure. A number of wire bonding pads 432 on the semiconductor chip 600 can be connected to an external circuit or power source through wires 416 formed by a wire bonding process. The wire 416 can be formed of copper or gold. For example, a copper or gold wire can be connected to the wire bonding pad 432 by wire bonding techniques. In this case, the wire bonding pad 432 is a copper pad, an aluminum pad, an aluminum cap, or a nickel cap. The solder layer 420 can then connect the contact pads 434 to the capacitor device 418 using surface mount techniques (SMT), which pass through the metal layer 140 in the integrated circuit 20 and the inductor. Connected to device 430 Of course, a dicing procedure can also be performed after mounting the capacitor.
Manufacturing method and structure 2 of Embodiment 8
With continued reference to FIG. 18K, and with further reference to FIGS. 18N and 18O, inductor 430 and pad 166b may be disposed between contact pad 434 and pad 166c.

図18Pおよび図18Qを参照すると、ダイシング手順を用いて、基板100、パシベーション層160、ポリマー層421、およびポリマー層436を切断して、多数の半導体チップ600を得ることができる。半導体チップ600上にある多数のワイヤ・ボンディング・パッド432は、ワイヤ・ボンディング・プロセスによって形成されたワイヤ416を通じて、外部回路または電源に接続することができる。ワイヤ416は、銅または金で形成することができる。例えば、銅または金のワイヤは、ワイヤ・ボンディング技法によって、ワイヤ・ボンディング・パッド432に接続することができる。この場合、ワイヤ・ボンディング・パッド432は、銅パッド、アルミニウム・パッド、アルミニウム・キャップ、またはニッケル・キャップである。次いで、はんだ層420によって、表面実装技法(SMT)を用いて、コンタクト・パッド434をキャパシタ・デバイス418に接続することができ、キャパシタ・デバイス418は、金属層428または集積回路20内の金属層140を通じて、インダクタ・デバイス430に接続される。勿論、キャパシタの実装後に、ダイシング手順を行うこともできる。   Referring to FIGS. 18P and 18Q, a dicing procedure can be used to cut the substrate 100, the passivation layer 160, the polymer layer 421, and the polymer layer 436 to obtain a large number of semiconductor chips 600. A number of wire bonding pads 432 on the semiconductor chip 600 can be connected to an external circuit or power source through wires 416 formed by a wire bonding process. The wire 416 can be formed of copper or gold. For example, a copper or gold wire can be connected to the wire bonding pad 432 by wire bonding techniques. In this case, the wire bonding pad 432 is a copper pad, an aluminum pad, an aluminum cap, or a nickel cap. The solder layer 420 can then use surface mount techniques (SMT) to connect the contact pads 434 to the capacitor device 418, which is connected to the metal layer 428 or the metal layer in the integrated circuit 20. 140 is connected to the inductor device 430. Of course, a dicing procedure can also be performed after mounting the capacitor.

実施形態9
図19Aおよび図19Bを参照すると、第9実施形態(「実施形態9」)が示されている。実施形態9は実施形態8に類似しており、主な相違は、ワイヤ・ボンディング・パッド432およびパッド166aの位置である。実施形態8では、ワイヤ・ボンディング・パッド432は、パッド166aの直接上方に示されていたが、この実施形態(「実施形態9」)では、ワイヤ・ボンディング・パッド432は、パッド166aの直接上方にはない。したがって、ワイヤ・ボンディング・パッド432の位置は、パッド166aの直接上方のエリアに限定されず、要件にしたがって調節することができる。
Embodiment 9
Referring to FIGS. 19A and 19B, a ninth embodiment (“Embodiment 9”) is shown. The ninth embodiment is similar to the eighth embodiment, the main difference being the position of the wire bonding pad 432 and pad 166a. In the eighth embodiment, the wire bonding pad 432 is shown directly above the pad 166a, but in this embodiment ("Embodiment 9"), the wire bonding pad 432 is directly above the pad 166a. Not. Thus, the position of the wire bonding pad 432 is not limited to the area directly above the pad 166a and can be adjusted according to requirements.

実施形態10
図20Aおよび図20Bを参照すると、第10実施形態(「実施形態10」)が示されている。この実施形態は、実施形態8に類似しており、相違は、ポリマー層436における多数の開口436aによって暴露されるインダクタ・デバイスの接続パッド438にある。接続パッド438は、ワイヤ・ボンディング・プロセスによって作られたワイヤ416を用いて、外部回路または電源に接続することができる。
Embodiment 10
Referring to FIGS. 20A and 20B, a tenth embodiment (“Embodiment 10”) is shown. This embodiment is similar to embodiment 8, with the difference being in the inductor device connection pads 438 exposed by multiple openings 436a in the polymer layer 436. The connection pad 438 can be connected to an external circuit or power source using wires 416 made by a wire bonding process.

実施形態11
図21Aから図21Kを参照すると、第11実施形態(「実施形態11」)が示されている。これらの図では、集積回路20は、パシベーション層160の下にある全ての構造を表す。また、集積回路20には、基板100、デバイス110、112、114、第1誘電体層150、金属層140、第2誘電体層155、金属コンタクト120、および金属ビア130(例えば、図7Aに示したような)も含まれており、パシベーション層160における多数のパシベーション層開口165aが、多数のパッド166a、166b、および166cを暴露する(パッド166aは示されていない)。
Embodiment 11
Referring to FIGS. 21A to 21K, an eleventh embodiment (“Embodiment 11”) is shown. In these figures, the integrated circuit 20 represents all the structures underlying the passivation layer 160. Also, the integrated circuit 20 includes a substrate 100, devices 110, 112, 114, a first dielectric layer 150, a metal layer 140, a second dielectric layer 155, a metal contact 120, and a metal via 130 (see, for example, FIG. 7A). As shown), and a number of passivation layer openings 165a in the passivation layer 160 expose a number of pads 166a, 166b, and 166c (pads 166a not shown).

図21Bを参照すると、パシベーション層160ならびにコンタクト・パッド166a、166b、166c上でスパッタリングを行うことによって、接着/バリア層401を形成することができる。接着/バリア層401の厚さは、約0.1マイクロメートルおよび約1マイクロメートルの間であり、最適な厚さは0.3マイクロメートルおよび0.8マイクロメートルの間である。接着/バリア層401の材料は、TiWまたはTiまたはTi/TiWであることが好ましい。   Referring to FIG. 21B, the adhesion / barrier layer 401 can be formed by sputtering on the passivation layer 160 and the contact pads 166a, 166b, 166c. The thickness of the adhesion / barrier layer 401 is between about 0.1 micrometers and about 1 micrometer with the optimum thickness being between 0.3 micrometers and 0.8 micrometers. The material of the adhesion / barrier layer 401 is preferably TiW or Ti or Ti / TiW.

図21Cを参照すると、例えば、約0.05マイクロメートルおよび約1マイクロメートルの間の厚さ(好ましい厚さは、0.08マイクロメートルおよび0.7マイクロメートルの間である)を有するシード層402を、スパッタリングによって接着/バリア層401上に次に形成することができる。この実施形態では、シード層402は、金で作ることが望ましい。しかしながら、シード層402の材料は、後にその上に形成される金属層の材料に応じて、変化することができる。   Referring to FIG. 21C, for example, a seed layer having a thickness between about 0.05 micrometers and about 1 micrometer (preferred thickness is between 0.08 micrometers and 0.7 micrometers). 402 can then be formed on the adhesion / barrier layer 401 by sputtering. In this embodiment, the seed layer 402 is preferably made of gold. However, the material of the seed layer 402 can vary depending on the material of the metal layer that is subsequently formed thereon.

図21Dを参照すると、シード層402上にフォトレジスト層404を形成し、スピン・コーティング、露光、および現像によって、フォトレジスト層404にパターニングを行い、フォトレジスト層404に多数のフォトレジスト層開口404aを形成する。フォトレジスト層開口404aは、パッド166a、166b、および166cの上にあるシード層402の部分を別個に暴露する。   Referring to FIG. 21D, a photoresist layer 404 is formed on the seed layer 402, and the photoresist layer 404 is patterned by spin coating, exposure, and development, and a plurality of photoresist layer openings 404a are formed in the photoresist layer 404. Form. Photoresist layer opening 404a separately exposes the portion of seed layer 402 overlying pads 166a, 166b, and 166c.

図21Eを参照すると、電気めっき、無電解めっき、スパッタリング、またはCVD法によって、シード層402上にボンディング金属層406を形成する。これは、 フォトレジスト層開口404a内にある。ボンディング金属層406は、アルミニウム、金、銅、銀、パラジウム、ロジウム、ルテニウム、レニウム、および/またはニッケルから成ることができ、単一金属層構造または多重金属層構造を有することができる。ボンディング金属層406の厚さは、好ましくは、約1マイクロメートルおよび約100マイクロメートルの間とすることができ、最適な好ましい厚さは、1.5マイクロメートルおよび15マイクロメートルの間である。層406は、例えば、Cu/Ni/Au、Cu/Au、Cu/Ni/Pd、および/またはCu/Ni/Ptを含む、多重積層構造を形成する多数の金属層の組み合わせで作ることができる。この実施形態では、ボンディング金属層406は、金で作られた単一層であることが好ましい。   Referring to FIG. 21E, a bonding metal layer 406 is formed on the seed layer 402 by electroplating, electroless plating, sputtering, or CVD. This is in the photoresist layer opening 404a. The bonding metal layer 406 can be made of aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, and / or nickel, and can have a single metal layer structure or a multiple metal layer structure. The thickness of the bonding metal layer 406 can preferably be between about 1 micrometer and about 100 micrometers, with an optimal preferred thickness being between 1.5 micrometers and 15 micrometers. Layer 406 can be made of a combination of multiple metal layers forming a multi-layered structure including, for example, Cu / Ni / Au, Cu / Au, Cu / Ni / Pd, and / or Cu / Ni / Pt. . In this embodiment, the bonding metal layer 406 is preferably a single layer made of gold.

図21Fを参照すると、パターン化フォトレジスト層404、ならびに金属層406の下ではないシード層402および接着/バリア層401の部分に、除去プロセスを適用することができる。金で作られているシード層402の部分は、Iを含有する溶剤を用いることによって除去することができる。層401の材料がTiWである場合、過酸化水素(H)を含有する溶剤を用いることによって、接着/バリア層401を除去することができる。パターン化フォトレジスト層404、ならびにボンディング金属層406の下ではないシード層402および接着/バリア層401の部分を除去した後、ボンディング金属層406は、多数のワイヤ・ボンディング・パッド440、および多数のコンタクト・パッド442を形成することができる。ワイヤ・ボンディング・パッド440およびコンタクト・パッド442は、ボンディング金属層406を通じて接続することができる。 Referring to FIG. 21F, a removal process can be applied to the patterned photoresist layer 404 and portions of the seed layer 402 and adhesion / barrier layer 401 that are not under the metal layer 406. The portion of the seed layer 402 made of gold can be removed by using a solvent containing I 2 . When the material of the layer 401 is TiW, the adhesion / barrier layer 401 can be removed by using a solvent containing hydrogen peroxide (H 2 O 2 ). After removing the patterned photoresist layer 404 and portions of the seed layer 402 and the adhesion / barrier layer 401 that are not under the bonding metal layer 406, the bonding metal layer 406 includes a number of wire bonding pads 440, and a number of bonding layers. Contact pads 442 can be formed. Wire bond pad 440 and contact pad 442 may be connected through bonding metal layer 406.

図21Gを参照すると、例えば、スピン・コーティングを用いることによって、多数のワイヤ・ボンディング・パッド440、多数のコンタクト・パッド442、およびパシベーション層160の上に、ポリマー層444を形成することができる。   Referring to FIG. 21G, a polymer layer 444 can be formed over a number of wire bonding pads 440, a number of contact pads 442, and a passivation layer 160, for example, by using spin coating.

図21Hを参照すると、露光および現像、ならびにOプラズマ・アッシュによって、ポリマー層444に多数の開口444aをパターニングすることができる。これらの開口444aは、多数のワイヤ・ボンディング・パッド440、および多数のコンタクト・パッド442を暴露する。次いで、例えば、摂氏約150および380度の間の温度下でポリマー層444を熱処理することができる。ポリマー層444の材料は、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリベンゾオキサゾール(PBO)、パリレン、エポキシ樹脂またはスイス国RenensのSotec Microsystemsによって提供されるフォトエポキシSU−8のようなエポキシ系材料、あるいは、シリコーン、またはAsahi glass Co.によって提供されるAL−2000のようなエラストマーから選択することができる。ポリマー層444がポリイミドで作られている場合、エステル型ポリイミドであることが好ましい。ポリマー層444は、リソグラフィを用いてポリマー層444をパターニングすることができるように、感光性であることが好ましい(こうすると、エッチング・プロセスが不要となる)。実施形態例では、ポリマー層444は約5マイクロメートルおよび約50マイクロメートルの間の厚さを有することができる。最適な厚さは、10マイクロメートルおよび20マイクロメートルの間である。ポリマー層444は、硬化温度が約130および約200度Cの間、そして好ましくは150および190度Cの間であるフッ素含有ポリマーであってもよい。 Referring to FIG. 21H, a number of openings 444a can be patterned in the polymer layer 444 by exposure and development, and O 2 plasma ash. These openings 444a expose a number of wire bonding pads 440 and a number of contact pads 442. The polymer layer 444 can then be heat treated, for example, at a temperature between about 150 and 380 degrees Celsius. The material of the polymer layer 444 is polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy resin or an epoxy such as photoepoxy SU-8 provided by Sotec Microsystems of Renens, Switzerland. The material can be selected from silicones or elastomers such as AL-2000 provided by Asahi glass Co. When the polymer layer 444 is made of polyimide, it is preferably an ester type polyimide. The polymer layer 444 is preferably photosensitive so that the polymer layer 444 can be patterned using lithography (this eliminates the need for an etching process). In example embodiments, the polymer layer 444 can have a thickness between about 5 micrometers and about 50 micrometers. The optimum thickness is between 10 and 20 micrometers. The polymer layer 444 may be a fluorine-containing polymer having a curing temperature between about 130 and about 200 degrees C, and preferably between 150 and 190 degrees C.

用途によっては、6および150マイクロメートルの間の厚さを有するネガティブ型感光性ポリイミド層を、パシベーション層160および金属層406上にスピンオン・コーティングすることによって、ポリマー層444を形成することができることもある。次いで、ポリイミド層をベーキングし、露光することができる。ベーキングしたポリイミド層を露光するには、434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する、1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。次に、ベーキングしたポリイミド層を照明することができる。ベーキングしたポリイミド層を照明するには、例えば、水銀灯光源からの、g線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を利用することを含むことができる。次に、露光したポリイミド層を現像し、パッド440および442を露出させる多数の開口を形成することができる。次に、熱処理を行うことができる。現像したポリイミド層の熱処理即ち加熱は、約130および約400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で行うことができる。実施形態例では、熱処理したポリイミド層は、3および25マイクロメートルの間の厚さを有することができる。次に、例えば、Oプラズマまたは200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をパッド440および442から除去することができる。このようなプロセスによって、ポリマー層444をパシベーション層160、および金属層406上に形成することができ、ポリマー層444に形成された開口444aはパッド440および442を露出させる。 In some applications, the polymer layer 444 can be formed by spin-on coating a negative photosensitive polyimide layer having a thickness between 6 and 150 micrometers on the passivation layer 160 and the metal layer 406. is there. The polyimide layer can then be baked and exposed. To expose the baked polyimide layer, at least two of g-line having a wavelength in the range of 434 to 438 nm, h-line having a wavelength in the range of 403 to 407 nm, and i-line having a wavelength in the range of 363 to 367 nm. Using a 1X stepper or 1X contact aligner with two. The baked polyimide layer can then be illuminated. To illuminate the baked polyimide layer, for example, use g-line and h-line, g-line and i-line, h-line and i-line, or g-line, h-line, and i-line illumination from a mercury lamp light source. Can be included. The exposed polyimide layer can then be developed to form a number of openings that expose the pads 440 and 442. Next, heat treatment can be performed. Heat treatment or heating of the developed polyimide layer can be performed at a temperature between about 130 and about 400 ° C. for a time between 20 and 150 minutes in a nitrogen or oxygen-free atmosphere. In an example embodiment, the heat treated polyimide layer can have a thickness between 3 and 25 micrometers. Residual polymer material or other contaminants can then be removed from pads 440 and 442, for example, by an O 2 plasma or a plasma containing less than 200 PPM fluorine and oxygen. By such a process, the polymer layer 444 can be formed on the passivation layer 160 and the metal layer 406, and the openings 444a formed in the polymer layer 444 expose the pads 440 and 442.

適した熱処理プロセスの例では、現像したポリイミド層は、180および250°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で加熱即ち熱処理することを含むことができる。あるいは、現像したポリイミド層は、250および290°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、290および400°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、200および390°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。あるいは、現像したポリイミド層は、130および220°Cの間の温度で、20および150分の間の時間、窒素雰囲気または無酸素雰囲気で熱処理即ち加熱することができる。   In an example of a suitable heat treatment process, the developed polyimide layer may comprise heating or heat treating at a temperature between 180 and 250 ° C. for a time between 20 and 150 minutes in a nitrogen or oxygen-free atmosphere. it can. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 250 and 290 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 290 and 400 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 200 and 390 ° C. for a time between 20 and 150 minutes. Alternatively, the developed polyimide layer can be heat treated or heated in a nitrogen or oxygen-free atmosphere at a temperature between 130 and 220 ° C. for a time between 20 and 150 minutes.

他の用途では、ポリマー層444は、約3および約25マイクロメートルの間の厚さを有するポジティブ型感光性ポリベンゾオキサゾール層を、パシベーション層160および金属層406上にスピンオン・コーティングすることによって形成することができる。スピンオン・コーティングしたポリベンゾオキサゾール層は、次いで、ベーキングし、露光することができる。ベーキングしたポリベンゾオキサゾール層を露光するには、434から438nmの範囲の波長を有するg線、403から407nmの範囲の波長を有するh線、および363から367nmの範囲の波長を有するi線の内少なくとも2つを有する1Xステッパまたは1Xコンタクト・アライナを用いることを含むことができる。ベーキングした層を照明することができる。ベーキングしたポリベンゾオキサゾール層を照明するには、例えば、水銀灯光源を用いて、g線およびh線、g線およびi線、h線およびi線、またはg線、h線、およびi線の照明を含むことができる。他の放射光源も、勿論、本開示のこの実施形態および他の実施形態に用いることができる。露光したポリベンゾオキサゾール層を現像して、パッド440および442を露出させる多数の開口を形成することができる。次いで、熱処理プロセスを適用することができる。現像したポリベンゾオキサゾール層を加熱即ち熱処理するには、約5および180分の間の時間、好ましくは、30および120分の間の時間、窒素雰囲気または無酸素雰囲気において、約150および約250°Cの間の温度、好ましくは180および250°Cの間、または200および400°Cの間、好ましくは250および350°Cの間の温度を用いることを含むことができる。実施形態例では、熱処理したポリベンゾオキサゾール層は、約3および約25μmの間の厚さを有することができる。例えば、Oプラズマまたは約200PPM未満のフッ素および酸素を含有するプラズマによって、残余のポリマー材または他の汚染物をパッド440および442から除去することができる。このようなプロセスによって、パシベーション層160および金属層406上にポリマー層444を形成することができ、パッド440および442を露出させる開口444aをポリマー層444に形成することができる。 In other applications, the polymer layer 444 is formed by spin-on coating a positive photosensitive polybenzoxazole layer having a thickness between about 3 and about 25 micrometers on the passivation layer 160 and the metal layer 406. can do. The spin-on coated polybenzoxazole layer can then be baked and exposed. For exposing the baked polybenzoxazole layer, the g-line has a wavelength in the range of 434 to 438 nm, the h-line has a wavelength in the range of 403 to 407 nm, and the i-line has a wavelength in the range of 363 to 367 nm. It may include using a 1X stepper or 1X contact aligner with at least two. The baked layer can be illuminated. To illuminate the baked polybenzoxazole layer, for example, using a mercury lamp light source, g-line and h-line, g-line and i-line, h-line and i-line, or g-line, h-line, and i-line illumination Can be included. Other radiation sources can of course be used for this and other embodiments of the present disclosure. The exposed polybenzoxazole layer can be developed to form multiple openings exposing pads 440 and 442. A heat treatment process can then be applied. To heat or heat-treat the developed polybenzoxazole layer, a time between about 5 and 180 minutes, preferably a time between 30 and 120 minutes, about 150 and about 250 ° in a nitrogen or oxygen-free atmosphere. It may include using a temperature between C, preferably between 180 and 250 ° C, or between 200 and 400 ° C, preferably between 250 and 350 ° C. In example embodiments, the heat-treated polybenzoxazole layer can have a thickness between about 3 and about 25 μm. For example, residual polymer material or other contaminants can be removed from pads 440 and 442 by an O 2 plasma or a plasma containing less than about 200 PPM fluorine and oxygen. By such a process, a polymer layer 444 can be formed on the passivation layer 160 and the metal layer 406, and an opening 444a exposing the pads 440 and 442 can be formed in the polymer layer 444.

図21Iおよび図21Jを参照すると、ダイシング手順を用いて、基板100、パシベーション層160、ポリマー層444を切断して、多数の半導体チップ600を得ることができる。半導体チップ600上にある多数のワイヤ・ボンディング・パッド440は、ワイヤ・ボンディング・プロセスによって形成されたワイヤ416を通じて、外部回路または電源に接続することができる。ワイヤ416は、銅または金で形成することができる。例えば、銅または金のワイヤは、ワイヤ・ボンディング技法によって、ワイヤ・ボンディング・パッド440に接続することができる。この場合、ワイヤ・ボンディング・パッド440は、銅パッド、アルミニウム・パッド、アルミニウム・キャップ、またはニッケル・キャップである。次いで、はんだ層420によって、表面実装技法(SMT)を用いて、コンタクト・パッド440をキャパシタ・デバイス446に接続することができ、キャパシタ・デバイス446は、集積回路20内の金属層140を通じて、インダクタ・デバイス448に接続される。図21Jは、線2−2によって示される切断面に沿った図21Kの断面図を示す。勿論、キャパシタの実装後に、ダイシング手順を行うこともできる。   Referring to FIG. 21I and FIG. 21J, a substrate 100, the passivation layer 160, and the polymer layer 444 can be cut using a dicing procedure to obtain a large number of semiconductor chips 600. A number of wire bonding pads 440 on the semiconductor chip 600 can be connected to an external circuit or power source through wires 416 formed by a wire bonding process. The wire 416 can be formed of copper or gold. For example, a copper or gold wire can be connected to the wire bonding pad 440 by wire bonding techniques. In this case, the wire bonding pad 440 is a copper pad, an aluminum pad, an aluminum cap, or a nickel cap. The solder layer 420 can then connect the contact pads 440 to the capacitor device 446 using surface mount techniques (SMT), which pass through the metal layer 140 in the integrated circuit 20 and the inductor. Connected to device 448 FIG. 21J shows a cross-sectional view of FIG. 21K along the cut plane indicated by line 2-2. Of course, a dicing procedure can also be performed after mounting the capacitor.

実施形態10および実施形態11によるデバイスおよび構造は、図22および図23の回路図に示すように、電圧を設定するデバイスに用いることができる。図22では、電源入力2240がインダクタ2320に接続されており、インダクタ2320はトランジスタ2114dを介してキャパシタ2310に接続されており、電圧フィードバック回路2112が電力出力2110に接続されており、スイッチ・コントローラ2114aが電圧フィードバック・デバイス2112およびスイッチ・トランジスタ2114bに接続されている。動作において、電力が電力入力2240を通じて入ると、スイッチ・コントローラ2114aは、電圧フィードバック・デバイス2112の電圧信号を受け取り、スイッチ・トランジスタ2114bのオンおよびオフ・タイミングを制御し、電源出力2110の電圧レベルを昇圧する。インダクタ2320は、キャパシタ2310、電圧フィードバック・デバイス2112、スイッチ・トランジスタ2114b、およびトランジスタ2114dと共に、既に説明した製造プロセスによる、オンチップ電圧レギュレータまたは変換器を形成する。MOSデバイス2114bを、DMOS、LDMOS、またはバイポーラ・トランジスタと置き換えることができる。MOSデバイス2114dも、DMOS、LDMOS、またはバイポーラ・トランジスタと置き換えることもできる。電圧フィードバック・デバイス2112は、動的電圧スケーリング機能を設けることができる。   The device and the structure according to the tenth and eleventh embodiments can be used for a device for setting a voltage, as shown in the circuit diagrams of FIGS. In FIG. 22, the power input 2240 is connected to the inductor 2320, the inductor 2320 is connected to the capacitor 2310 via the transistor 2114d, the voltage feedback circuit 2112 is connected to the power output 2110, and the switch controller 2114a. Is connected to the voltage feedback device 2112 and the switch transistor 2114b. In operation, when power enters through the power input 2240, the switch controller 2114a receives the voltage signal of the voltage feedback device 2112, controls the on and off timing of the switch transistor 2114b, and sets the voltage level of the power output 2110. Boost the pressure. Inductor 2320, together with capacitor 2310, voltage feedback device 2112, switch transistor 2114b, and transistor 2114d, forms an on-chip voltage regulator or converter according to the manufacturing process already described. The MOS device 2114b can be replaced with a DMOS, LDMOS, or bipolar transistor. The MOS device 2114d can also be replaced with a DMOS, LDMOS, or bipolar transistor. The voltage feedback device 2112 may provide a dynamic voltage scaling function.

図23および図22の間の相違は、図23の回路図が多数のインダクタ2320、キャパシタ2310、スイッチ・トランジスタ2114g、スイッチ・トランジスタ2114i、トランジスタ2114h、およびトランジスタ2114fで作られていることにある。スイッチ・コントローラ2114aは、電圧フィードバック・デバイス2112の電圧信号を受け取り、スイッチ・トランジスタ2114gおよびスイッチ/トランジスタ2114iのデューティ・サイクルおよび位相を制御するために用いられ、したがって、電力出力2110の電圧レベルを昇圧する。図22の回路図と比較すると、図23の回路図の方が一層精度高くそして効率的に出力電圧を規制することができる。トランジスタ2114gを、DMOS、LDMOS、またはバイポーラ・トランジスタで置き換えることができる。トランジスタ2114iを、DMOS、LDMOS、またはバイポーラ・トランジスタで置き換えることができる。トランジスタ2114fを、DMOS、LDMOS、またはバイポーラ・トランジスタで置き換えることができる。トランジスタ2114hをDMOS、LDMOS、またはバイポーラ・トランジスタで置き換えることができる。   23 and FIG. 22 is that the circuit diagram of FIG. 23 is made up of a number of inductors 2320, capacitors 2310, switch transistors 2114g, switch transistors 2114i, transistors 2114h, and transistors 2114f. Switch controller 2114a receives the voltage signal of voltage feedback device 2112 and is used to control the duty cycle and phase of switch transistor 2114g and switch / transistor 2114i, thus boosting the voltage level of power output 2110 To do. Compared with the circuit diagram of FIG. 22, the circuit diagram of FIG. 23 can regulate the output voltage with higher accuracy and efficiency. Transistor 2114g can be replaced with a DMOS, LDMOS, or bipolar transistor. Transistor 2114i can be replaced with a DMOS, LDMOS, or bipolar transistor. Transistor 2114f can be replaced with a DMOS, LDMOS, or bipolar transistor. Transistor 2114h can be replaced with a DMOS, LDMOS, or bipolar transistor.

これより図24および図25を参照すると、本開示の一実施形態によるN−型DMOSデバイスが示されている。図24は、N−型DMOSデバイスの断面図を示し、図25はN−型DMOSデバイスの上面図を示す。   24 and 25, an N-type DMOS device according to one embodiment of the present disclosure is shown. FIG. 24 shows a cross-sectional view of an N-type DMOS device, and FIG. 25 shows a top view of the N-type DMOS device.

図24および図25に示すエレメントについて、以下のように説明する。
3110:N−ウェルまたは低濃度にドープしたN−型半導体領域、
3115:低濃度にドープしたP−型半導体領域、
3120:フィールド分離領域、酸化物またはLOCOS分離が充填された浅いトレンチ分離(trench isolation)、
3125:DMOSのソース、高濃度にドープされたP−型半導体領域、
3130:DMOSのドレイン、高濃度にドープされたN−型半導体領域、
3135:DMOSのソース、高濃度にドープされたN−型半導体領域
3140:DMOSのソース、低濃度にドープされたN−型半導体領域
3145:DMOSのソース上にある金属珪酸塩(metal silicate)であって、Ni−珪酸塩、Co−珪酸塩、またはTi−珪酸塩を含む。
The elements shown in FIGS. 24 and 25 will be described as follows.
3110: N-well or lightly doped N-type semiconductor region,
3115: lightly doped P-type semiconductor region,
3120: Field isolation region, shallow trench isolation filled with oxide or LOCOS isolation,
3125: DMOS source, heavily doped P-type semiconductor region,
3130: DMOS drain, heavily doped N-type semiconductor region,
3135: DMOS source, heavily doped N-type semiconductor region 3140: DMOS source, lightly doped N-type semiconductor region 3145: Metal silicate on the source of DMOS And Ni-silicate, Co-silicate, or Ti-silicate.

3150:DMOSのゲート酸化物。
3155:ゲート・スペーサであって、酸化シリコン、窒素ドープ酸化シリコン、または窒化シリコンを含む、
3160:DMOSのドレイン上にある金属珪酸塩であって、Ni−珪酸塩、Co−珪酸塩、またはTi−珪酸塩を含む、
3165:DMOSの金属コンタクト・ポイント、
3170:DMOSのゲートであって、シリコン、Ni−珪酸塩、Co珪酸塩、Ti−珪酸塩、W−珪酸塩、Mo−珪酸塩、TiN、Ta、TaN、Al、AIN、W、WN、またはTiを含む。
3150: DMOS gate oxide.
3155: Gate spacer, comprising silicon oxide, nitrogen doped silicon oxide, or silicon nitride,
3160: Metal silicate on the drain of the DMOS, including Ni-silicate, Co-silicate, or Ti-silicate
3165: Metal contact point of DMOS,
3170: DMOS gate, silicon, Ni-silicate, Co silicate, Ti-silicate, W-silicate, Mo-silicate, TiN, Ta, TaN, Al, AIN, W, WN, or Ti is included.

図26Aおよび図26Bは、本開示の実施形態の一例による、オンチップ受動型デバイスを有する電力管理ICチップ3210aまたは3210bを含む、システム・イン/パッケージまたはモジュールの側面図を示す。   26A and 26B illustrate a side view of a system in / package or module including a power management IC chip 3210a or 3210b having an on-chip passive device, according to an example embodiment of the present disclosure.

図27Aおよび図27Bは、本開示の実施形態の一例による、オンチップ受動型デバイスを有する電力管理ICチップ3210aまたは3210bを含む、システム・イン・パッケージまたはモジュールを示す。   27A and 27B illustrate a system-in-package or module that includes a power management IC chip 3210a or 3210b having an on-chip passive device, according to an example embodiment of the present disclosure.

図28Aおよび図28Bは、本開示の実施形態の一例による、オンチップ受動型デバイスを有する電力管理ICチップ3210aまたは3210bを含むシステム・イン・パッケージまたはモジュールを示す。   28A and 28B illustrate a system-in-package or module that includes a power management IC chip 3210a or 3210b having an on-chip passive device, according to an example embodiment of the present disclosure.

図29Aおよび図29Bは、本開示の実施形態の一例による、オンチップ受動型デバイスを有する電力管理ICチップ3210aまたは3210bを含むシステム・イン・パッケージまたはモジュールを示す。   29A and 29B illustrate a system-in-package or module that includes a power management IC chip 3210a or 3210b with an on-chip passive device, according to an example embodiment of the present disclosure.

図30Aおよび図30Bは、本開示の実施形態の一例による、オンチップ受動型デバイスを有する電力管理ICチップ3210aまたは3210bを含むシステム・イン・パッケージまたはモジュールを示す。   30A and 30B illustrate a system-in-package or module that includes a power management IC chip 3210a or 3210b having an on-chip passive device, according to an example embodiment of the present disclosure.

図31Aおよび図31Bは、本開示の実施形態の一例による、オンチップ受動型デバイスを有する電力管理ICチップ3210aまたは3210bを含むシステム・イン・パッケージまたはモジュールを示す。   31A and 31B illustrate a system-in-package or module that includes a power management IC chip 3210a or 3210b having an on-chip passive device, according to an example embodiment of the present disclosure.

図32Aおよび図32Bは、本開示の実施形態の一例による、オンチップ受動型デバイスを有する電力管理ICチップ3210aまたは3210bを含むシステム・イン・パッケージまたはモジュールを示す。   32A and 32B illustrate a system-in-package or module that includes a power management IC chip 3210a or 3210b having an on-chip passive device, according to an example embodiment of the present disclosure.

図33Aおよび図33Bは、本開示の実施形態の一例による、オンチップ受動型デバイスを有する電力管理ICチップ3210aまたは3210bを含むシステム・イン・パッケージまたはモジュールを示す。図26Aから図33Bに示すエレメントは、以下を含む。   33A and 33B illustrate a system-in-package or module that includes a power management IC chip 3210a or 3210b having an on-chip passive device, according to an example embodiment of the present disclosure. Elements shown in FIGS. 26A-33B include:

3000:パッケージまたはモジュールの基板。BT、FR4、ガラス、シリコン、セラミック、Cuワイヤリング、Ni/Auパッドまたはポリイミドで作ることができる。
3210a:オンチップ受動型デバイスと組み合わせた電力管理チップであって、電圧規制、電圧変換、動的電圧スケーリング、バッテリ管理、または充電の機能を備えている。オンチップ受動型デバイスは、インダクタ、キャパシタ、または抵抗器を含む。チップ3210aは、ワイヤ・ボンディング・プロセスに用いることができる。
3000: Package or module substrate. It can be made of BT, FR4, glass, silicon, ceramic, Cu wiring, Ni / Au pad or polyimide.
3210a: A power management chip in combination with an on-chip passive device, with voltage regulation, voltage conversion, dynamic voltage scaling, battery management, or charging functions. On-chip passive devices include inductors, capacitors, or resistors. Chip 3210a can be used in a wire bonding process.

3210b:オンチップ受動型デバイスと組み合わせた電力管理チップであって、電圧規制、電圧変換、動的電圧スケーリング、バッテリ管理、または充電の機能を備えている。オンチップ受動型デバイスは、インダクタ、キャパシタ、または抵抗器を含む。チップ3210bは、フリップ・チップ・プロセスに用いることができる。   3210b: A power management chip in combination with an on-chip passive device, with voltage regulation, voltage conversion, dynamic voltage scaling, battery management, or charging functions. On-chip passive devices include inductors, capacitors, or resistors. Chip 3210b can be used in a flip chip process.

3230:ワイヤ・ボンディング・プロセスによって形成されたボンディング・ワイヤ。このワイヤは、Auワイヤ、Cuワイヤ、またはAlワイヤとするとよい。
3235:成形材料、エポキシ、またはポリイミドのような、封入材料。
3230: Bonding wire formed by a wire bonding process. This wire may be an Au wire, a Cu wire, or an Al wire.
3235: Encapsulant, such as molding material, epoxy, or polyimide.

3240:ロジック・チップ、DRAMチップ、SRAMチップ、FLASHチップ、またはアナログ・チップのようなICチップ。
3245:ロジック・チップ、DRAMチップ、SRAMチップ、FLASHチップ、またはアナログ・チップのようなICチップ。
3240: IC chip such as a logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip.
3245: IC chip such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip.

3250:銀エポキシまたはポリイミドのような接着材
3255:錫−鉛合金、錫−銀合金、錫−銀−銅合金、錫−ビスマス合金、または錫−インジウム合金のような、BGAはんだボール。
3250: Adhesive such as silver epoxy or polyimide 3255: BGA solder ball, such as tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy.

3310:電力管理チップ・パッケージの基板であって、リード・フレーム、BT、FR4、ガラス、シリコン、セラミック、Cuワイヤリング、Ni/Auパッド、またはポリイミドを含む。   3310: Power management chip package substrate, including lead frame, BT, FR4, glass, silicon, ceramic, Cu wiring, Ni / Au pad, or polyimide.

3320:Cu層、Ni層、Au層、あるいは錫−鉛合金、錫−銀合金、錫−銀−銅合金、錫−ビスマス合金、または錫−インジウム合金のような、はんだ層を備えている金属接続部。   3320: Metal with solder layer, such as Cu layer, Ni layer, Au layer, or tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy Connection part.

3330:ロジック・チップ、DRAMチップ、SRAMチップ、FLASHチップ、またはアナログ・チップのようなICチップ。
3335:成形材料、エポキシ、またはポリイミドのような、封入材料。
3330: IC chip such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip.
3335: Encapsulant, such as molding material, epoxy, or polyimide.

3340: ロジック・チップ、DRAMチップ、SRAMチップ、FLASHチップ、またはアナログ・チップのようなICチップ。
3350:エポキシまたはポリイミドを含むアンダー・フィル材料。
3340: IC chip such as a logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip.
3350: Underfill material including epoxy or polyimide.

3360:厚さが10および100マイクロメートルの間である電気めっき銅層、厚さが5および30マイクロメートルの間である電気めっき金層を有する金層、あるいは10および350マイクロメートルの間の厚さを有する、錫−鉛合金、錫−銀合金、錫−銀−銅合金、錫−ビスマス合金、または錫−インジウム合金のような、はんだ層を備えている金属バンプ。この金属バンプは、上に重なるチップ(overlying chip)上にある、チタン、窒化チタン、またはチタン−タングステン合金のような接着層と、接着層上にある銅シード層と、銅シード層上にあり、厚さが10および100マイクロメートルの間の間である電気めっき銅層と、電気めっき銅層およびはんだ層上にある電気めっきまたは無電解めっきニッケル層と、10および100マイクロメートルの間の厚さを有し電気めっきまたは無電解めっきニッケル層上にある、錫−鉛合金、錫−銀合金、錫−銀−銅合金、錫−ビスマス合金、または錫−インジウム合金のような、はんだ層とを備えており、はんだ層が下地の基板に接合されている。   3360: an electroplated copper layer with a thickness between 10 and 100 micrometers, a gold layer with an electroplated gold layer with a thickness between 5 and 30 micrometers, or a thickness between 10 and 350 micrometers A metal bump comprising a solder layer, such as a tin-lead alloy, a tin-silver alloy, a tin-silver-copper alloy, a tin-bismuth alloy, or a tin-indium alloy. This metal bump is on an overlying chip, such as an adhesive layer such as titanium, titanium nitride, or titanium-tungsten alloy, a copper seed layer on the adhesive layer, and a copper seed layer. An electroplated copper layer having a thickness between 10 and 100 micrometers; an electroplated or electroless plated nickel layer on the electroplated copper layer and the solder layer; and a thickness between 10 and 100 micrometers A solder layer, such as a tin-lead alloy, a tin-silver alloy, a tin-silver-copper alloy, a tin-bismuth alloy, or a tin-indium alloy, having a thickness on an electroplated or electrolessly plated nickel layer; The solder layer is bonded to the underlying substrate.

図34は、本発明の実施形態の一例によるステップ・ダウンDC−DCスイッチング電圧レギュレータまたは変換器を示し、オンチップ・キャパシタ1310、オンチップ・インダクタ1320、入力電力用オンチップ入力キャパシタ32u、2つのN−型スイッチングDMOSデバイス3114bおよび3114eを有するスイッチ・コントローラ即ち回路1114aを含む。   FIG. 34 illustrates a step-down DC-DC switching voltage regulator or converter according to an example embodiment of the present invention, including an on-chip capacitor 1310, an on-chip inductor 1320, an on-chip input capacitor 32u for input power, It includes a switch controller or circuit 1114a having N-type switching DMOS devices 3114b and 3114e.

前述のように、図34は、オンチップ・キャパシタ1310、オンチップ・インダクタ1320、入力電力用オンチップ入力キャパシタ32u、2つのN−型スイッチングDMOSデバイス3114bおよび3114eを有するスイッチ・コントローラ即ち回路1114aを含むステップ・ダウンDC−DCスイッチング電圧レギュレータまたは変換器を示す。図34に示すエレメントが、図14に示したのと同じ参照番号を有する場合、図14に関する先の論述においてこれらを引用することができる。パシベーション層160上に形成されたオンチップ・キャパシタ1310およびオンチップ・インダクタ1320の配列は、図3、図4、図4N、図4U、図4AJ、図4AK、図4AL、図4AM、図5、図5D、図6、図6I、および図7Aに示した表面実装キャパシタ310およびインダクタ320の配列、図7Bに示した表面実装集積受動型デバイス330の配列、図17Fから図17L、図18M、図18Q、図19A、図20Aに示した内蔵インダクタ418または430および表面実装キャパシタ418の配列、ならびに、図21Jに示した表面実装キャパシタ446およびインダクタ448の配列のように、全ての実施形態において記載した先の論述において引用することができる。図21Hにおけるパッド440に対応する出力パッド1313は、図21Jにおけるインダクタ448に対応するインダクタ1320、および 図21Jにおけるインダクタ446に対応するキャパシタ1310 に、パシベーション層160上方にある金属トレース(図21Hおよび図21Jに示した金属層401、402、および406の左側セグメントによって与えられる)を通じて、接続することができる。また、出力パッド1313は、インダクタ1320およびキャパシタ1310に、パシベーション層160の下において電気めっきされた銅またはスパッタリングされたアルミニウムで作られた金属トレースを通じて、接続することができる。入力電力用のオンチップ入力キャパシタ32uの配置は、図3、図4、図4N、図4U、図4AJ、図4AK、図4AL、図4AM、図5、図5D、図6、図6I、および図7Aに示した表面実装キャパシタ310、インダクタ1320ならびにキャパシタ1310および32uを集積した、図7Bに示した表面実装集積受動型デバイス330の配置、図17Fから図17L、図18M、図18Q、図19A、図20Aに示した表面実装キャパシタ418の配置、ならびに図21Jに示した表面実装キャパシタ446の配置のような、オンチップ・キャパシタ1310の配置として引用することができる。   As described above, FIG. 34 illustrates a switch controller or circuit 1114a having an on-chip capacitor 1310, an on-chip inductor 1320, an on-chip input capacitor 32u for input power, and two N-type switching DMOS devices 3114b and 3114e. FIG. 5 illustrates a step-down DC-DC switching voltage regulator or converter including. If the elements shown in FIG. 34 have the same reference numbers as shown in FIG. 14, they can be cited in the previous discussion regarding FIG. The arrangement of the on-chip capacitor 1310 and the on-chip inductor 1320 formed on the passivation layer 160 is shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, FIG. 5D, FIG. 6, FIG. 6I, and FIG. 7A, an array of surface mount capacitors 310 and inductors 320, an array of surface mount integrated passive devices 330 shown in FIG. 7B, FIG. 17F to FIG. 17L, FIG. 18Q, described in all embodiments, such as the array of built-in inductors 418 or 430 and surface mount capacitors 418 shown in FIGS. 19A and 20A, and the array of surface mount capacitors 446 and inductors 448 shown in FIG. 21J. It can be cited in the previous discussion. The output pad 1313 corresponding to the pad 440 in FIG. 21H has a metal trace (FIG. 21H and FIG. 21) above the passivation layer 160 to the inductor 1320 corresponding to the inductor 448 in FIG. 21J and the capacitor 1310 corresponding to the inductor 446 in FIG. Through the left segments of the metal layers 401, 402, and 406 shown in 21J. Output pad 1313 can also be connected to inductor 1320 and capacitor 1310 through metal traces made of copper or sputtered aluminum electroplated under passivation layer 160. The placement of the on-chip input capacitor 32u for input power is as shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A to 17L, FIG. 18M, FIG. 18Q, FIG. 19A, an arrangement of the surface mount integrated passive device 330 shown in FIG. 7B in which the surface mount capacitor 310, inductor 1320 and capacitors 1310 and 32u shown in FIG. 7A are integrated. 20A, and the placement of the on-chip capacitor 1310, such as the placement of the surface mount capacitor 446 shown in FIG. 21J.

図35は、本発明の実施形態の一例によるステップ・ダウンDC−DCスイッチング電圧レギュレータまたは変換器を示し、オンチップ・キャパシタ1310、オンチップ・インダクタ1320、入力電力用オンチップ入力キャパシタ32u、P−型スイッチングDMOSデバイス3115bおよびN−型スイッチングDMOSデバイス3115eを有するスイッチ・コントローラ即ち回路1114aを含む。   FIG. 35 illustrates a step-down DC-DC switching voltage regulator or converter according to an example embodiment of the present invention, with an on-chip capacitor 1310, an on-chip inductor 1320, an on-chip input capacitor 32u for input power, P- A switch controller or circuit 1114a having a n-type switching DMOS device 3115b and an n-type switching DMOS device 3115e.

前述のように、図35におけるステップ・ダウンDC−DCスイッチング電圧レギュレータまたは変換器は、オンチップ・キャパシタ1310、オンチップ・インダクタ1320、入力電力用オンチップ入力キャパシタ32u、P−型スイッチングDMOSデバイス3115bおよびN−型スイッチングDMOSデバイス3115eを有するスイッチ・コントローラまたは回路1114aを含む。図35に示すエレメントが、図14に示したのと同じ参照番号を有する場合、図14に関する先の論述においてこれらを引用することができる。パシベーション層160上に形成されたオンチップ・キャパシタ1310およびオンチップ・インダクタ1320の配列は、図3、図4、図4N、図4U、図4AJ、図4AK、図4AL、図4AM、図5、図5D、図6、図6I、および図7Aに示した表面実装キャパシタ310およびインダクタ320の配列、図7Bに示した表面実装集積受動型デバイス330の配列、図17Fから図17L、図18M、図18Q、図19A、図20Aに示した内蔵インダクタ418または430および表面実装キャパシタ418の配列、ならびに、図21Jに示した表面実装キャパシタ446およびインダクタ448の配列のように、全ての実施形態において記載した先の論述において引用することができる。図示の出力パッド1313は、図21Hにおけるパッド440に対応することができ、図21Jにおけるインダクタ448に対応するインダクタ1320、および 図21Jにおけるインダクタ446に対応するキャパシタ1310 に、パシベーション層160上方にある金属トレース(図21Hおよび図21Jに示した金属層401、402、および406の左側セグメントによって与えられる)を通じて、接続することができる。また、出力パッド1313は、キャパシタ1310、およびインダクタ1320に、パシベーション層160の下において電気めっきされた銅またはスパッタリングされたアルミニウムで作られた金属トレースを通じて、接続することができる。入力電力用のオンチップ入力キャパシタ32uの配置は、図3、図4、図4N、図4U、図4AJ、図4AK、図4AL、図4AM、図5、図5D、図6、図6I、および図7Aに示した表面実装キャパシタ310、インダクタ1320ならびにキャパシタ1310および32uを集積した、図7Bに示した表面実装集積受動型デバイス330の配置、図17Fから図17L、図18M、図18Q、図19A、図20Aに示した表面実装キャパシタ418の配置、ならびに図21Jに示した表面実装キャパシタ446の配置のような、オンチップ・キャパシタ1310の配置と同様である(そして、これらの配置として参照することができる)。   As described above, the step-down DC-DC switching voltage regulator or converter in FIG. 35 includes an on-chip capacitor 1310, an on-chip inductor 1320, an on-chip input capacitor 32u for input power, and a P-type switching DMOS device 3115b. And a switch controller or circuit 1114a having an N-type switching DMOS device 3115e. If the elements shown in FIG. 35 have the same reference numbers as shown in FIG. 14, they can be cited in the previous discussion regarding FIG. The arrangement of the on-chip capacitor 1310 and the on-chip inductor 1320 formed on the passivation layer 160 is shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, FIG. 5D, FIG. 6, FIG. 6I, and FIG. 7A, an array of surface mount capacitors 310 and inductors 320, an array of surface mount integrated passive devices 330 shown in FIG. 7B, FIG. 17F to FIG. 17L, FIG. 18Q, described in all embodiments, such as the array of built-in inductors 418 or 430 and surface mount capacitors 418 shown in FIGS. 19A and 20A, and the array of surface mount capacitors 446 and inductors 448 shown in FIG. 21J. It can be cited in the previous discussion. The illustrated output pad 1313 can correspond to the pad 440 in FIG. 21H, the inductor 1320 corresponding to the inductor 448 in FIG. 21J, and the capacitor 1310 corresponding to the inductor 446 in FIG. 21J to the metal above the passivation layer 160. The traces (provided by the left segment of the metal layers 401, 402, and 406 shown in FIGS. 21H and 21J) can be connected. Output pad 1313 can also be connected to capacitor 1310 and inductor 1320 through metal traces made of copper or sputtered aluminum electroplated under passivation layer 160. The placement of the on-chip input capacitor 32u for input power is as shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A to 17L, FIG. 18M, FIG. 18Q, FIG. 19A, an arrangement of the surface mount integrated passive device 330 shown in FIG. 7B in which the surface mount capacitor 310, inductor 1320 and capacitors 1310 and 32u shown in FIG. , Similar to the placement of the on-chip capacitor 1310, such as the placement of the surface mount capacitor 418 shown in FIG. 20A, and the placement of the surface mount capacitor 446 shown in FIG. 21J. Can do).

図36は、本発明の実施形態の一例によるステップ・アップDC−DCスイッチング電圧レギュレータまたは変換器を示し、オンチップ・キャパシタ2310、オンチップ・インダクタ2320、入力電力用オンチップ入力キャパシタ32u、2つのN−型スイッチングDMOSデバイス3116bおよび3116eを有するスイッチ・コントローラ即ち回路2114aを含む。   FIG. 36 illustrates a step-up DC-DC switching voltage regulator or converter according to an example embodiment of the present invention, including an on-chip capacitor 2310, an on-chip inductor 2320, an on-chip input capacitor 32u for input power, It includes a switch controller or circuit 2114a having N-type switching DMOS devices 3116b and 3116e.

前述のように、図36におけるステップ・アップDC−DCスイッチング電圧レギュレータまたは変換器は、オンチップ・キャパシタ2310、オンチップ・インダクタ2320、入力電力用オンチップ入力キャパシタ32u、2つのN−型スイッチングDMOSデバイス3116bおよび3116eを有するスイッチ・コントローラまたは回路2114aを含む。図36に示したエレメントは、図22に示したエレメントと同様であり、同じ参照番号を有して示されている。パシベーション層160上に形成されたオンチップ・キャパシタ2310およびオンチップ・インダクタ2320の配列は、図3、図4、図4N、図4U、図4AJ、図4AK、図4AL、図4AM、図5、図5D、図6、図6I、および図7Aに示した表面実装キャパシタ310およびインダクタ320の配列、図7Bに示した表面実装集積受動型デバイス330の配列、図17Fから図17L、図18M、図18Q、図19A、図20Aに示した内蔵インダクタ418または430および表面実装キャパシタ418の配列、ならびに、図21Jに示した表面実装キャパシタ446およびインダクタ448の配列のように、他の実施形態について先に説明したものとして引用することができる。出力パッド2110は、図21Hにおけるパッド440に対応し、図21Jにおけるインダクタ446に対応するインダクタ2310に、パシベーション層160の上方にある金属トレース(図21Hおよび図21Jに示した金属層401、402、および406の左側セグメントによって与えられる)を通じて、接続することができる。また、出力パッド2110は、キャパシタ2310に、パシベーション層160の下において電気めっきされた銅またはスパッタリングされたアルミニウムで作られた金属トレースを通じて、接続することができる。入力電力用のオンチップ入力キャパシタ32uの配置は、図3、図4、図4N、図4U、図4AJ、図4AK、図4AL、図4AM、図5、図5D、図6、図6I、および図7Aに示した表面実装キャパシタ310、インダクタ2320ならびにキャパシタ2310および32uを集積した、図7Bに示した表面実装集積受動型デバイス330の配置、図17Fから図17L、図18M、図18Q、図19A、図20Aに示した表面実装キャパシタ418の配置、ならびに図21Jに示した表面実装キャパシタ446の配置のような、オンチップ・キャパシタ1310の配置として引用することができる。図34から図36に示したN−型DOMSデバイス3114b、3114e、3115e、3116b、および3116eは、図24および図25のDMOSデバイスと同様である。   As described above, the step-up DC-DC switching voltage regulator or converter in FIG. 36 includes an on-chip capacitor 2310, an on-chip inductor 2320, an on-chip input capacitor 32u for input power, and two N-type switching DMOSs. It includes a switch controller or circuit 2114a having devices 3116b and 3116e. The elements shown in FIG. 36 are similar to the elements shown in FIG. 22 and are indicated with the same reference numerals. The arrangement of the on-chip capacitor 2310 and the on-chip inductor 2320 formed on the passivation layer 160 is as shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, FIG. 5D, FIG. 6, FIG. 6I, and FIG. 7A, an array of surface mount capacitors 310 and inductors 320, an array of surface mount integrated passive devices 330 shown in FIG. 7B, FIG. 17F to FIG. 18Q, other embodiments, such as the array of built-in inductors 418 or 430 and surface mount capacitors 418 shown in FIG. 19A and FIG. 20A, and the array of surface mount capacitors 446 and inductors 448 shown in FIG. 21J. It can be cited as an explanation. The output pad 2110 corresponds to the pad 440 in FIG. 21H, the inductor 2310 corresponding to the inductor 446 in FIG. 21J, and metal traces above the passivation layer 160 (the metal layers 401, 402, shown in FIGS. 21H and 21J, And the left segment of 406). The output pad 2110 can also be connected to the capacitor 2310 through a metal trace made of copper or sputtered aluminum electroplated under the passivation layer 160. The placement of the on-chip input capacitor 32u for input power is as shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A to 17L, FIG. 18M, FIG. 18Q, FIG. 19A, an arrangement of the surface mount integrated passive device 330 shown in FIG. 7B, in which the surface mount capacitor 310, inductor 2320 and capacitors 2310 and 32u shown in FIG. 20A, and the placement of the on-chip capacitor 1310, such as the placement of the surface mount capacitor 446 shown in FIG. 21J. The N-type DOMS devices 3114b, 3114e, 3115e, 3116b, and 3116e shown in FIGS. 34 to 36 are the same as the DMOS devices of FIGS.

図37は、図34に示したステップ・ダウン・スイッチング電圧レギュレータまたは変換器の一部の断面図を示す。図38は、図36に示したステップ・アップ・スイッチング電圧レギュレータまたは変換器の一部の断面図を示す。図37および図38に示すエレメントは、図24、図25、図34、および図37に示した参照番号と同じものを有することが示されている。図37および図38において記載する追加のエレメントは次の通りである。3180:パシベーション層における開口。この開口は、0.1および20マイクロメートルの間、0.5および30マイクロメートルの間、または0.1および200マイクロメートルの間の厚さを有する。   FIG. 37 shows a cross-sectional view of a portion of the step-down switching voltage regulator or converter shown in FIG. FIG. 38 shows a cross-sectional view of a portion of the step-up switching voltage regulator or converter shown in FIG. The elements shown in FIGS. 37 and 38 are shown to have the same reference numbers as shown in FIGS. 24, 25, 34, and 37. Additional elements described in FIGS. 37 and 38 are as follows. 3180: Opening in the passivation layer. The opening has a thickness between 0.1 and 20 micrometers, between 0.5 and 30 micrometers, or between 0.1 and 200 micrometers.

演算増幅器32g、32j、32k、および32oは、図40に示す演算増幅器の回路によって実装または実現することができる。この回路については、図40に関して以下で論ずることにする。   The operational amplifiers 32g, 32j, 32k, and 32o can be implemented or realized by the operational amplifier circuit shown in FIG. This circuit will be discussed below with respect to FIG.

図39に示されるエレメントを記載すると、次の通りである。
33a、33b、33c、33f、および33g:PMOSデバイス。
33h、33i、および33j:NMOSデバイス。
The elements shown in FIG. 39 are described as follows.
33a, 33b, 33c, 33f, and 33g: PMOS devices.
33h, 33i, and 33j: NMOS devices.

33d:抵抗器。
33e:シリコン・キャパシタへのゲート。
図40は、図34の回路図を実現する変換器の機能ブロック図を示す。図41は、図35の回路図を実現する変換器の機能ブロック図を示す。図40および図41に示すエレメントは、図24、図25、図34、図35、および図37に示すものと同じ参照番号を有して示されている。
33d: Resistor.
33e: Gate to silicon capacitor.
FIG. 40 shows a functional block diagram of a converter that realizes the circuit diagram of FIG. FIG. 41 shows a functional block diagram of a converter that realizes the circuit diagram of FIG. Elements shown in FIGS. 40 and 41 are shown having the same reference numerals as those shown in FIGS. 24, 25, 34, 35, and 37.

図40および図41に示すエレメントを記載すると、次の通りである。
114a:MOSFETドライバ、アンチ・シュート・スルー変換器制御ロジック。
1310:出力電力用切断キャパシタ。このキャパシタの容量は、1μFおよび100μFの間、0.1pFおよび50mHの間、または1pFおよび1mFの間とするとよい。
1311:電力段電圧用パッド
1313:出力電圧ノード用パッド。
The elements shown in FIGS. 40 and 41 are described as follows.
114a: MOSFET driver, anti-shoot-through converter control logic.
1310: Output power disconnect capacitor. The capacitance of this capacitor may be between 1 μF and 100 μF, between 0.1 pF and 50 mH, or between 1 pF and 1 mF.
1311: Power stage voltage pad 1313: Output voltage node pad.

1320:スイッチ・インダクタ。このインダクタのインダクタンスは、0.1nHおよび10mHの間、100nHおよび10mHの間、または1nHおよび100mHの間とすることができる。   1320: Switch inductor. The inductance of this inductor can be between 0.1 nH and 10 mH, between 100 nH and 10 mH, or between 1 nH and 100 mH.

3114b:N−型DMOSデバイス。
3114e:N−型DMOSデバイス。
3115b:P−型DMOSデバイス。
3114b: N-type DMOS device.
3114e: N-type DMOS device.
3115b: P-type DMOS device.

3115e:N−型DMOSデバイス。
31c:制御回路用電源のパッド。
FB:出力からのフィードバック電圧。
3115e: N-type DMOS device.
31c: Power supply pad for control circuit.
FB: Feedback voltage from the output.

31e:チップ・イネーブル用パッド。
31f:電力正常指示用パッド。
31g:出力電圧追跡入力用パッド。このピンに印加される信号は、基準電圧として用いられ、内部基準電圧が内部0.6V基準未満になると、これを無視する。
31e: Chip enable pad.
31f: Power normality indicating pad.
31g: Output voltage tracking input pad. The signal applied to this pin is used as a reference voltage and will be ignored if the internal reference voltage is below the internal 0.6V reference.

31h:回路の接地用パッド。
31i:固定周波数PWM(パルス−幅−変調)動作用パッド、またはデバイスを外部クロック信号に同期させるためのパッド。このピンが高の場合、デバイスを強制的に1.5MHz固定周波数PWM動作にする。
31h: Circuit grounding pad.
31i: Fixed frequency PWM (pulse-width-modulation) operation pad or pad for synchronizing the device to an external clock signal. When this pin is high, the device is forced into 1.5 MHz fixed frequency PWM operation.

31j:変換器の接地用パッド。
31q:オンチップ・キャパシタ。オンチップ入力キャパシタ31qの配置は、図3、図4、図4N、図4U、図4AJ、図4AK、図4AL、図4AM、図5、図5D、図6、図6I、および図7Aに示した表面実装キャパシタ310、インダクタ1320ならびにキャパシタ1310、32u、31qを集積した、図7Bに示した表面実装集積受動型デバイス330の配置、図17Fから図17L、図18M、図18Q、図19A、図20Aに示した表面実装キャパシタ418の配置、ならびに図21Jに示した表面実装キャパシタ446の配置のような、オンチップ・キャパシタ1310の配置として引用することができる。
31j: Pad for grounding the transducer.
31q: On-chip capacitor. The arrangement of the on-chip input capacitor 31q is shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A. FIG. 17B to FIG. 17L, FIG. 18M, FIG. 18Q, FIG. 19A, FIG. 19B, FIG. 17F to FIG. 17L, FIG. 18M, FIG. Reference may be made to an arrangement of on-chip capacitors 1310, such as the arrangement of surface mount capacitors 418 shown in 20A and the arrangement of surface mount capacitors 446 shown in FIG. 21J.

31r:フィードバック電圧用抵抗器。
31s:フィードバック電圧用抵抗器。
32a:NMOS
32b:位相ロック・ループ回路
32c:鋸波回路。
31r: Feedback voltage resistor.
31s: Feedback voltage resistor.
32a: NMOS
32b: Phase lock loop circuit 32c: sawtooth circuit.

32d:Vout発生器。
32e:高側電流検知。
32f:加算比較器。
32d: Vout generator.
32e: High-side current detection.
32f: Addition comparator.

32g:誤差増幅器。
32h:ループ補償。
32i:アナログ・ソフトスタート(analog softstart)。
32g: Error amplifier.
32h: Loop compensation.
32i: Analog softstart.

32j:パルス幅変調比較器、パルス周波数変調回路を備えているパルス変調器。
32k:パルス周波数/パルス幅変調遷移回路。
32m:低側電流検知。
32j: a pulse modulator provided with a pulse width modulation comparator and a pulse frequency modulation circuit.
32k: Pulse frequency / pulse width modulation transition circuit.
32 m: Low side current detection.

32n:バンドギャップ不足電圧保護および熱遮断。
32o:出力電圧追跡。
32p:NMOSデバイス。
32n: Bandgap undervoltage protection and thermal shutdown.
32o: Output voltage tracking.
32p: NMOS device.

32s:点線32sによって囲まれているこれらのエレメントは、チップ内に形成される。
32t:点線32tによって囲まれているこれらのエレメントは、チップのパシベーション層160(既に示した)の下に形成される。点線32tの外側にあるエレメントは、チップのパシベーション層160(既に示した)の上に形成され、オンチップ・インダクタ1320およびオンチップ・キャパシタ1310を備えているオンチップ出力フィルタを有するオンチップ・スイッチング・レギュレータまたは変換器の一部を含む。
32s: These elements surrounded by a dotted line 32s are formed in the chip.
32t: These elements surrounded by dotted line 32t are formed under the chip passivation layer 160 (already shown). An element outside the dotted line 32t is formed on the chip passivation layer 160 (shown above) and has an on-chip output filter with an on-chip inductor 1320 and an on-chip capacitor 1310. • Includes part of the regulator or converter.

32u:入力電力用オンチップ入力キャパシタ。その容量は、1nFおよび100μFの間とするとよい。
32v:スイッチング回路。
32u: On-chip input capacitor for input power. The capacitance may be between 1 nF and 100 μF.
32v: switching circuit.

32w:出力フィルタ。
インダクタ1320は、キャパシタ1310および31qならびに抵抗器31aに、パシベーション層160の上または上方に形成されたCuワイヤリングを通じて接続することができる。Cuワイヤリング層は、3および30マイクロメートルの間または2および50マイクロメートルの間の厚さを有する電気めっき銅を含有することができる。
32w: Output filter.
The inductor 1320 can be connected to the capacitors 1310 and 31q and the resistor 31a through Cu wiring formed on or above the passivation layer 160. The Cu wiring layer may contain electroplated copper having a thickness between 3 and 30 micrometers or between 2 and 50 micrometers.

実施形態例:応用回路およびチップ
前述の回路、例えば、 図14、図15、図22、図23、図34から図36、および図39から図41について示し説明したもの、ならびに図3、図4、図4N、図4U、図4AK、図4AL、図4AM、図5、図6、図6I、図7A、図7B、図17L、図18M、図18Q、図19A、図19B、図20A、図20B、図21J、および図21Kにおいて示した前述の構造は、(オンチップ受動型デバイスを有する電力管理ICチップ3210aまたは3210bを含むシステム・イン・パッケージまたはモジュールを示す)図26A、図26B、図27A、図27B、図28A、図28B、図29A、図29B、図30A、図30B、図31A、図31B、図32A、図32B、図33A、および図33Bに示したように、ワイヤ・ボンディング・プロセス用の電力管理ICチップ3210a、またはフリップ・チップ・ボンディング・プロセス用の電力管理ICチップ3210bにおいて実装すること、またはこれによって実現することができる。
Example Embodiment: Application Circuit and Chip The circuits described above, for example, FIGS. 14, 15, 22, 23, 34 to 36, and 39 to 41, and FIGS. 3 and 4 4N, 4U, 4AK, 4AL, 4AM, 5, 6, 6I, 7A, 7B, 17L, 18M, 18Q, 19A, 19B, 20A, FIG. The foregoing structure shown in 20B, FIG. 21J, and FIG. 21K shows a system-in-package or module (including a power management IC chip 3210a or 3210b with an on-chip passive device). 27A, 27B, 28A, 28B, 29A, 29B, 30A, 30B, 31A, 31B, 32A, 32B, 33A, and FIG. As shown in FIG. 33B, the power management IC chip 3210a for the wire bonding process or the power management IC chip 3210b for the flip chip bonding process can be mounted on or realized by this.

例えば、図3に示した構造全体は、オンチップ・キャパシタ310およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図32Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、図3に示したパシベーション層160における開口165によって露出された金属パッドに接合することができる。   For example, the entire structure shown in FIG. 3 includes an on-chip capacitor 310 and an on-chip inductor 320 above the passivation layer 160, and FIGS. 26A, 27A, 28A, 29A, 30A, and 31A. 32A and / or the chip 3210a shown in FIG. 32A and shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A, and / or 33A, The wire bonded wire 3230 can be bonded to the metal pad exposed by the opening 165 in the passivation layer 160 shown in FIG.

他の例については、図4または図4Nに示した構造全体は、オンチップ・キャパシタ310およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、図4または図4Nに示したパシベーション層160における開口によって露出されたパッド166bの上方にあるボンディング金属層400cに接合することができ、ワイヤ・ボンディングしたワイヤ3230は、図4Nに示した、ワイヤ・ボンディングしたワイヤ37として引用することができる。   For another example, the entire structure shown in FIG. 4 or FIG. 4N includes an on-chip capacitor 310 and an on-chip inductor 320 above the passivation layer 160, and FIG. 26A, FIG. 27A, FIG. 29A, FIG. 30A, FIG. 31A, FIG. 32A, and / or the chip 3210a shown in FIG. 33A, and FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. Alternatively, the wire-bonded wire 3230 shown in FIG. 33A can be bonded to the bonding metal layer 400c above the pad 166b exposed by the opening in the passivation layer 160 shown in FIG. 4 or FIG. 4N. The bonded wire 3230 is a wire bonder as shown in FIG. May be cited as the wire 37 was.

他の例では、図4Uまたは図4AMに示した構造全体は、オンチップ・キャパシタ310およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、図4Uまたは図4AMにおいて示したパシベーション層160における開口によって露出されたパッド166bの上方にある金属層46に接合することができ、ワイヤ・ボンディングしたワイヤ3230は、図4Uまたは図4AMにおいて示した、ワイヤ・ボンディングしたワイヤ47として引用することができる。   In another example, the entire structure shown in FIG. 4U or FIG. 4AM includes an on-chip capacitor 310 and an on-chip inductor 320 above the passivation layer 160, and FIGS. 26A, 27A, 28A, and 29A. 30A, FIG. 31A, FIG. 32A, and / or the chip 3210a shown in FIG. 33A, and FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. The wire-bonded wire 3230 shown in FIG. 33A can be bonded to the metal layer 46 above the pad 166b exposed by the opening in the passivation layer 160 shown in FIG. 4U or 4AM. The wire 3230 is the wire shown in FIG. 4U or 4AM It may be cited as the wire 47 is bonded.

加えて、図6、図6I、または図7Aに示した構造全体は、オンチップ・キャパシタ310およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、 図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、は、図6、図6I、または図7Aに示したポリマー層230における開口240によって露出された金属層220に接合することができ、ワイヤ・ボンディングしたワイヤ3230は、図6Iにおいて示した、ワイヤ・ボンディングしたワイヤ56として引用することができる。   In addition, the entire structure shown in FIG. 6, FIG. 6I, or FIG. 7A includes an on-chip capacitor 310 and an on-chip inductor 320 above the passivation layer 160, FIG. 26A, FIG. 27A, FIG. 29A, 30A, 31A, 32A, and / or the chip 3210a shown in FIG. 33A, FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. 33A can be bonded to the metal layer 220 exposed by the openings 240 in the polymer layer 230 shown in FIG. 6, FIG. 6I, or FIG. The wire bonded wire 3230 is the wire bonded wire 56 shown in FIG. 6I. Can be quoted.

更に、図7Bに示した構造全体は、キャパシタおよびインダクタの集積受動型デバイス330をパシベーション層160の上方に備えており、 図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、は、ポリマー層230における開口によって露出された金属層220に接合することができ、ワイヤ・ボンディングしたワイヤ3230は、図7Bにおいて示した、ワイヤ・ボンディングしたワイヤ56として引用することができる。   Further, the entire structure shown in FIG. 7B includes an integrated passive device 330 of capacitors and inductors above the passivation layer 160, and FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. 32A and / or the chip 3210a shown in FIG. 33A, which can be used with the wire 32A shown in FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. The bonded wire 3230 can be bonded to the metal layer 220 exposed by the opening in the polymer layer 230, and the wire bonded wire 3230 is referred to as the wire bonded wire 56 shown in FIG. 7B. be able to.

加えて、図17Lにおいて示した構造全体は、オンチップ・インダクタ408およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、 図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、図17Lにおいて示したポリマー層414における開口によって露出された金属パッド410に接合することができ、ワイヤ・ボンディングしたワイヤ3230は、ワイヤ・ボンディングしたワイヤ416として引用することができる。   In addition, the entire structure shown in FIG. 17L includes an on-chip inductor 408 and an on-chip inductor 320 above the passivation layer 160, and FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. It can be used for the chip 3210a shown in FIG. 31A, FIG. 32A, and / or FIG. 33A and shown in FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. The wire bonded wire 3230 can be bonded to the metal pad 410 exposed by the opening in the polymer layer 414 shown in FIG. 17L, and the wire bonded wire 3230 is referred to as the wire bonded wire 416. be able to.

別の例として、図18Mに示した構造全体は、オンチップ・インダクタ430およびオンチップ・キャパシタ418をパシベーション層160の上方に備えており、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、図18Mにおいて示したポリマー層436における開口によって露出されたボンディング金属層428に接合することができ、ワイヤ・ボンディングしたワイヤ3230は、図18Mにおいて示した、ワイヤ・ボンディングしたワイヤ416として引用することができる。   As another example, the entire structure shown in FIG. 18M includes an on-chip inductor 430 and an on-chip capacitor 418 above the passivation layer 160, and FIGS. 26A, 27A, 28A, 29A, and 30A. 31A, 32A, and / or 33A shown in FIG. 33A and can be used in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A, and / or 33A. The wire bonded wire 3230 shown can be bonded to the bonding metal layer 428 exposed by the openings in the polymer layer 436 shown in FIG. 18M, and the wire bonded wire 3230 is shown in FIG. Can be cited as wire-bonded wire 416 That.

加えて、図18Qに示した構造全体は、オンチップ・インダクタ430およびオンチップ・キャパシタ418をパシベーション層160の上方に備えており、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、図18Qに示したポリマー層436における開口によって露出されたボンディング金属層428に接合することができ、ワイヤ・ボンディングしたワイヤ3230は、図18Qにおいて示した、ワイヤ・ボンディングしたワイヤ416として引用することができる。   In addition, the entire structure shown in FIG. 18Q includes an on-chip inductor 430 and an on-chip capacitor 418 above the passivation layer 160, and FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. It can be used for the chip 3210a shown in FIG. 31A, FIG. 32A, and / or FIG. 33A and shown in FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. The wire-bonded wire 3230 can be bonded to the bonding metal layer 428 exposed by the openings in the polymer layer 436 shown in FIG. 18Q, and the wire-bonded wire 3230 can be bonded to the wire wires shown in FIG. It can be cited as bonded wire 416.

別の例では、図19Aに示した構造全体は、オンチップ・インダクタ430およびオンチップ・キャパシタ418をパシベーション層160の上方に備えており、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、図19Aに示したポリマー層436における開口によって露出されたワイヤボンディング・パッド432に接合することができ、ワイヤ・ボンディングしたワイヤ3230は、図19Aにおいて示した、ワイヤ・ボンディングしたワイヤ416として引用することができる。   In another example, the entire structure shown in FIG. 19A includes an on-chip inductor 430 and an on-chip capacitor 418 above the passivation layer 160, and FIGS. 26A, 27A, 28A, 29A, and 30A. 31A, 32A, and / or 33A shown in FIG. 33A and can be used in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A, and / or 33A. The wire bonded wire 3230 shown can be bonded to the wire bonding pad 432 exposed by the opening in the polymer layer 436 shown in FIG. 19A, and the wire bonded wire 3230 is shown in FIG. 19A. Can be cited as wire-bonded wire 416 That.

更に、図20Aに示した構造全体は、オンチップ・インダクタ430およびオンチップ・キャパシタ418をパシベーション層160の上方に備えており、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、図20Aに示したポリマー層436における開口によって露出されたボンディング金属層428に接合することができ、ワイヤ・ボンディングしたワイヤ3230は、図20Aにおいて示した、ワイヤ・ボンディングしたワイヤ416として引用することができる。   Further, the entire structure shown in FIG. 20A includes an on-chip inductor 430 and an on-chip capacitor 418 above the passivation layer 160, and FIGS. 26A, 27A, 28A, 29A, 30A, and 31A. 32A and / or 33A can be used in the chip 3210a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A, and / or 33A. The wire bonded wire 3230 can be bonded to the bonding metal layer 428 exposed by the openings in the polymer layer 436 shown in FIG. 20A, and the wire bonded wire 3230 can be bonded to the wire bonding shown in FIG. 20A. Can be referred to as wire 416.

加えて、図21Jに示した構造全体は、オンチップ・インダクタ448およびオンチップ・キャパシタ446をパシベーション層160の上方に備えており、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示したチップ3210aに用いることができ、図26A、図27A、図28A、図29A、図30A、図31A、図32A、および/または図33Aに示した、ワイヤ・ボンディングしたワイヤ3230は、図21Jに示したポリマー層444における開口によって露出されたボンディング・パッド440に接合することができ、ワイヤ・ボンディングしたワイヤ3230は、図21Jにおいて示した、ワイヤ・ボンディングしたワイヤ416として引用することができる。   In addition, the entire structure shown in FIG. 21J includes an on-chip inductor 448 and an on-chip capacitor 446 above the passivation layer 160, and FIGS. 26A, 27A, 28A, 29A, 30A, and 30A. It can be used for the chip 3210a shown in FIG. 31A, FIG. 32A, and / or FIG. 33A and shown in FIG. 26A, FIG. 27A, FIG. 28A, FIG. 29A, FIG. The wire-bonded wire 3230 can be bonded to the bonding pad 440 exposed by the openings in the polymer layer 444 shown in FIG. 21J, and the wire-bonded wire 3230 is shown in FIG. It can be cited as bonded wire 416.

別の例として、図3に示した構造全体は、オンチップ・キャパシタ310およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図3において示したパシベーション層160における開口165によって露出された金属パッド上に形成することができる。   As another example, the entire structure shown in FIG. 3 includes an on-chip capacitor 310 and an on-chip inductor 320 above the passivation layer 160, and FIGS. 26B, 27B, 28B, 29B, and 30B. 31B, FIG. 32B, and / or the chip 3210b shown in FIG. 33B, and can be used in FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. 30B, FIG. 31B, FIG. The illustrated metal bumps 3360 can be formed on the metal pads exposed by the openings 165 in the passivation layer 160 shown in FIG. 3 to bond the chip 3210b to the substrate 3310 or 3000.

また、図4または図4Nに示した構造全体は、オンチップ・キャパシタ310およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図4または図4Nに示したパシベーション層160における開口によって露出されたパッド166bの上方において、ボンディング金属層400c上に形成することができる。   4 or 4N includes an on-chip capacitor 310 and an on-chip inductor 320 above the passivation layer 160, and FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, and FIG. 31B, FIG. 32B, and / or the chip 3210b shown in FIG. 33B, and can be used in FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. 30B, FIG. The metal bump 3360 shown is formed on the bonding metal layer 400c above the pad 166b exposed by the opening in the passivation layer 160 shown in FIG. 4 or 4N to bond the chip 3210b to the substrate 3310 or 3000. can do.

他の例では、図4Uまたは図4AMに示した構造全体は、オンチップ・キャパシタ310およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図4Uにおいて示したパシベーション層160における開口によって露出されたパッド166bの上方において、金属層46上に形成することができる。   In another example, the entire structure shown in FIG. 4U or FIG. 4AM includes an on-chip capacitor 310 and an on-chip inductor 320 above the passivation layer 160, and FIGS. 26B, 27B, 28B, and 29B. 30B, FIG. 31B, FIG. 32B, and / or the chip 3210b shown in FIG. 33B, and FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. 30B, FIG. The metal bump 3360 shown in FIG. 33B is formed on the metal layer 46 above the pad 166b exposed by the opening in the passivation layer 160 shown in FIG. 4U in order to bond the chip 3210b to the substrate 3310 or 3000. be able to.

加えて、図5または図5Dに示した構造全体は、オンチップ・キャパシタ310およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、パシベーション層160における開口によって露出されたパッド166b上に形成することができ、金属バンプ3360は、図5または図5Dにおいて示したエレメント400および260を含む金属バンプとして、引用することができる。   In addition, the entire structure shown in FIG. 5 or FIG. 5D includes an on-chip capacitor 310 and an on-chip inductor 320 above the passivation layer 160, and FIG. 26B, FIG. 27B, FIG. 28B, FIG. 30B, FIG. 31B, FIG. 32B, and / or the chip 3210b shown in FIG. 33B, and FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. 30B, FIG. 31B, FIG. The metal bump 3360 shown in FIG. 5 can be formed on the pad 166b exposed by the opening in the passivation layer 160, and the metal bump 3360 includes the elements 400 and 260 shown in FIG. Can be quoted.

他の例では、図6、図6I、または図7Aに示した構造全体は、オンチップ・キャパシタ310およびオンチップ・インダクタ320をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図32Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図6、図6I、または図7Aにおいて示したポリマー層230における開口240によって露出された金属層220上に形成することができる。   In other examples, the entire structure shown in FIG. 6, FIG. 6I, or FIG. 7A includes an on-chip capacitor 310 and an on-chip inductor 320 above the passivation layer 160, and FIG. 26B, FIG. 28B, FIG. 29B, FIG. 30B, FIG. 31B, FIG. 32B, and / or the chip 3210b shown in FIG. 32B. FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. And / or metal bumps 3360 shown in FIG. 33B are exposed by openings 240 in polymer layer 230 shown in FIG. 6, FIG. 6I, or FIG. 7A to bond chip 3210b to substrate 3310 or 3000. It can be formed on layer 220.

更に、図7Bに示した構造全体は、キャパシタおよびインダクタの集積受動型デバイス330をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、ポリマー層230における開口によって露出された金属層220上に形成することができる。   Further, the entire structure shown in FIG. 7B includes an integrated passive device 330 of capacitors and inductors above the passivation layer 160, and is shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, and 31B. The metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B, and / or 33B can be used for the chip 3210b shown in FIG. Can be formed on the metal layer 220 exposed by the openings in the polymer layer 230 to bond the chip 3210b to the substrate 3310 or 3000.

加えて、図17Lに示した構造全体は、オンチップ・インダクタ408およびオンチップ・キャパシタ418をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図17Lにおいて示したポリマー層414における開口によって露出された金属パッド410上に形成することができる。   In addition, the entire structure shown in FIG. 17L includes an on-chip inductor 408 and an on-chip capacitor 418 above the passivation layer 160, and FIGS. 26B, 27B, 28B, 29B, 30B, and 30B. It can be used for the chip 3210b shown in FIG. 31B, FIG. 32B, and / or FIG. 33B and shown in FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. Metal bumps 3360 may be formed on the metal pads 410 exposed by the openings in the polymer layer 414 shown in FIG. 17L to bond the chip 3210b to the substrate 3310 or 3000.

更に別の例では、図18Mに示した構造全体は、オンチップ・インダクタ430およびオンチップ・キャパシタ418をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図18Mにおいて示したポリマー層436における開口によって露出された金属層428上に形成することができる。   In yet another example, the entire structure shown in FIG. 18M includes an on-chip inductor 430 and an on-chip capacitor 418 above the passivation layer 160, and FIG. 26B, FIG. 27B, FIG. 28B, FIG. 30B, FIG. 31B, FIG. 32B, and / or the chip 3210b shown in FIG. 33B, and FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. 30B, FIG. 31B, FIG. The metal bumps 3360 shown in FIG. 6 can be formed on the metal layer 428 exposed by the openings in the polymer layer 436 shown in FIG. 18M in order to bond the chip 3210b to the substrate 3310 or 3000.

別の例は、図18Qに示した構造全体は、オンチップ・インダクタ430およびオンチップ・キャパシタ418をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図18Qにおいて示したポリマー層436における開口によって露出された金属層428上に形成することができることを示す。   As another example, the entire structure shown in FIG. 18Q includes an on-chip inductor 430 and an on-chip capacitor 418 above the passivation layer 160, and FIGS. 26B, 27B, 28B, 29B, and 30B. 31B, FIG. 32B, and / or the chip 3210b shown in FIG. 33B, and can be used in FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. 30B, FIG. The illustrated metal bump 3360 indicates that the chip 3210b can be formed on the metal layer 428 exposed by the openings in the polymer layer 436 shown in FIG. 18Q to bond the chip 3210b to the substrate 3310 or 3000.

更に、図19Aに示した構造全体は、オンチップ・インダクタ430およびオンチップ・キャパシタ418をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図19Aにおいて示したポリマー層436における開口によって露出されたパッド432上に形成することができる。   Further, the entire structure shown in FIG. 19A includes an on-chip inductor 430 and an on-chip capacitor 418 above the passivation layer 160, and FIGS. 26B, 27B, 28B, 29B, 30B, and 31B. 32B and / or the chip 3210b shown in FIG. 33B and the metal shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B, and / or 33B. Bumps 3360 can be formed on pads 432 exposed by openings in polymer layer 436 shown in FIG. 19A to bond chip 3210b to substrate 3310 or 3000.

更に別の例では、図20Aに示した構造全体は、オンチップ・インダクタ430およびオンチップ・キャパシタ418をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図20Aにおいて示したポリマー層436における開口によって露出された金蔵層432上に形成することができる。   In yet another example, the entire structure shown in FIG. 20A includes an on-chip inductor 430 and an on-chip capacitor 418 above the passivation layer 160, and FIG. 26B, FIG. 27B, FIG. 28B, FIG. 30B, FIG. 31B, FIG. 32B, and / or the chip 3210b shown in FIG. 33B, and FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. 30B, FIG. 31B, FIG. The metal bumps 3360 shown in FIG. 6 can be formed on the metal layer 432 exposed by the openings in the polymer layer 436 shown in FIG. 20A in order to bond the chip 3210b to the substrate 3310 or 3000.

最後に、図21Jに示した構造全体は、オンチップ・インダクタ448およびオンチップ・キャパシタ446をパシベーション層160の上方に備えており、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示したチップ3210bに用いることができ、図26B、図27B、図28B、図29B、図30B、図31B、図32B、および/または図33Bに示した金属バンプ3360は、チップ3210bを基板3310または3000に接合するために、図21Jにおいて示したポリマー層436における開口によって露出されたボンディング・パッド444上に形成することができる。   Finally, the entire structure shown in FIG. 21J includes an on-chip inductor 448 and an on-chip capacitor 446 above the passivation layer 160, and FIGS. 26B, 27B, 28B, 29B, 30B, and 30B. It can be used for the chip 3210b shown in FIG. 31B, FIG. 32B, and / or FIG. 33B and shown in FIG. 26B, FIG. 27B, FIG. 28B, FIG. 29B, FIG. Metal bumps 3360 may be formed on bonding pads 444 exposed by openings in polymer layer 436 shown in FIG. 21J to bond chip 3210b to substrate 3310 or 3000.

したがって、以上の説明から、本開示の実施形態および態様は、半導体チップおよび応用回路を提供することができ、受動型および能動型デバイスを半導体チップと共に集積するので、2種類のデバイス間の信号経路が最小の距離を有し、したがって、高速で効果的な電圧規制が可能となり、PCB上における回路ルーティング面積を縮小することができる。各デバイスの反応/応答時間が短縮され、コスト上昇を招くことなく、電子デバイスの性能を向上させる。   Thus, from the above description, embodiments and aspects of the present disclosure can provide semiconductor chips and application circuits, and since passive and active devices are integrated with a semiconductor chip, signal paths between two types of devices Has a minimum distance, thus enabling fast and effective voltage regulation and reducing circuit routing area on the PCB. The response / response time of each device is shortened, and the performance of the electronic device is improved without increasing the cost.

以上で論じたコンポーネント、ステップ、特徴、目的、便益、および利点は、単なる例示に過ぎずない。これら、またはこれらに関する論述は、いずれも、保護範囲を限定することは全く意図していない。多数の他の実施形態も考えられる。これらは、コンポーネント、ステップ、特徴、便益、および利点がもっと少ない実施形態、それらがもっと多い実施形態、および/またはそれらが異なる実施形態を含む。また、これらは、コンポーネントおよび/またはステップが異なる配列となっている、および/または異なる順序となっている実施形態も含む。   The components, steps, features, purposes, benefits, and advantages discussed above are merely exemplary. None of these or any discussion about them is intended to limit the scope of protection at all. Many other embodiments are also possible. These include embodiments with fewer components, steps, features, benefits, and advantages, embodiments with more, and / or different embodiments. They also include embodiments in which the components and / or steps are arranged differently and / or in a different order.

本開示を読む際に、当業者であれば、本開示の実施形態は、ハードウェア、ソフトウェア、ファームウェア、またはこのようなもののあらゆる組み合わせでも、そして1系統以上のネットワーク上で実現できることが認められよう。更に、本開示の実施形態は、種々の信号に含めること、または種々の信号によって搬送すること、例えば、ワイヤレスRFまたはIR通信リンクを通じて送信し、インターネットからダウンロードすることができる。   Upon reading this disclosure, those skilled in the art will recognize that embodiments of the present disclosure can be implemented in hardware, software, firmware, or any combination of these, and on one or more networks. . Further, embodiments of the present disclosure can be included in or carried by various signals, eg, transmitted over a wireless RF or IR communication link and downloaded from the Internet.

特に述べていない限り、全ての測定値、値、格付け(rating)、位置、大きさ、サイズ、および以下に続く特許請求の範囲を含む本明細書において明記された他の仕様は、近似であり、正確ではない。これらは、これらが関係する機能、およびこれらが関連する技術分野において慣習的であることと一貫性のある正当な範囲を有することを表そうとしている。   Unless otherwise stated, all measurements, values, ratings, positions, sizes, sizes, and other specifications specified herein, including the claims that follow, are approximations. ,it's not correct. They are intended to represent the legitimate scope consistent with the functions to which they relate and their customary in the technical field to which they relate.

「ための手段」(means for)という句が特許請求の範囲において用いられる場合、記載された対応する構造および材料、ならびにその均等物を包含することを意図しており、そのように解釈されてしかるべきである。同様に、「するステップ」(step for)という句が特許請求の範囲において用いられる場合、記載された対応する行為、およびそれらの均等物を包含する。これらの句がないということは、その請求項が、対応する構造、材料、または行為のいずれにも、あるいはその均等物に限定されることを意図しておらず、そのように解釈すべきでないことを意味する。   Where the phrase “means for” is used in the claims, it is intended and intended to encompass the corresponding structures and materials described, and equivalents thereof. Should be appropriate. Similarly, when the phrase “step for” is used in the claims, it includes the corresponding act described and equivalents thereof. The absence of these phrases is not intended and should not be construed as limiting the claim to any corresponding structure, material, or act, or equivalents thereof. Means that.

以上、先の特定の実施形態(1つまたは複数)に関して、本開示について説明したが、本開示は、添付した特許請求の範囲の主旨および範囲内の変更を伴って実施することができる、即ち、本開示の主旨および範囲から逸脱することなく、形態および詳細において変更が可能であることが、当業者には認められよう。例えば、好ましい放射光源について、水銀灯によって生成されるある種のラインを用いるというように説明したが、所望の放射波長に適した他の光源も、勿論、本開示の範囲内で用いることができる。したがって、このような変更は全て、本開示の範囲(purview)に該当し、本開示は、以下に続く特許請求の範囲の主題を包括的に含むものとする。
以下に、本願出願の当初の特許請求の範囲に記載された発明を付記する。
[C1]
チップ・パッケージであって、
基板と、
前記基板の上方にある第1チップと、
前記基板の上方にある第2チップと、
前記基板の上方にある電圧レギュレータ・デバイスであって、前記第1チップおよび第2チップの異なる電圧の必要性に対処するように構成され配置されている、電圧レギュレータ・デバイスと、
を備えている、チップ・パッケージ。
[C2]
C1記載のチップ・パッケージにおいて、前記電圧レギュレータ・デバイスが半導体チップを備えており、この半導体チップが、
シリコン基板と、
前記シリコン基板内またはその上方にある多数の能動型デバイスであって、スイッチ・コントローラおよび電圧フィードバック・デバイスを備えており、前記スイッチ・コントローラおよび電圧フィードバック・デバイスが複数のMOSデバイスを構成する、能動型デバイスと、
前記シリコン基板の上方にある第1誘電体層と、
前記第1誘電体層の上方にあるメタライゼーション構造であって、このメタライゼーション構造が前記能動型デバイスに接続されており、第1金属層と、この第1金属層の上方にある第2金属層とを備えている、メタライゼーション構造と、
前記第1および第2金属層の間にある第2誘電体層と、
前記メタライゼーション構造ならびに前記第1および第2誘電体層の上方にあるパシベーション層であって、このパシベーション層における開口が、前記メタライゼーション構造のパッドおよびコンタクト・パッドを露出させる、パシベーション層と、
第1はんだ層を通じて前記パッドに接続されているインダクタ・コンポーネントおよびキャパシタ・コンポーネントであって、前記インダクタ・コンポーネント、キャパシタ・コンポーネント、スイッチ・コントローラ、および電圧フィードバック・デバイスが前記電圧レギュレータを形成する、インダクタ・コンポーネントおよびキャパシタ・コンポーネントと、
を備えている、チップ・パッケージ。
[C3]
C2記載のチップ・パッケージにおいて、前記パシベーション層が、0.3マイクロメートルよりも大きい厚さを有する窒化シリコン層を備えている、チップ・パッケージ。
[C4]
C2記載のチップ・パッケージであって、更に、前記パッドと前記インダクタ・コンポーネントおよびキャパシタ・コンポーネントとの間にアンダー・バンプ金属構造を備えており、前記第1はんだ層が前記アンダー・バンプ金属構造の上方にある、チップ・パッケージ。
[C5]
C4記載のチップ・パッケージにおいて、前記アンダー・バンプ金属構造が、ニッケル層を備えている、チップ・パッケージ。
[C6]
C4記載のチップ・パッケージにおいて、前記アンダー・バンプ金属構造が、銅層を備えている、チップ・パッケージ。
[C7]
C1記載のチップ・パッケージにおいて、前記第2チップが前記第1チップの上方にある、チップ・パッケージ。
[C8]
C1記載のチップ・パッケージにおいて、前記基板が、ボール・グリッド・アレイ(BGA)基板を構成する、チップ・パッケージ。
[C9]
チップ・パッケージであって、
基板と、
前記基板の上方にある第1チップと、
前記基板の上方にある第2チップと、
前記基板の上方にある電圧変換デバイスであって、前記第1チップおよび第2チップの異なる電圧の必要性に対処するように構成され配置されている、電圧変換デバイスと、
を備えている、チップ・パッケージ。
[C10]
C9記載のチップ・パッケージにおいて、前記電圧変換デバイスが半導体チップを備えており、この半導体チップが、
シリコン基板と、
前記シリコン基板内またはその上方にある多数の能動型デバイスであって、スイッチ・コントローラおよび電圧フィードバック・デバイスを備えており、前記スイッチ・コントローラおよび電圧フィードバック・デバイスが複数のMOSデバイスを構成する、能動型デバイスと、
前記シリコン基板の上方にある第1誘電体層と、
前記第1誘電体層の上方にあるメタライゼーション構造であって、このメタライゼーション構造が前記能動型デバイスに接続されており、第1金属層と、この第1金属層の上方にある第2金属層とを備えている、メタライゼーション構造と、
前記第1および第2金属層の間にある第2誘電体層と、
前記メタライゼーション構造ならびに前記第1および第2誘電体層の上方にあるパシベーション層であって、このパシベーション層における開口が、前記メタライゼーション構造のパッドおよびコンタクト・パッドを露出させる、パシベーション層と、
第1はんだ層を通じて前記パッドに接続されているインダクタ・コンポーネントおよびキャパシタ・コンポーネントであって、前記インダクタ・コンポーネント、キャパシタ・コンポーネント、スイッチ・コントローラ、および電圧フィードバック・デバイスがオンチップ電圧変換器を形成する、インダクタ・コンポーネントおよびキャパシタ・コンポーネントと、
を備えている、チップ・パッケージ。
[C11]
C10記載のチップ・パッケージにおいて、前記パシベーション層が、0.3マイクロメートルよりも大きい厚さを有する窒化シリコン層を備えている、チップ・パッケージ。
[C12]
C10記載のチップ・パッケージであって、更に、前記パッドと前記インダクタ・コンポーネントおよびキャパシタ・コンポーネントとの間にアンダー・バンプ金属構造を備えており、前記第1はんだ層が前記アンダー・バンプ金属構造の上方にある、チップ・パッケージ。
[C13]
C12記載のチップ・パッケージにおいて、前記アンダー・バンプ金属構造が、ニッケル層を備えている、チップ・パッケージ。
[C14]
C12記載のチップ・パッケージにおいて、前記アンダー・バンプ金属構造が、銅層を備えている、チップ・パッケージ。
[C15]
C9記載のチップ・パッケージにおいて、前記第2チップが前記第1チップの上方にある、チップ・パッケージ。
[C16]
C9記載のチップ・パッケージにおいて、前記基板が、ボール・グリッド・アレイ(BGA)基板を構成する、チップ・パッケージ。
[C17]
チップ・パッケージであって、
基板と、
前記基板の上方にある第1チップと、
前記基板の上方にある第2チップと、
前記基板の上方にある電力管理デバイスであって、前記第1チップおよび第2チップの異なる電圧の必要性に対処するように構成され配置されている、電力管理デバイスと、
を備えている、チップ・パッケージ。
[C18]
C17記載のチップ・パッケージにおいて、前記電力管理デバイスが半導体チップを備えており、この半導体チップが、
シリコン基板と、
前記シリコン基板内またはその上方にある多数の能動型デバイスであって、スイッチ・コントローラおよび電圧フィードバック・デバイスを備えており、前記スイッチ・コントローラおよび電圧フィードバック・デバイスが複数のMOSデバイスを構成する、能動型デバイスと、
前記シリコン基板の上方にある第1誘電体層と、
前記第1誘電体層の上方にあるメタライゼーション構造であって、このメタライゼーション構造が前記能動型デバイスに接続されており、第1金属層と、この第1金属層の上方にある第2金属層とを備えている、メタライゼーション構造と、
前記第1および第2金属層の間にある第2誘電体層と、
前記メタライゼーション構造ならびに前記第1および第2誘電体層の上方にあるパシベーション層であって、このパシベーション層における開口が、前記メタライゼーション構造のパッドおよびコンタクト・パッドを露出させる、パシベーション層と、
第1はんだ層を通じて前記パッドに接続されているインダクタ・コンポーネントおよびキャパシタ・コンポーネントと、
を備えている、チップ・パッケージ。
[C19]
C18記載のチップ・パッケージにおいて、前記パシベーション層が、0.3マイクロメートルよりも大きい厚さを有する窒化シリコン層を備えている、チップ・パッケージ。
[C20]
C18記載のチップ・パッケージであって、更に、前記パッドと前記インダクタ・コンポーネントおよびキャパシタ・コンポーネントとの間にアンダー・バンプ金属構造を備えており、前記第1はんだ層が前記アンダー・バンプ金属構造の上方にある、チップ・パッケージ。
[C21]
C20記載のチップ・パッケージにおいて、前記アンダー・バンプ金属構造が、ニッケル層を備えている、チップ・パッケージ。
[C22]
C20記載のチップ・パッケージにおいて、前記アンダー・バンプ金属構造が、銅層を備えている、チップ・パッケージ。
[C23]
C17記載のチップ・パッケージにおいて、前記第2チップが前記第1チップの上方にある、チップ・パッケージ。
[C24]
C17記載のチップ・パッケージにおいて、前記基板が、ボール・グリッド・アレイ(BGA)基板を構成する、チップ・パッケージ。
Although the present disclosure has been described with reference to the particular embodiment (s) above, the present disclosure can be practiced with modification within the spirit and scope of the appended claims, Those skilled in the art will recognize that changes may be made in form and detail without departing from the spirit and scope of the disclosure. For example, while preferred radiation sources have been described as using certain lines produced by mercury lamps, other light sources suitable for the desired radiation wavelength can, of course, be used within the scope of the present disclosure. Accordingly, all such modifications fall within the scope of this disclosure, and this disclosure is intended to encompass the subject matter of the claims that follow.
Hereinafter, the invention described in the scope of claims of the present application will be appended.
[C1]
A chip package,
A substrate,
A first chip above the substrate;
A second chip above the substrate;
A voltage regulator device overlying the substrate, the voltage regulator device configured and arranged to address different voltage needs of the first chip and the second chip;
A chip package.
[C2]
The chip package according to C1, wherein the voltage regulator device comprises a semiconductor chip,
A silicon substrate;
A number of active devices in or above the silicon substrate, comprising a switch controller and a voltage feedback device, wherein the switch controller and the voltage feedback device comprise a plurality of MOS devices Type device,
A first dielectric layer overlying the silicon substrate;
A metallization structure above the first dielectric layer, the metallization structure connected to the active device, and a first metal layer and a second metal above the first metal layer A metallization structure comprising layers,
A second dielectric layer between the first and second metal layers;
A passivation layer above the metallization structure and the first and second dielectric layers, wherein an opening in the passivation layer exposes a pad and a contact pad of the metallization structure; and
An inductor component and a capacitor component connected to the pad through a first solder layer, wherein the inductor component, the capacitor component, a switch controller, and a voltage feedback device form the voltage regulator Components and capacitor components;
A chip package.
[C3]
The chip package of C2, wherein the passivation layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers.
[C4]
The chip package according to C2, further comprising an under bump metal structure between the pad and the inductor component and the capacitor component, wherein the first solder layer is formed of the under bump metal structure. The chip package at the top.
[C5]
The chip package of C4, wherein the under bump metal structure comprises a nickel layer.
[C6]
The chip package of C4, wherein the under bump metal structure comprises a copper layer.
[C7]
The chip package of C1, wherein the second chip is above the first chip.
[C8]
The chip package of C1, wherein the substrate comprises a ball grid array (BGA) substrate.
[C9]
A chip package,
A substrate,
A first chip above the substrate;
A second chip above the substrate;
A voltage conversion device overlying the substrate, wherein the voltage conversion device is configured and arranged to address different voltage needs of the first chip and the second chip;
A chip package.
[C10]
The chip package according to C9, wherein the voltage conversion device includes a semiconductor chip, and the semiconductor chip includes:
A silicon substrate;
A number of active devices in or above the silicon substrate, comprising a switch controller and a voltage feedback device, wherein the switch controller and the voltage feedback device comprise a plurality of MOS devices Type device,
A first dielectric layer overlying the silicon substrate;
A metallization structure above the first dielectric layer, the metallization structure connected to the active device, and a first metal layer and a second metal above the first metal layer A metallization structure comprising layers,
A second dielectric layer between the first and second metal layers;
A passivation layer above the metallization structure and the first and second dielectric layers, wherein an opening in the passivation layer exposes a pad and a contact pad of the metallization structure; and
An inductor component and a capacitor component connected to the pad through a first solder layer, the inductor component, capacitor component, switch controller, and voltage feedback device forming an on-chip voltage converter An inductor component and a capacitor component;
A chip package.
[C11]
The chip package of C10, wherein the passivation layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers.
[C12]
The chip package of C10, further comprising an under bump metal structure between the pad and the inductor component and the capacitor component, wherein the first solder layer is formed of the under bump metal structure. The chip package at the top.
[C13]
The chip package of C12, wherein the under bump metal structure comprises a nickel layer.
[C14]
The chip package of C12, wherein the under bump metal structure comprises a copper layer.
[C15]
The chip package of C9, wherein the second chip is above the first chip.
[C16]
The chip package of C9, wherein the substrate comprises a ball grid array (BGA) substrate.
[C17]
A chip package,
A substrate,
A first chip above the substrate;
A second chip above the substrate;
A power management device overlying the substrate, the power management device configured and arranged to address different voltage needs of the first chip and the second chip;
A chip package.
[C18]
The chip package according to C17, wherein the power management device includes a semiconductor chip, and the semiconductor chip includes:
A silicon substrate;
A number of active devices in or above the silicon substrate, comprising a switch controller and a voltage feedback device, wherein the switch controller and the voltage feedback device comprise a plurality of MOS devices Type device,
A first dielectric layer overlying the silicon substrate;
A metallization structure above the first dielectric layer, the metallization structure connected to the active device, and a first metal layer and a second metal above the first metal layer A metallization structure comprising layers,
A second dielectric layer between the first and second metal layers;
A passivation layer above the metallization structure and the first and second dielectric layers, wherein an opening in the passivation layer exposes a pad and a contact pad of the metallization structure; and
An inductor component and a capacitor component connected to the pad through a first solder layer;
A chip package.
[C19]
The chip package of C18, wherein the passivation layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers.
[C20]
The chip package of C18, further comprising an under bump metal structure between the pad and the inductor component and the capacitor component, wherein the first solder layer is formed of the under bump metal structure. The chip package at the top.
[C21]
The chip package of C20, wherein the under bump metal structure comprises a nickel layer.
[C22]
The chip package of C20, wherein the under bump metal structure comprises a copper layer.
[C23]
The chip package of C17, wherein the second chip is above the first chip.
[C24]
The chip package of C17, wherein the substrate comprises a ball grid array (BGA) substrate.

Claims (22)

半導体チップであって、
半導体基板と、
前記半導体基板上にある第1DMOSデバイスと、
前記半導体基板上にある第2DMOSデバイスと、
前記半導体基板の上方にある第1キャパシタと、
前記半導体基板の上方にある、第1端子および第2端子を有するインダクタであって、前記インダクタの前記第1端子は、前記第1DMOSデバイスの第1端子および前記第2DMOSデバイスの第1端子に結合され、前記インダクタの前記第2端子は、前記第1キャパシタに結合される、インダクタと、
前記第1DMOSデバイスの第2端子に結合されたオンチップ・キャパシタと、
を備えている、半導体チップ。
A semiconductor chip,
A semiconductor substrate;
A first 1D MO S devices located on said semiconductor substrate,
A second DMOS device on the semiconductor substrate;
A first capacitor above the semiconductor substrate;
Is above the semiconductor substrate, a inductor having a first terminal and a second terminal, said first terminal of said inductor, coupled to the first terminal of the first terminal and the second 2DMOS device of claim 1DMOS device And the second terminal of the inductor is coupled to the first capacitor; and
An on-chip capacitor coupled to a second terminal of the first DMOS device;
A semiconductor chip comprising:
請求項1記載の半導体チップであって、更に、前記半導体基板の上方にあるパシベーション層を備えており、前記パシベーション層が窒化物層を備えており、前記第1キャパシタが前記パシベーション層の上方にある、半導体チップ。   2. The semiconductor chip according to claim 1, further comprising a passivation layer above the semiconductor substrate, wherein the passivation layer includes a nitride layer, and the first capacitor is above the passivation layer. There is a semiconductor chip. 請求項2記載の半導体チップにおいて、前記窒化物層が、0.3マイクロメートルよりも大きな厚さを有する窒化シリコン層を備えている、半導体チップ。   The semiconductor chip of claim 2, wherein the nitride layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers. 請求項1記載の半導体チップであって、更に、前記半導体基板の上方にあるパシベーション層を備えており、前記パシベーション層が窒化物層を備えており、前記インダクタが前記パシベーション層の上方にある、半導体チップ。   The semiconductor chip according to claim 1, further comprising a passivation layer above the semiconductor substrate, the passivation layer comprising a nitride layer, and the inductor being above the passivation layer. Semiconductor chip. 請求項4記載の半導体チップにおいて、前記窒化物層が、0.3マイクロメートルよりも大きな厚さを有する窒化シリコン層を備えている、半導体チップ。   5. The semiconductor chip of claim 4, wherein the nitride layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers. 請求項1記載の半導体チップであって、更に、前記インダクタの上方にポリマー層を備えている、半導体チップ。   The semiconductor chip according to claim 1, further comprising a polymer layer above the inductor. 請求項6記載の半導体チップであって、更に、前記第1キャパシタの底部に錫含有接合部を備えている、半導体チップ。   7. The semiconductor chip according to claim 6, further comprising a tin-containing joint at the bottom of the first capacitor. 請求項1記載の半導体チップにおいて、前記インダクタが、1.5および15マイクロメートルの間の厚さを有する銅層を備えている、半導体チップ。   The semiconductor chip of claim 1, wherein the inductor comprises a copper layer having a thickness between 1.5 and 15 micrometers. 半導体チップであって、
半導体基板と、
前記半導体基板上にある第1DMOSデバイスと、
前記半導体基板上にある第2DMOSデバイスと、
前記半導体基板の上方にある第1キャパシタと、
前記半導体基板の上方にある、第1端子および第2端子を有するインダクタであって、前記インダクタの前記第1端子は、前記第1DMOSデバイスの第1端子および前記第2DMOSデバイスの第1端子に結合され、前記インダクタの前記第2端子は前記第1キャパシタに結合される、インダクタと、
前記第1DMOSデバイスのゲートおよび前記第2DMOSデバイスのゲートに結合されたスイッチ・コントローラと、
前記インダクタの前記第2端子および前記第1キャパシタに結合された第1端子と、前記スイッチ・コントローラに結合された第2端子とを有する電圧フィードバック・デバイスと、
前記第1DMOSデバイスの第2端子に結合されたオンチップ・キャパシタと、
を備えている、半導体チップ。
A semiconductor chip,
A semiconductor substrate;
A first 1D MO S devices located on said semiconductor substrate,
A second DMOS device on the semiconductor substrate;
A first capacitor above the semiconductor substrate;
An inductor having a first terminal and a second terminal above the semiconductor substrate, wherein the first terminal of the inductor is coupled to a first terminal of the first DMOS device and a first terminal of the second DMOS device. An inductor coupled to the first capacitor, the second terminal of the inductor;
A switch controller coupled to the gate of the first DMOS device and the gate of the second DMOS device;
A voltage feedback device having a first terminal coupled to the second terminal of the inductor and the first capacitor; and a second terminal coupled to the switch controller;
An on-chip capacitor coupled to a second terminal of the first DMOS device;
A semiconductor chip comprising:
請求項9記載の半導体チップであって、更に、前記半導体基板の上方にあるパシベーション層を備えており、前記パシベーション層が窒化物層を備えており、前記第1キャパシタが前記パシベーション層の上方にある、半導体チップ。   10. The semiconductor chip according to claim 9, further comprising a passivation layer above the semiconductor substrate, wherein the passivation layer includes a nitride layer, and the first capacitor is above the passivation layer. There is a semiconductor chip. 請求項10記載の半導体チップにおいて、前記窒化物層が、0.3マイクロメートルよりも大きな厚さを有する窒化シリコン層を備えている、半導体チップ。   11. The semiconductor chip of claim 10, wherein the nitride layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers. 請求項9記載の半導体チップであって、更に、前記半導体基板の上方にあるパシベーション層を備えており、前記パシベーション層が窒化物層を備えており、前記インダクタが前記パシベーション層の上方にある、半導体チップ。   The semiconductor chip according to claim 9, further comprising a passivation layer above the semiconductor substrate, the passivation layer comprising a nitride layer, and the inductor being above the passivation layer. Semiconductor chip. 請求項12記載の半導体チップにおいて、前記窒化物層が、0.3マイクロメートルよりも大きな厚さを有する窒化シリコン層を備えている、半導体チップ。   13. The semiconductor chip of claim 12, wherein the nitride layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers. 請求項13記載の半導体チップであって、更に、前記半導体基板の上方にあるパシベーション層を備えており、前記パシベーション層が窒化物層を備えており、前記オンチップ・キャパシタが前記パシベーション層の上方にある、半導体チップ。   14. The semiconductor chip according to claim 13, further comprising a passivation layer above the semiconductor substrate, the passivation layer comprising a nitride layer, and the on-chip capacitor above the passivation layer. A semiconductor chip. 請求項14記載の半導体チップにおいて、前記窒化物層が、0.3マイクロメートルよりも大きな厚さを有する窒化シリコン層を備えている、半導体チップ。   15. The semiconductor chip of claim 14, wherein the nitride layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers. 半導体チップであって、
半導体基板と、
前記半導体基板の上方にあるインダクタと、
前記半導体基板の上方にある第1キャパシタと、
前記半導体基板上にある第1DMOSデバイスであって、前記第1DMOSデバイスの第1端子は前記インダクタの第1端子に結合される、第1DMOSデバイスと、
前記半導体基板上にある第2DMOSデバイスであって、前記インダクタの前記第1端子および前記第1DMOSデバイスに結合されたゲートと、前記インダクタの前記第1端子、前記第1DMOSデバイス、および前記第2DMOSデバイスの前記ゲートに結合された前記第2DMOSデバイスの第1端子と、前記第1キャパシタに結合された前記第2DMOSデバイスの第2端子とを有する、第2DMOSデバイスと、
前記第1DMOSデバイスのゲートに結合されたスイッチ・コントローラと、
前記第2DMOSデバイスの前記第2端子および前記第1キャパシタに結合された第1端子と、前記スイッチ・コントローラに結合された第2端子とを有する電圧フィードバック・デバイスと、
前記第1DMOSデバイスの第2端子に結合されたオンチップ・キャパシタと、
を備えている、半導体チップ。
A semiconductor chip,
A semiconductor substrate;
An inductor above the semiconductor substrate;
A first capacitor above the semiconductor substrate;
Wherein a first 1D MO S devices in the semiconductor substrate, a first terminal of the first 1DMOS device is coupled to a first terminal of the inductor, and the 1DMOS device,
A second DMOS device on the semiconductor substrate, the first terminal of the inductor and a gate coupled to the first DMOS device, the first terminal of the inductor, the first DMOS device, and the second DMOS device A second DMOS device having a first terminal of the second DMOS device coupled to the gate of the second DMOS device and a second terminal of the second DMOS device coupled to the first capacitor;
A switch controller coupled to the gate of the first DMOS device;
A voltage feedback device having a first terminal coupled to the second terminal of the second DMOS device and the first capacitor, and a second terminal coupled to the switch controller;
An on-chip capacitor coupled to a second terminal of the first DMOS device;
A semiconductor chip comprising:
請求項16記載の半導体チップであって、更に、前記半導体基板の上方にあるパシベーション層を備えており、前記パシベーション層が窒化物層を備えており、前記第1キャパシタが前記パシベーション層の上方にある、半導体チップ。   17. The semiconductor chip according to claim 16, further comprising a passivation layer above the semiconductor substrate, wherein the passivation layer includes a nitride layer, and the first capacitor is above the passivation layer. There is a semiconductor chip. 請求項17記載の半導体チップにおいて、前記窒化物層が、0.3マイクロメートルよりも大きな厚さを有する窒化シリコン層を備えている、半導体チップ。   18. The semiconductor chip of claim 17, wherein the nitride layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers. 請求項16記載の半導体チップであって、更に、前記半導体基板の上方にあるパシベーション層を備えており、前記パシベーション層が窒化物層を備えており、前記インダクタが前記パシベーション層の上方にある、半導体チップ。   The semiconductor chip according to claim 16, further comprising a passivation layer above the semiconductor substrate, the passivation layer comprising a nitride layer, and the inductor being above the passivation layer. Semiconductor chip. 請求項19記載の半導体チップにおいて、前記窒化物層が、0.3マイクロメートルよりも大きな厚さを有する窒化シリコン層を備えている、半導体チップ。   20. The semiconductor chip of claim 19, wherein the nitride layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers. 請求項16記載の半導体チップであって、更に、前記半導体基板の上方にあるパシベーション層を備えており、前記パシベーション層が窒化物層を備えており、前記オンチップ・キャパシタが前記パシベーション層の上方にある、半導体チップ。   17. The semiconductor chip according to claim 16, further comprising a passivation layer above the semiconductor substrate, wherein the passivation layer comprises a nitride layer, and the on-chip capacitor is above the passivation layer. A semiconductor chip. 請求項21記載の半導体チップにおいて、前記窒化物層が、0.3マイクロメートルよりも大きな厚さを有する窒化シリコン層を備えている、半導体チップ。   The semiconductor chip of claim 21, wherein the nitride layer comprises a silicon nitride layer having a thickness greater than 0.3 micrometers.
JP2011543655A 2008-12-26 2009-12-22 Chip package with power management integrated circuit and related technology Active JP5335931B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14089508P 2008-12-26 2008-12-26
US61/140,895 2008-12-26
PCT/US2009/069303 WO2010075447A1 (en) 2008-12-26 2009-12-22 Chip packages with power management integrated circuits and related techniques

Publications (2)

Publication Number Publication Date
JP2012514338A JP2012514338A (en) 2012-06-21
JP5335931B2 true JP5335931B2 (en) 2013-11-06

Family

ID=42284696

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011543655A Active JP5335931B2 (en) 2008-12-26 2009-12-22 Chip package with power management integrated circuit and related technology

Country Status (7)

Country Link
US (2) US20100165585A1 (en)
EP (1) EP2380415B1 (en)
JP (1) JP5335931B2 (en)
KR (1) KR101332228B1 (en)
CN (1) CN102265716B (en)
TW (2) TW201041479A (en)
WO (1) WO2010075447A1 (en)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5405785B2 (en) * 2008-09-19 2014-02-05 ルネサスエレクトロニクス株式会社 Semiconductor device
WO2010075447A1 (en) 2008-12-26 2010-07-01 Megica Corporation Chip packages with power management integrated circuits and related techniques
JP5578797B2 (en) * 2009-03-13 2014-08-27 ルネサスエレクトロニクス株式会社 Semiconductor device
US8717093B2 (en) * 2010-01-08 2014-05-06 Mindspeed Technologies, Inc. System on chip power management through package configuration
US8400778B2 (en) * 2010-02-02 2013-03-19 Monolithic Power Systems, Inc. Layout schemes and apparatus for multi-phase power switch-mode voltage regulator
FR2961345A1 (en) * 2010-06-10 2011-12-16 St Microelectronics Tours Sas PASSIVE INTEGRATED CIRCUIT
JP4948634B2 (en) 2010-09-01 2012-06-06 Jx日鉱日石金属株式会社 Indium target and manufacturing method thereof
US8981763B2 (en) 2010-09-22 2015-03-17 Infineon Technologies Ag Di/dt current sensing
US9847203B2 (en) * 2010-10-14 2017-12-19 Avx Corporation Low current fuse
CN102064120B (en) * 2010-10-22 2012-02-15 中国科学院上海微系统与信息技术研究所 Soldering flux-free reflow technological method based on indium bumps
TWI523195B (en) * 2011-01-28 2016-02-21 精材科技股份有限公司 Package structure of a power module and the method of fabrication thereof
JP5140169B2 (en) 2011-03-01 2013-02-06 Jx日鉱日石金属株式会社 Indium target and manufacturing method thereof
US9142520B2 (en) * 2011-08-30 2015-09-22 Ati Technologies Ulc Methods of fabricating semiconductor chip solder structures
JP5026611B1 (en) * 2011-09-21 2012-09-12 Jx日鉱日石金属株式会社 Laminated structure and manufacturing method thereof
KR101251528B1 (en) 2011-09-29 2013-04-05 현대자동차주식회사 Structure for protecting serge of engine
US9154045B2 (en) * 2011-10-07 2015-10-06 Raytheon Company Distributed power conditioning with DC-DC converters implemented in heterogeneous integrated circuit
US8689023B2 (en) 2011-10-17 2014-04-01 Freescale Semiconductor, Inc. Digital logic controller for regulating voltage of a system on chip
US20130146345A1 (en) * 2011-12-12 2013-06-13 Kazuki KAJIHARA Printed wiring board and method for manufacturing the same
JP5074628B1 (en) 2012-01-05 2012-11-14 Jx日鉱日石金属株式会社 Indium sputtering target and method for manufacturing the same
KR20160085907A (en) 2012-08-22 2016-07-18 제이엑스금속주식회사 Cylindrical indium sputtering target and process for producing same
WO2014061984A1 (en) * 2012-10-17 2014-04-24 숭실대학교산학협력단 Display portion included in mobile terminal capable of receiving wireless power and method for manufacturing wireless power receiving portion
US9391453B2 (en) 2013-06-26 2016-07-12 Intel Corporation Power management in multi-die assemblies
JP5855319B2 (en) 2013-07-08 2016-02-09 Jx日鉱日石金属株式会社 Sputtering target and manufacturing method thereof
US9595526B2 (en) 2013-08-09 2017-03-14 Apple Inc. Multi-die fine grain integrated voltage regulation
US9613921B2 (en) * 2013-10-18 2017-04-04 Globalfoundries Inc. Structure to prevent solder extrusion
US9449934B2 (en) * 2013-12-04 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Solder joint structure for ball grid array in wafer level package
TWI551199B (en) 2014-04-16 2016-09-21 矽品精密工業股份有限公司 Substrate with electrical interconnector structure and manufacturing method thereof
US9875980B2 (en) 2014-05-23 2018-01-23 Amkor Technology, Inc. Copper pillar sidewall protection
TWI591707B (en) * 2014-06-05 2017-07-11 東琳精密股份有限公司 Packaging structure for thin die and method for manufacturing the same
TWI655727B (en) * 2014-06-17 2019-04-01 恆勁科技股份有限公司 Package substrate and flip-chip package circuit including the same
US10468381B2 (en) 2014-09-29 2019-11-05 Apple Inc. Wafer level integration of passive devices
US10297572B2 (en) * 2014-10-06 2019-05-21 Mc10, Inc. Discrete flexible interconnects for modules of integrated circuits
US9383759B2 (en) 2014-10-07 2016-07-05 Freescale Semiconductor, Inc. Voltage monitoring system
TWM504425U (en) * 2014-11-14 2015-07-01 Wistron Neweb Corp Metal pattern structure having positioning layer
JP6330788B2 (en) * 2015-11-18 2018-05-30 株式会社村田製作所 Electronic devices
CN108604587B (en) * 2015-12-26 2023-01-13 英特尔公司 On-chip integrated passive device
ITUB20160027A1 (en) * 2016-02-01 2017-08-01 St Microelectronics Srl PROCEDURE FOR PRODUCING SEMICONDUCTOR AND CORRESPONDING DEVICES
US10164614B2 (en) 2016-03-31 2018-12-25 Analog Devices Global Unlimited Company Tank circuit and frequency hopping for isolators
EP3440821B1 (en) * 2016-04-06 2022-08-24 Karamba Security Secure controller operation and malware prevention
US10643965B2 (en) * 2016-05-25 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of forming a joint assembly
KR200481227Y1 (en) 2016-06-13 2016-09-05 곽준혁 can pressing apparatus
US10043740B2 (en) * 2016-07-12 2018-08-07 Intel Coporation Package with passivated interconnects
US10833144B2 (en) * 2016-11-14 2020-11-10 Advanced Semiconductor Engineering, Inc. Semiconductor device packages including an inductor and a capacitor
IT201700087318A1 (en) 2017-07-28 2019-01-28 St Microelectronics Srl INTEGRATED ELECTRONIC DEVICE WITH REDISTRIBUTION AND HIGH RESISTANCE TO MECHANICAL STRESS AND ITS PREPARATION METHOD
IT201700087201A1 (en) 2017-07-28 2019-01-28 St Microelectronics Srl SEMICONDUCTOR AND CORRESPONDING DEVICE MANUFACTURING METHOD OF SEMICONDUCTOR DEVICES
IT201700087174A1 (en) 2017-07-28 2019-01-28 St Microelectronics Srl SEMICONDUCTOR AND CORRESPONDING DEVICE MANUFACTURING METHOD OF SEMICONDUCTOR DEVICES
CN107506554B (en) * 2017-08-30 2020-11-20 苏州浪潮智能科技有限公司 Printed circuit board applied to storage system and wiring method and device thereof
CN110364477B (en) * 2018-03-26 2021-11-23 中芯国际集成电路制造(上海)有限公司 Chip structure and forming method thereof
US20190304899A1 (en) * 2018-04-03 2019-10-03 Mediatek Singapore Pte. Ltd. Methods and systems for supply noise suppression in systems-on-chip
US11469194B2 (en) 2018-08-08 2022-10-11 Stmicroelectronics S.R.L. Method of manufacturing a redistribution layer, redistribution layer and integrated circuit including the redistribution layer
CN112786467A (en) * 2019-11-07 2021-05-11 长鑫存储技术有限公司 Semiconductor structure, preparation method and semiconductor packaging structure
US11671010B2 (en) * 2020-02-07 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Power delivery for multi-chip-package using in-package voltage regulator
KR20210101497A (en) * 2020-02-10 2021-08-19 삼성전자주식회사 Electronic apparatus for reducing noise of image sensor and method for controlling thereof
KR20210105212A (en) 2020-02-18 2021-08-26 주식회사 아이티엠반도체 Battery control system in package and method of fabricating the same
US11538751B2 (en) 2020-09-03 2022-12-27 Globalfoundries Singapore Pte. Ltd. Inductor capacitor filter in far back end of line and integration schemes

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4199774A (en) * 1978-09-18 1980-04-22 The Board Of Trustees Of The Leland Stanford Junior University Monolithic semiconductor switching device
KR910006967B1 (en) * 1987-11-18 1991-09-14 가시오 게이상기 가부시기가이샤 Bump electrod structure of semiconductor device and a method for forming the bump electrode
US5055907A (en) * 1989-01-25 1991-10-08 Mosaic, Inc. Extended integration semiconductor structure with wiring layers
US5106461A (en) * 1989-04-04 1992-04-21 Massachusetts Institute Of Technology High-density, multi-level interconnects, flex circuits, and tape for tab
JPH0377360A (en) * 1989-08-18 1991-04-02 Mitsubishi Electric Corp Semiconductor device
EP0453785A1 (en) * 1990-04-24 1991-10-30 Oerlikon Contraves AG Method of making multilayer thin film circuit comprising integrated thin film resistors
JP3002512B2 (en) * 1990-09-10 2000-01-24 株式会社日立製作所 Integrated circuit device
JP3057757B2 (en) * 1990-11-29 2000-07-04 日産自動車株式会社 Transistor
US5336921A (en) * 1992-01-27 1994-08-09 Motorola, Inc. Vertical trench inductor
JPH0828396B2 (en) * 1992-01-31 1996-03-21 株式会社東芝 Semiconductor device
JPH0677407A (en) * 1992-04-06 1994-03-18 Nippon Precision Circuits Kk Semiconductor device
US5346858A (en) * 1992-07-16 1994-09-13 Texas Instruments Incorporated Semiconductor non-corrosive metal overcoat
US5370766A (en) * 1993-08-16 1994-12-06 California Micro Devices Methods for fabrication of thin film inductors, inductor networks and integration with other passive and active devices
US5416356A (en) * 1993-09-03 1995-05-16 Motorola, Inc. Integrated circuit having passive circuit elements
US5501006A (en) * 1993-09-22 1996-03-26 Motorola, Inc. Method for connection of signals to an integrated circuit
US5465879A (en) * 1994-01-27 1995-11-14 Asymptotic Technologies, Inc. Disposable nozzle assembly for high speed viscous material droplet dispenser
US5576680A (en) * 1994-03-01 1996-11-19 Amer-Soi Structure and fabrication process of inductors on semiconductor chip
US5478773A (en) * 1994-04-28 1995-12-26 Motorola, Inc. Method of making an electronic device having an integrated inductor
US5471027A (en) * 1994-07-22 1995-11-28 International Business Machines Corporation Method for forming chip carrier with a single protective encapsulant
US5841197A (en) * 1994-11-18 1998-11-24 Adamic, Jr.; Fred W. Inverted dielectric isolation process
US5563762A (en) * 1994-11-28 1996-10-08 Northern Telecom Limited Capacitor for an integrated circuit and method of formation thereof, and a method of adding on-chip capacitors to an integrated circuit
US5757620A (en) * 1994-12-05 1998-05-26 International Business Machines Corporation Apparatus for cooling of chips using blind holes with customized depth
FR2728104A1 (en) * 1994-12-09 1996-06-14 Sgs Thomson Microelectronics METHOD OF MARKING CIRCUITS INTEGRATED WITH A LASER, AND MARKING APPARATUS THEREFOR
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
SG59997A1 (en) * 1995-06-07 1999-02-22 Ibm Apparatus and process for improved die adhesion to organic chip carries
US5656849A (en) * 1995-09-22 1997-08-12 International Business Machines Corporation Two-level spiral inductor structure having a high inductance to area ratio
JP2904086B2 (en) 1995-12-27 1999-06-14 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5686764A (en) * 1996-03-20 1997-11-11 Lsi Logic Corporation Flip chip package with reduced number of package layers
US5883422A (en) * 1996-06-28 1999-03-16 The Whitaker Corporation Reduced parasitic capacitance semiconductor devices
US5793272A (en) * 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
US5861647A (en) * 1996-10-02 1999-01-19 National Semiconductor Corporation VLSI capacitors and high Q VLSI inductors using metal-filled via plugs
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
US6180891B1 (en) * 1997-02-26 2001-01-30 International Business Machines Corporation Control of size and heat affected zone for fine pitch wire bonding
US5982038A (en) * 1997-05-01 1999-11-09 International Business Machines Corporation Cast metal seal for semiconductor substrates
US6051489A (en) * 1997-05-13 2000-04-18 Chipscale, Inc. Electronic component package with posts on the active side of the substrate
US6040226A (en) * 1997-05-27 2000-03-21 General Electric Company Method for fabricating a thin film inductor
US6054754A (en) * 1997-06-06 2000-04-25 Micron Technology, Inc. Multi-capacitance lead frame decoupling device
US6440750B1 (en) * 1997-06-10 2002-08-27 Agere Systems Guardian Corporation Method of making integrated circuit having a micromagnetic device
EP0893821A1 (en) * 1997-07-21 1999-01-27 STMicroelectronics S.r.l. Process for the manufacturing of a DMOS-technology transistor providing for a single thermal process for the formation of source and body regions
US5966290A (en) * 1997-09-03 1999-10-12 Internatioinal Business Machines Corporation Electronic packages and a method to improve thermal performance of electronic packages
JP3660799B2 (en) * 1997-09-08 2005-06-15 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6236101B1 (en) * 1997-11-05 2001-05-22 Texas Instruments Incorporated Metallization outside protective overcoat for improved capacitors and inductors
FR2771843B1 (en) * 1997-11-28 2000-02-11 Sgs Thomson Microelectronics INTEGRATED CIRCUIT TRANSFORMER
US6008102A (en) 1998-04-09 1999-12-28 Motorola, Inc. Method of forming a three-dimensional integrated inductor
US6025261A (en) * 1998-04-29 2000-02-15 Micron Technology, Inc. Method for making high-Q inductive elements
US5929508A (en) * 1998-05-21 1999-07-27 Harris Corp Defect gettering by induced stress
JP2000022085A (en) 1998-06-29 2000-01-21 Toshiba Corp Semiconductor device and manufacture thereof
KR100413860B1 (en) * 1998-07-09 2004-01-07 인피니언 테크놀로지스 아게 Semiconductor finger print sensor
TW396594B (en) * 1998-07-13 2000-07-01 Winbond Electronics Corp High quality inductor device and its manufacturing method
KR20000011585A (en) * 1998-07-28 2000-02-25 윤덕용 Semiconductor device and method for manufacturing the same
TW386279B (en) * 1998-08-07 2000-04-01 Winbond Electronics Corp Inductor structure with air gap and method of manufacturing thereof
US6478773B1 (en) * 1998-12-21 2002-11-12 Micrus Corporation Apparatus for deployment of micro-coil using a catheter
US6101371A (en) 1998-09-12 2000-08-08 Lucent Technologies, Inc. Article comprising an inductor
EP0999579B1 (en) 1998-11-04 2007-05-30 Lucent Technologies Inc. An inductor or low loss interconnect in an integrated circuit
US6272736B1 (en) * 1998-11-13 2001-08-14 United Microelectronics Corp. Method for forming a thin-film resistor
US6287931B1 (en) * 1998-12-04 2001-09-11 Winbond Electronics Corp. Method of fabricating on-chip inductor
US6303423B1 (en) * 1998-12-21 2001-10-16 Megic Corporation Method for forming high performance system-on-chip using post passivation process
US6383916B1 (en) * 1998-12-21 2002-05-07 M. S. Lin Top layers of metal for high performance IC's
US6495442B1 (en) 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US6461895B1 (en) * 1999-01-05 2002-10-08 Intel Corporation Process for making active interposer for high performance packaging applications
JP2000216264A (en) * 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos logic circuit element, semiconductor device and its manufacture, and method for designing semiconductor circuit used in the manufacture
US6191468B1 (en) * 1999-02-03 2001-02-20 Micron Technology, Inc. Inductor with magnetic material layers
JP3465617B2 (en) * 1999-02-15 2003-11-10 カシオ計算機株式会社 Semiconductor device
US6441715B1 (en) * 1999-02-17 2002-08-27 Texas Instruments Incorporated Method of fabricating a miniaturized integrated circuit inductor and transformer fabrication
FR2790328B1 (en) * 1999-02-26 2001-04-20 Memscap INDUCTIVE COMPONENT, INTEGRATED TRANSFORMER, IN PARTICULAR INTENDED TO BE INCORPORATED IN A RADIOFREQUENCY CIRCUIT, AND INTEGRATED CIRCUIT ASSOCIATED WITH SUCH AN INDUCTIVE COMPONENT OR INTEGRATED TRANSFORMER
US6566731B2 (en) * 1999-02-26 2003-05-20 Micron Technology, Inc. Open pattern inductor
FR2791470B1 (en) 1999-03-23 2001-06-01 Memscap MONOLITHIC INTEGRATED CIRCUIT INCORPORATING AN INDUCTIVE COMPONENT AND METHOD FOR MANUFACTURING SUCH AN INTEGRATED CIRCUIT
FR2793943B1 (en) * 1999-05-18 2001-07-13 Memscap MICRO-COMPONENTS OF THE MICRO-INDUCTANCE OR MICRO-TRANSFORMER TYPE, AND METHOD FOR MANUFACTURING SUCH MICRO-COMPONENTS
US6544880B1 (en) * 1999-06-14 2003-04-08 Micron Technology, Inc. Method of improving copper interconnects of semiconductor devices for bonding
US6255714B1 (en) * 1999-06-22 2001-07-03 Agere Systems Guardian Corporation Integrated circuit having a micromagnetic device including a ferromagnetic core and method of manufacture therefor
JP4005762B2 (en) * 1999-06-30 2007-11-14 株式会社東芝 Integrated circuit device and manufacturing method thereof
US6133079A (en) * 1999-07-22 2000-10-17 Chartered Semiconductor Manufacturing Ltd. Method for reducing substrate capacitive coupling of a thin film inductor by reverse P/N junctions
US6221727B1 (en) * 1999-08-30 2001-04-24 Chartered Semiconductor Manufacturing Ltd. Method to trap air at the silicon substrate for improving the quality factor of RF inductors in CMOS technology
US6451681B1 (en) * 1999-10-04 2002-09-17 Motorola, Inc. Method of forming copper interconnection utilizing aluminum capping film
US6291980B1 (en) * 1999-10-13 2001-09-18 Quantum Corporation High-resolution measurement of phase shifts in high frequency phase modulators
JP3287346B2 (en) 1999-11-29 2002-06-04 カシオ計算機株式会社 Semiconductor device
US6278264B1 (en) * 2000-02-04 2001-08-21 Volterra Semiconductor Corporation Flip-chip switching regulator
JP3440070B2 (en) * 2000-07-13 2003-08-25 沖電気工業株式会社 Wafer and method of manufacturing wafer
JP2002043520A (en) * 2000-07-19 2002-02-08 Sony Corp Semiconductor device and its manufacturing method
SG99939A1 (en) * 2000-08-11 2003-11-27 Casio Computer Co Ltd Semiconductor device
US6610591B1 (en) * 2000-08-25 2003-08-26 Micron Technology, Inc. Methods of ball grid array
US6420773B1 (en) * 2000-10-04 2002-07-16 Winbond Electronics Corp. Multi-level spiral inductor structure having high inductance (L) and high quality factor (Q)
KR100377130B1 (en) * 2000-11-22 2003-03-19 페어차일드코리아반도체 주식회사 Semiconductor device and fabricating method thereof
JP2002233140A (en) * 2001-01-30 2002-08-16 Fuji Electric Co Ltd Microminiature power converter
JP3888854B2 (en) * 2001-02-16 2007-03-07 シャープ株式会社 Manufacturing method of semiconductor integrated circuit
US7902679B2 (en) * 2001-03-05 2011-03-08 Megica Corporation Structure and manufacturing method of a chip scale package with low fabrication cost, fine pitch and high reliability solder bump
US6903459B2 (en) * 2001-05-17 2005-06-07 Matsushita Electric Industrial Co., Ltd. High frequency semiconductor device
US6576506B2 (en) * 2001-06-29 2003-06-10 Agere Systems Inc. Electrostatic discharge protection in double diffused MOS transistors
US6759275B1 (en) * 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US6636139B2 (en) * 2001-09-10 2003-10-21 Taiwan Semiconductor Manufacturing Company Structure to reduce the degradation of the Q value of an inductor caused by via resistance
US6489656B1 (en) * 2001-10-03 2002-12-03 Megic Corporation Resistor for high performance system-on-chip using post passivation process
CN100391315C (en) * 2001-10-29 2008-05-28 鸿海精密工业股份有限公司 Power supply unit and used current converter
US6703697B2 (en) * 2001-12-07 2004-03-09 Intel Corporation Electronic package design with improved power delivery performance
TW503496B (en) * 2001-12-31 2002-09-21 Megic Corp Chip packaging structure and manufacturing process of the same
US6638844B1 (en) * 2002-07-29 2003-10-28 Chartered Semiconductor Manufacturing Ltd. Method of reducing substrate coupling/noise for radio frequency CMOS (RFCMOS) components in semiconductor technology by backside trench and fill
TW578292B (en) * 2002-11-22 2004-03-01 Via Tech Inc Chip to eliminate noise and manufacturing method thereof
JP3808030B2 (en) * 2002-11-28 2006-08-09 沖電気工業株式会社 Semiconductor device and manufacturing method thereof
US6800534B2 (en) * 2002-12-09 2004-10-05 Taiwan Semiconductor Manufacturing Company Method of forming embedded MIM capacitor and zigzag inductor scheme
JP2004194377A (en) * 2002-12-09 2004-07-08 Nec Tokin Corp Dc power unit and its manufacturing method
US7019377B2 (en) * 2002-12-17 2006-03-28 Micrel, Inc. Integrated circuit including high voltage devices and low voltage devices
FR2851060A1 (en) * 2003-02-11 2004-08-13 St Microelectronics Sa CIRCUIT WITH INTEGRATED VOLTAGE REGULATOR AND MANUFACTURING METHOD THEREOF
US6716693B1 (en) * 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
TWI317548B (en) * 2003-05-27 2009-11-21 Megica Corp Chip structure and method for fabricating the same
US6870252B2 (en) * 2003-06-18 2005-03-22 Sun Microsystems, Inc. Chip packaging and connection for reduced EMI
JP4658481B2 (en) * 2004-01-16 2011-03-23 ルネサスエレクトロニクス株式会社 Semiconductor device
JP4387865B2 (en) * 2004-05-14 2009-12-24 パナソニック株式会社 Semiconductor device
WO2006048689A2 (en) * 2004-11-08 2006-05-11 Encesys Limited Integrated circuits and power supplies
US7335534B2 (en) * 2005-01-10 2008-02-26 Hvvi, Semiconductors, Inc. Semiconductor component and method of manufacture
US7280024B2 (en) * 2005-02-02 2007-10-09 Intel Corporation Integrated transformer structure and method of fabrication
US7470955B2 (en) * 2005-04-15 2008-12-30 Delphi Technologies, Inc. Technique for improving negative potential immunity of an integrated circuit
US7473999B2 (en) * 2005-09-23 2009-01-06 Megica Corporation Semiconductor chip and process for forming the same
DE102005047104B3 (en) * 2005-09-30 2007-05-31 Infineon Technologies Ag Semiconductor device with interconnected cell strips
US7265523B2 (en) 2005-10-24 2007-09-04 Aivaka Control loop for switching power converters
US7525151B2 (en) * 2006-01-05 2009-04-28 International Rectifier Corporation Vertical DMOS device in integrated circuit
US7671411B2 (en) * 2006-03-02 2010-03-02 Volterra Semiconductor Corporation Lateral double-diffused MOSFET transistor with a lightly doped source
US20070279882A1 (en) * 2006-06-06 2007-12-06 Samtec, Inc. Power distribution system for integrated circuits
US8421227B2 (en) * 2006-06-28 2013-04-16 Megica Corporation Semiconductor chip structure
US7999364B2 (en) * 2006-08-24 2011-08-16 Monolithic Power Systems, Inc. Method and flip chip structure for power devices
US7582966B2 (en) * 2006-09-06 2009-09-01 Megica Corporation Semiconductor chip and method for fabricating the same
TWI370515B (en) * 2006-09-29 2012-08-11 Megica Corp Circuit component
JP2008141084A (en) * 2006-12-05 2008-06-19 Nec Electronics Corp Semiconductor device
US8749021B2 (en) * 2006-12-26 2014-06-10 Megit Acquisition Corp. Voltage regulator integrated with semiconductor chip
US7964961B2 (en) * 2007-04-12 2011-06-21 Megica Corporation Chip package
US8035210B2 (en) * 2007-12-28 2011-10-11 Stats Chippac Ltd. Integrated circuit package system with interposer
WO2010075447A1 (en) 2008-12-26 2010-07-01 Megica Corporation Chip packages with power management integrated circuits and related techniques

Also Published As

Publication number Publication date
CN102265716B (en) 2015-04-01
TW201112386A (en) 2011-04-01
US8809951B2 (en) 2014-08-19
TW201041479A (en) 2010-11-16
KR20110099338A (en) 2011-09-07
KR101332228B1 (en) 2013-11-25
US20140021522A1 (en) 2014-01-23
EP2380415A1 (en) 2011-10-26
JP2012514338A (en) 2012-06-21
WO2010075447A1 (en) 2010-07-01
CN102265716A (en) 2011-11-30
US20100165585A1 (en) 2010-07-01
EP2380415B1 (en) 2019-07-31
EP2380415A4 (en) 2012-11-21

Similar Documents

Publication Publication Date Title
JP5335931B2 (en) Chip package with power management integrated circuit and related technology
US8749021B2 (en) Voltage regulator integrated with semiconductor chip
TWI614859B (en) Semiconductor device and method of forming extended semiconductor device with fan-out interconnect structure to reduce complexity of substrate
CN102163561B (en) Semiconducter device and use same vehicle form the method for TMV and TSV in WLCSP
US7928553B2 (en) Power electronic device
TWI505438B (en) Semiconductor device
US8912650B2 (en) Semiconductor device and method of forming protective coating over interconnect structure to inhibit surface oxidation
CN102201351B (en) Semiconductor device and the method forming the double UBM structures connected for unleaded projection
CN101908530B (en) Semiconductor device
US6836023B2 (en) Structure of integrated trace of chip package
US8642384B2 (en) Semiconductor device and method of forming non-linear interconnect layer with extended length for joint reliability
US8680676B1 (en) Semiconductor package with under bump metallization routing
US8722457B2 (en) System and apparatus for wafer level integration of components
US20100232131A1 (en) Flmp buck converter with a molded capacitor
US9735122B2 (en) Flip chip package structure and fabrication process thereof
SG186543A1 (en) Semiconductor device and method of forming interconnect structure over seed layer on contact pad of semiconductor die without undercutting seed layer beneath interconnect structure
CN103035598B (en) Without the formation of the connector of UBM
US9699918B2 (en) Package assembly and method for manufacturing the same
US20120299150A1 (en) Power Semiconductor Module with Embedded Chip Package
US8674500B2 (en) Semiconductor device and method of self-confinement of conductive bump material during reflow without solder mask
US20080251859A1 (en) Semiconductor Module

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130612

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130702

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130731

R150 Certificate of patent or registration of utility model

Ref document number: 5335931

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250