JP5121090B2 - Method for depositing amorphous carbon layer - Google Patents

Method for depositing amorphous carbon layer Download PDF

Info

Publication number
JP5121090B2
JP5121090B2 JP2001042049A JP2001042049A JP5121090B2 JP 5121090 B2 JP5121090 B2 JP 5121090B2 JP 2001042049 A JP2001042049 A JP 2001042049A JP 2001042049 A JP2001042049 A JP 2001042049A JP 5121090 B2 JP5121090 B2 JP 5121090B2
Authority
JP
Japan
Prior art keywords
amorphous carbon
layer
substrate
pattern
energy sensitive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001042049A
Other languages
Japanese (ja)
Other versions
JP2002012972A5 (en
JP2002012972A (en
Inventor
フェアバーン ケヴィン
ライス マイケル
ウィードマン ティモシー
エス. ンガイ クリストファー
スコット ラッチフォード イアン
デニス ベンチャー クリストファー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002012972A publication Critical patent/JP2002012972A/en
Publication of JP2002012972A5 publication Critical patent/JP2002012972A5/ja
Application granted granted Critical
Publication of JP5121090B2 publication Critical patent/JP5121090B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明はアモルファスカーボン膜、集積回路製造におけるその膜の使用及びその膜の堆積方法に関する。
【0002】
【従来の技術】
集積回路は、単一チップ上に多数のトランジスタ、キャパシタやレジスタを含むことの出来る複雑なデバイスへと発展している。チップ設計の進歩には、より速い回路構成とより大きい回路密度が絶えず要求される。より大きな回路密度を持つ、より高速な回路に対する要求は、そのような集積回路の製造に使用される材料に対し、相応する要求を課す。すなわち、集積回路部品の寸法が縮小されるにしたがって(例えばサブミクロン寸法)、低抵抗率の導電性材料(例えば銅)及び、低誘電率の絶縁材料(約4.5未満の誘電率)を使用して、それら部品の電気的性能を向上することが必要とされる。
【0003】
高密度な集積回路に対する要求もまた、集積回路製造に使用される工程シーケンスに要求を突き付ける。例えば、従来のリソグラフィ技術を使用した工程シーケンスでは、基板上の材料層の堆積上にエネルギー感受性レジストの層が形成される。パターン像がエネルギー感受性レジスト層中に導入される。その後、エネルギー感受性レジスト層に導入されたパターンは、エネルギー感受性レジスト層をマスクとして使用して、基板上に形成された1つ以上の材料堆積層へ転写される。エネルギー感受性レジスト中に導入されたパターンは、化学エッチャントを使用して、1つ以上の材料堆積層へ転写することが出来る。化学エッチャントは、エネルギー感受性レジストよりも材料堆積層に対して、よりエッチング選択性を大きくするように設計される。すなわち化学エッチャントは1つ以上の材料堆積層を、それがエネルギー感受性レジストをエッチングするよりもはるかに速い速度でエッチングする。典型的には、1つ以上の材料堆積層に対するエッチング速度が高いため、パターン転写が終了する前にエネルギー感受性レジスト材料が消費されてしまうことが防止される。
【0004】
しかし、集積回路上のより大きな回路密度に対する要求により、より小さいパターン寸法が必要とされている(例えば、サブミクロン寸法)。パターン寸法の縮小につれ、パターン解像度を制御するために、エネルギー感受性レジストの厚さは対応して縮小されなければならない。そのようなより薄いレジスト層(約6000Å未満)は、化学エッチングを使用したパターン転写ステップ中、下地材料層のマスクには不充分である可能性がある。
【0005】
ハードマスクと呼ばれる中間酸化物層(例えば二酸化シリコン、窒化シリコン)は、しばしばエネルギー感受性レジスト層と下地材料層との間に使用されて、下地材料層へのパターン転写を容易にする。しかし、材料構造の中には(例えばダマシン構造)二酸化シリコンや窒化シリコンを含むものがある。この種の材料構造では、二酸化シリコンや窒化シリコンのハードマスクをエッチングマスクとして使用してのパターニングが出来ない。
【0006】
レジストパターニングの問題点は、レジストパターンを形成するため深紫外線(DUV)イメージング波長のリソグラフィイメージングツールを用いる場合に更に助長される。DUVイメージング波長は、短波長での回折の影響が低減されるため、レジストパターン解像度が向上する。しかし、このDUV波長では多くの下地材料(例えばポリシリコンやケイ化金属)で反射性が増すため、得られるレジストパターンの質が低下する場合がある。
【0007】
下地材料層からの反射を最小にするために提案される一つの技術として、反射防止膜(ARC: anti-reflective coating)を使用することが挙げられる。ARCはレジストパターニングに先だって反射材料層を覆って形成される。ARCは、レジストのイメージング中に下地材料層からの反射を抑え、エネルギー感受性レジスト層中に正確なパターンの複写を与える。
【0008】
【発明が解決しようとする課題】
エネルギー感受性レジストと組み合わせて使用するためのARC材料が、いくつか提案されている。例えば1997年5月6日発行のPramanick et al. の米国特許5,626,967号では、窒化チタンの反射防止膜の使用を開示する。しかし、窒化チタンは露光波長が248nmを下回ると金属性が強まるため、窒化チタンはDUV放射に対して反射率が高くなり、DUV波長のための効果的な反射防止膜ではない。
【0009】
1998年1月20日発行のFoote et al. の米国特許5,710,067号では、酸窒化シリコンの反射防止膜の使用が開示されている。酸窒化シリコン膜は、続く集積回路製造ステップの妨げとなる可能性のある残滓を後に残すという意味で、除去が困難である。
【0010】
したがって技術において、酸化物との良好なエッチング選択性を有する集積回路製造のために有用な材料層が必要とされる。特に材料層がDUV波長に対してARCとなり、またストリッピングが容易であることが好ましい。
【0011】
【課題を解決するための手段】
本発明は、集積回路の製造に用いるためのアモルファスカーボン層を形成する方法を提供する。アモルファスカーボン層は、炭化水素化合物と不活性ガスとを含む混合ガスを熱分解することによって形成される。任意に追加ガスを含む混合ガスがプロセスチャンバに導入され、基板表面に近接して炭化水素化合物がプラズマ熱分解され、基板表面上にアモルファスカーボン層の堆積がなされる。
【0012】
本発明の工程に従って堆積されたアズデポ(as-depo.)アモルファスカーボン層は、約10%水素〜約60%水素の範囲で炭素:水素比を調整可能である。このアモルファスカーボン層はまた、約250nm未満の波長で約0.1〜約1.0で変化し得る光吸収係数kを有しており、このアモルファスカーボン層をDUV波長における反射防止膜としての使用に適するようにされている。
【0013】
このアモルファスカーボン層は、集積回路の製造工程に適合する。集積回路製造工程の一つでは、アモルファスカーボン層はハードマスクとして利用される。この実施形態では、好ましい堆積シーケンスは、基板上へのアモルファスカーボン層の堆積を有している。基板上にアモルファスカーボン層が堆積された後、その上に中間層が形成される。中間層がパターニングされ、アモルファスカーボン層に転写される。その後、アモルファスカーボン層をハードマスクとして使用して、パターンが基板に転写される。更に、アモルファスカーボンのハードマスク中に形作られたパターンを、例えばダマシン構造などの集積回路構造内に組み込むことが可能である。
【0014】
別の集積回路製造工程では、アモルファスカーボン層がDUVリソグラフィのための単層反射防止膜として使用される。そのような実施形態では、好適な工程シーケンスに、基板上へのアモルファスカーボン層の形成が含まれる。アモルファスカーボン層は、約250nm未満の波長に対して、約1.5〜1.9の屈折率(n)と、約0.1〜約1.0の光吸収係数(k)を有する。アモルファスカーボンARCの屈折率(n)と光吸収係数(k)とは、層形成中、混合ガスの温度及び組成の関数として、望ましい範囲で可変という意味で、調節可能である。アモルファスカーボン層が基板上に形成された後、その上にエネルギー感受性レジスト材料層が形成される。約250nm未満の波長で、パターンがエネルギー感受性レジスト中に形作られる。その後、エネルギー感受性レジスト中に形作られたパターンがアモルファスカーボン層に転写される。アモルファスカーボン層がパターニングされた後、そのようなパターンは任意で基板に転写される。
【0015】
さらに別の集積回路製造工程では、多層アモルファスカーボン反射防止膜がDUVリソグラフィに使用される。そのような実施形態では、好適な処理シーケンスに、基板上への第1のアモルファスカーボン層の形成が含まれる。第1のアモルファスカーボン層は、約250nm未満の波長において、約1.5〜約1.9の範囲の屈折率と、約0.5〜約1.0の範囲の光吸収係数(k)とを有する。第1のアモルファスカーボン層が基板上に形成された後、第2のアモルファスカーボン層がその上に形成される。第2のアモルファスカーボン層は、約1.5〜約1.9の屈折率と、約0.1〜約0.5の範囲の光吸収係数を有する。第1及び第2のアモルファスカーボン層の屈折率(n)と光吸収係数(k)とは、層形成中、混合ガスの温度と組成との関数として望ましい範囲で可変であるという意味で、調整可能である。エネルギー感受性レジスト材料の層が、第2のアモルファスカーボン層の上に形成される。約250nm未満の波長で、エネルギー感受性レジスト層中にパターンが形作られる。エネルギー感受性レジスト材料中に形作られたパターンは、その後、第2のアモルファスカーボン層、続いて第1のアモルファスカーボン層に転写される。第1のアモルファスカーボン層がパターニングされた後、そのようなパターンは任意で基板に転写される。
【0016】
【発明の実施の形態】
本発明の教示するところは、以下の詳細な説明を添付図面と共に考察することにより容易に理解され得る。
【0017】
本発明はアモルファスカーボン層を使用して集積回路を形成する方法を提供する。アモルファスカーボン層は、炭化水素化合物と不活性ガスを含む混合ガスを熱分解することによって形成される。任意に追加ガスを含む混合ガスは、プロセスチャンバに導入され、そこで基板表面に近接する炭化水素化合物のプラズマ熱分解の結果、基板表面上にアモルファスカーボン層の堆積がなされる。アモルファスカーボン層は、以下に議論する集積回路製造工程に適合する。
【0018】
図1は、本発明に従ってアモルファスカーボン層の堆積を実行するために使用可能なウエハ処理システム10の模式図である。この装置は通常、プロセスチャンバ100と、ガスパネル130と、制御ユニット110とを、電源や真空ポンプなどの他のハードウェア構成要素と共に備える。本発明に使用されるシステム10の詳細は、1998年12月14日に出願された出願番号09/211,998、標題 "High Temperature Chemical Vapor Deposition Chamber" の米国特許出願の中に記載される。このシステム10の際立った特徴が以下に簡潔に説明される。システム10の例としては、米国カリフォルニア州サンタクララのアプライドマテリアルズ社より入手可能なCENTURA システム、PRECISION 5000 システム、PRODUCER システムが含まれる。
【0019】
プロセスチャンバ100は概して、半導体ウエハ190等の基板を支持するために使用するペデスタル150を備える。このペデスタル150は、転置機構(図示されず)を使用してチャンバ100内で垂直方向に移動可能である。特定の処理に応じて、ウエハ190は処理に先立ち、ある所望の温度に加熱され得る。本発明では、ウエハペデスタル150は埋め込みヒータ素子170によって加熱される。例えばペデスタル150は、AC電源106からヒータ素子170へ電流を与えることにより抵抗加熱することが可能である。次いで、ウエハ190がペデスタル150によって加熱される。従来の方法では、熱電対などの温度センサー172もウエハペデスタル150に埋め込まれ、ペデスタル150の温度をモニターする。測定された温度は、ウエハ温度が特定の処理用途に適した所望の温度に維持又は制御され得るように、ヒータ素子170の電源16を制御するために、フィードバックループの中で使用される。ペデスタル150は、任意にプラズマを使用して、あるいは放射放熱器(図示されず)により、加熱される。
【0020】
プロセスチャンバ100を真空引きし、チャンバ100内を適正なガス流量とガス圧に維持するために、真空ポンプ102が使用される。プロセスガスを通してチャンバ100内に導入するシャワーヘッド120が、ウエハペデスタル150の上方に配置される。シャワーヘッド120はガスパネル130へ接続され、ガスパネル130は工程シーケンスの異なる段階で使用される様々なガスを制御及び供給する。
【0021】
シャワーヘッド120とウエハペデスタル150はまた、間隔を開けた電極の対を形成する。これら電極間に電界が発生すると、チャンバ100に導入されたプロセスガスはプラズマに励起される。通常、整合回路網(図示されず)を介してウエハペデスタル150を高周波(RF)電源(図示されず)に接続して、電界が発生される。あるいは、RF電源と整合回路網をシャワーヘッド120に、あるいはシャワーヘッド120とウエハペデスタル150との両方に、結合してもよい。
【0022】
プラズマ化学気相堆積法(PECVD)では、基板表面近くの反応域に電界を印加することによって、反応ガスの励起や分離を促進し、反応種のプラズマを生成する。プラズマ中の種の反応性が高いので、化学反応に必要なエネルギーが下げられ、このPECVD処理に必要な温度が低下する。
【0023】
本実施形態では、アモルファスカーボン層の堆積が、プロピレン(C36)等炭化水素化合物のプラズマ熱分解によって実現される。プロピレンは、ガスパネル130の制御下でプロセスチャンバ100内へ導入される。炭化水素化合物は、気体で規定の流量でプロセスチャンバへ導入される。
【0024】
ガスパネル130により適正な制御及びガス流量の調整が、質量流量制御装置(図示されず)及びコンピュータなどの制御ユニット110によって実行される。シャワーヘッド120によって、ガスパネル130からのプロセスガスがプロセスチャンバ100へ均一に分配、導入されることが可能となる。例として、制御ユニット110は中央演算処理装置(CPU)112、補助回路114、及び関連制御ソフトウェアを含むメモリ116を備える。この制御ユニット110は、ウエハ搬送、ガス流量制御、温度制御、チャンバ排気等、ウエハ処理に必要な多数の段階を自動制御する機能を有する。制御ユニット110と、システム10のさまざまな構成要素の間の双方向通信は、信号バスと総称する多数の信号ケーブル118を通じて処理され、そのうちのいくつかが図1に図解される。
【0025】
本発明に使用される加熱ペデスタル150はアルミニウム製であり、ペデスタル150のウエハ支持表面151下方に、ある距離で埋め込まれたヒータ素子170を含む。ヒータ素子170はインコロイシース管で被包されたニッケルクロム線から作られて良い。ヒータ素子170に加えられる電流を適正に調節することにより、膜堆積中に、ウエハ190及びペデスタル150を比較的一定の温度に維持することが出来る。これはフィードバック制御ループにより達成され、ここでペデスタル150の温度はペデスタル150に埋め込まれた熱電対172によって絶えずモニターされる。この情報が信号バス118を通じて制御ユニット110へ転送され、信号バス118はヒータ電源へ必要な信号を送って応答する。調節は続いて、ペデスタル150を所望の温度、すなわち特定の処理用途に適した温度に維持及び制御するよう、電流供給106において行なわれる。混合プロセスガスがシャワーヘッド120を排出するときに、加熱されたウエハ190の表面191で炭化水素化合物のプラズマ熱分解が起こり、その結果アモルファスカーボン層がウエハ190上に堆積される。
【0026】
アモルファスカーボン層の形成
本発明の一つの実施形態では、アモルファスカーボン層が炭化水素化合物とアルゴン(Ar)又はヘリウム(He)など不活性ガスの混合ガスから形成される。炭化水素化合物は一般的な式Cxyを持ち、xは2〜4の範囲、yは2〜10の範囲である。例えば、プロピレン(C36)、プロピン(C34)、プロパン(C38)、ブタン(C410)、ブチレン(C48)、ブタジエン(C46)、又はアセチレン(C22)ならびにこれらの化合物を、炭化水素化合物として使用できる。同様に、他の気体の中で水素(H2)、窒素(N2)、アンモニア(NH3)、又はこれらの化合物を、必要に応じて混合ガスに加えてもよい。Ar、He及びN2はアモルファスカーボン層の密度及び堆積速度を制御するために使用される。H2及び/又はNH3の添加は、以下に議論するようにアモルファスカーボン層の水素割合を制御するために使用され得る。
【0027】
一般に、以下の堆積プロセスパラメータを使用してアモルファスカーボン層を形成することが出来る。このプロセスパラメータは、約100℃〜約500℃のウエハ温度、約1Torr〜約20Torrのチャンバ圧力、約50sccm〜約500sccm(8インチウエハにつき)の炭化水素ガス(CxHy)流量、約0.5W/cm 〜約W/cm のRF電力、及び約0.76cm〜約1.52cmのプレート間隔である。上記のプロセスパラメータは、約100Å/min〜約1000Å/minというアモルファスカーボン層の典型的な堆積速度を与え、アプライドマテリアルズ社から市販の堆積チャンバで200mm基板に実施可能である。
【0028】
他の堆積チャンバは発明の範囲内にあり、上に列挙されたパラメータは、アモルファスカーボン層を形成するために使用される特定の堆積チャンバに応じて変化できる。例えば、他の堆積チャンバの容積は、これよりも大きくてもよく小さくてもよく、この場合、アプライドマテリアルズ社から市販の堆積チャンバ用に例示したよりも高いガス流量、低いガス流量を要する。
【0029】
アズデポアモルファスカーボン層は約10%水素〜約60%水素の範囲で炭素:水素比を調整可能である。アモルファスカーボン層の水素比を制御することは、その光学的性質と共にエッチング選択性を調節するのに望ましい。特に水素比が低下するほど、アズデポ層の光学的性質、例えば屈折率(n)や光吸収係数(k)などが増加する。同様に、水素比が低下するほど、アモルファスカーボン層のエッチング抵抗が向上する。
【0030】
アモルファスカーボン層の光吸収係数kは、約250nm未満の波長において約0.1〜約1.0の間で変化可能であり、アモルファスカーボン層をDUV波長における反射防止膜(ARC)としての使用に適合させる。アモルファスカーボン層の光吸収係数は堆積温度の関数として可変である。特に、温度が上昇するにつれ、アズデポ層の光吸収係数は、同様に増加する。例えば、プロピレンを炭化水素化合物とする場合、アズデポアモルファスカーボン層のk値は、堆積温度を約150℃から約480℃に上昇することによって、約0.2から約0.7に増加することが可能である。
【0031】
アモルファスカーボン層の光吸収係数は、混合ガス中に使用される添加物の関数としても変化可能である。特に、H2、NH3、N2又はこれらの化合物が混合ガス中に存在すると、k値を約10%〜約100%増加させることが出来る。
【0032】
集積回路製造工程
A.アモルファスカーボンハードマスク
図2a〜図2eは、アモルファスカーボン層をハードマスクとして取り入れた集積回路製造シーケンスの、異なる段階における基板200の模式断面図を表わす。ここで基板200とは、その上で処理が行なわれるあらゆるワークピースを指し、基板構造250とは、基板200と基板200上に形成されるその他の材料層を共に表わすために用いられる。処理の特定の段階により、基板200は、シリコン基板に対応し、あるいは基板上に形成されたその他の材料層に対応する。図2aは、例えば、上に材料層202を従来通りに形成された、基板構造250の断面図を表わす。材料層202は酸化物(例えばSiO2)であってよい。一般に、基板200はシリコン層、シリコン化合物層、金属層又はその他の材料層を含んでよい。図2aは、基板200がシリコンで、その上に二酸化シリコン層が形成された一実施形態を表わす。
【0033】
図2bは、図2aの基板構造250上に堆積されたアモルファスカーボン層204を表わす。アモルファスカーボン層204は、上述のプロセスパラメータにしたがって基板構造250上に形成される。アモルファスカーボン層の厚さは、特定の処理段階に応じて可変である。通常、アモルファスカーボン層は約50Å〜約1000Åの厚さを持っている。
【0034】
製造シーケンスで使用されるエネルギー感受性レジスト材料のエッチング化学反応に応じて、アモルファスカーボン層204の上に中間層206が形成される。中間層206は、アモルファスカーボン層204のマスクとして、パターンがその中に転写される際に働く。中間層206はアモルファスカーボン層204上に、従来通りに形成される。中間層206は酸化物、窒化物、シリコン酸窒化物、シリコン炭化物、アモルファスシリコン、又はその他の材料であってよい。
【0035】
エネルギー感受性レジスト材料層208は中間層206の上に形成される。エネルギー感受性レジスト材料層208は、約2000Å〜約6000Åの範囲内の厚さまで、基板上にスピンコートされ得る。ほとんどのエネルギー感受性レジスト材料は、約450nm未満の波長を持つ紫外線(UV)放射に対して感光性を有する。DUVレジスト材料は、245nm又は193nmの波長を持つUV放射に対して感光性を有する。
【0036】
パターン像は、そのようなエネルギー感受性レジスト材料層208をマスク210を通じてUV放射に露光することにより、エネルギー感受性レジスト材料層208中に導入される。エネルギー感受性レジスト材料層208中に導入されたパターン像は、適切な現像装置内で現像され、図2cに示されるように、層にパターンを形作る。その後、図2dを参照すると、エネルギー感受性レジスト材料層208中に形作られたパターンは、中間層206とアモルファスカーボン層204に転写される。パターンは、エネルギー感受性レジスト材料208をマスクとして使用して、中間層206に転写される。パターンは、適切な化学エッチャントを使用して中間層206をエッチングすることにより、中間層206に転写される。パターンは次に、中間層206をマスクとして使用してアモルファスカーボン層204に転写される。パターンは、適切な化学エッチャント(例えばオゾンプラズマ、酸素プラズマ又はアンモニアプラズマ)を使用してアモルファスカーボン層204をエッチングすることにより、アモルファスカーボン層204に転写される。
【0037】
図2eは、アモルファスカーボン層204をハードマスクとして使用して、アモルファスカーボン層204中に形作られたパターンをシリコン酸化物層202に転写することにより、集積回路の製造シーケンスが完了することを表わす。
【0038】
二酸化シリコン層202がパターニングされた後、アモルファスカーボン層204は任意で、オゾンプラズマ、酸素プラズマ又はアンモニアプラズマ中でエッチングすることにより、基板200から剥離することが可能である。
【0039】
製造シーケンスの特定の例では、アモルファスカーボンハードマスク内に形作られたパターンは、ダマシン構造などの集積回路構造に組み込むことが出来る。ダマシン構造は通常、集積回路上に金属相互接続を形成するために使用される。
【0040】
図3a〜図3eは、アモルファスカーボン層を含むダマシン構造の製造シーケンス中の異なる段階における、基板260の模式断面図を表わす。処理の段階に応じて、基板260はシリコン基板、又は基板上に形成されたその他の材料層と一致する。図3aは、例えば、上に誘電層262を形成された基板260の断面図を表わす。誘電層262は、酸化物(例えば二酸化シリコン、フルオロ珪酸塩ガラス)であってよい。一般に、基板260はシリコン層、シリコン化合物層、金属層又はその他の材料層を含んでよい。
【0041】
図3aは、基板260がシリコンで、その上にフルオロ珪酸塩ガラス層が形成された1実施形態を表わす。誘電層262は、製造される基板のサイズにより約5,000Å〜約10,000Åの厚さを有する。アモルファスカーボン層264が誘電層262の上に形成される。アモルファスカーボン層は、上述のプロセスパラメータにしたがって、誘電層262上に形成される。アモルファスカーボン層264は約200Å〜約1000Åの厚さを持つ。
【0042】
図3bを参照すると、アモルファスカーボン層264がパターニング及びエッチングされてコンタクト/バイア開口266を形作り、コンタクト/バイア開口が形成されるべき範囲で誘電層262を露光する。アモルファスカーボン層264は、従来のリソグラフィ技術を使用してパターニングされ、酸素プラズマあるいはアンモニアプラズマを使用してエッチングされる。
【0043】
アモルファスカーボン層264内に形成されたコンタクト/バイア開口266は、次に図3cに示すように、アモルファスカーボン層264をハードマスクとして使用して誘電層262へ転写される。コンタクト/バイア開口266は、反応性イオンエッチング又はその他の異方性エッチング技術を使用してエッチングされる。コンタクト/バイア開口266を誘電層262へ転写した後、図3dに示すように、アモルファスカーボン層をオゾンプラズマ、酸素プラズマ又はアンモニアプラズマ内でエッチングすることによって、誘電層262から剥離する。
【0044】
図3eを参照すると、アルミニウム、銅、タングステン、又はこれらの化合物などの導電性材料274を使用して、メタライゼーション構造がコンタクト/バイア266内に形成される。通常、その低い抵抗性から(約1.7μΩcm)、銅がメタライゼーション構造の形成に使用される。導電性材料274は化学気相堆積法、物理気相堆積法、電気メッキ、又はこれらの組み合わせを使用して堆積され、ダマシン構造を形成する。好ましくは、タンタル、タンタル窒化物などのバリア層272、又はその他の適したバリアが、まずメタライゼーション構造に合わせて堆積されて、周囲の誘電材料層262への金属マイグレーションを防止する。さらに、誘電層262は、メタライゼーション構造の隣接するコンタクト/バイア266間の静電結合を防ぐよう、低い誘電率(約4.5未満の誘電率)を有することが望ましい。
【0045】
B.アモルファスカーボン反射防止膜(ARC)
図4a〜図4cは、アモルファスカーボン層を反射防止膜(ARC)として組み込む集積回路製造シーケンスの、異なる段階における基板300の略断面図を表わす。一般に、基板300はその上で膜処理が行なわれるいかなる加工物にも当てはまり、基板構造350は一般に、基板300と基板300上に形成されるその他の材料層を、共に表わすものとして用いられる。処理の特定の段階に応じて、基板300はシリコン基板、又は基板上に形成されたその他の材料層に相当する。図4aは、例えば、基板300がシリコンウエハ上に形成された酸化物層である基板構造350の断面図を表わす。
【0046】
アモルファスカーボン層302が基板300の上に形成される。アモルファスカーボン層302は、上述のプロセスパラメータにしたがって基板300上に形成される。アモルファスカーボン層は、約250nm未満の波長において約1.5〜1.9の範囲の屈折率(n)と、約0.1〜約1.0の範囲の光吸収係数(k)を有し、アモルファスカーボン層をDUV波長におけるARCとしてふさわしいものとなっている。アモルファスカーボンARCの屈折率(n)と光吸収係数(k)は、それらが層形成中に望ましい範囲で温度及び混合ガスの組成の関数として可変であるということから、調節可能である。アモルファスカーボン層の厚さは、特定の処理段階に応じて可変である。通常、アモルファスカーボン層は約200Å〜約1100Åの厚さを持っている。
【0047】
図4bは、図4aの基板構造350上に形成されたエネルギー感受性レジスト材料層304を表わす。エネルギー感受性レジスト材料層は、基板上に約2000Å〜約6000Åの範囲内の厚さまでスピンコートされ得る。エネルギー感受性レジスト材料は、250nm未満の波長を持つDUV放射に対して感光性を有している。
【0048】
このエネルギー感受性レジスト材料層304を、マスク306を介してDUV放射に露光することにより、エネルギー感受性レジスト材料層304にパターン像が導入される。エネルギー感受性レジスト材料層304に導入されたパターン像は適切な現像装置内で現像され、層にパターンが形作られる。その後、図4cを参照すると、エネルギー感受性レジスト材料304中に形作られたパターンは、アモルファスカーボン層302に転写される。パターンは、エネルギー感受性レジスト材料304をマスクとして使用して、アモルファスカーボン層302に転写される。パターンは、適切な化学エッチャント(例えばオゾンプラズマ、酸素プラズマ又はアンモニアプラズマ)を使用してアモルファスカーボン層302をエッチングすることにより、アモルファスカーボン層302に転写される。
【0049】
アモルファスカーボン302がパターニングされた後、そのようなパターンは任意で基板300に転写される。通常、基板300がシリコン基板上に酸化物層を備えるとき、酸化物層のレジストマスクに対するエッチング選択性は約3:1から約5:1である。具体的に言うと、酸化物はレジストよりも約3〜5倍速くエッチングする。これに対し本発明のアモルファスカーボンARC層は、酸化物に対し約10:1よりも大きいエッチング選択性を有する。すなわち、酸化物はアモルファスカーボンARCよりも10倍以上速くエッチングする。このように、アモルファスカーボンARC層は酸化物パターニングのハードマスクとして、中間ハードマスク層をさらに必要とするというように、さらに工程を複雑にすることなく、より大きなエッチング選択性をも提供する。
【0050】
代替の実施形態において、アモルファスカーボン層は、層の厚さに渡って変化する光吸収係数(k)を持つことが出来る。すなわち、アモルファスカーボン層はその中に形成された光吸収係数の勾配を有し得る。そのような勾配は、層形成中の温度と混合ガス組成の関数として形成される。
【0051】
2つの材料層間の界面では、それらの屈折率(n)及び光吸収係数(k)の相違から反射が起こり得る。アモルファスカーボンARCに勾配を持たせて、2つの材料層の屈折率(n)及び光吸収係数(k)を適合させることができ、最小の反射で、アモルファスカーボンARCへの最大の透過が可能となる。その結果、アモルファスカーボンARCの屈折率(n)と光吸収係数(k)を、その中に透過される光のすべてを吸収するように、段階的に調節することが出来る。
【0052】
C.多層アモルファスカーボン反射防止膜(ARC)
図5a〜図5dは、多層アモルファスカーボン反射防止膜(ARC)構造を組み込む集積回路製造シーケンスの、異なる段階における基板400の略断面図を表わす。一般に、基板400はその上で膜処理が行なわれるいかなる加工物にも当てはまり、基板構造450は一般に、基板400と基板400上に形成されるその他の材料層を、共に表わすものとして用いられる。処理の特定の段階に応じて、基板400はシリコン基板、又は基板上に形成されたその他の材料層に相当する。図5aは、例えば、基板400がシリコンウエハである基板構造450の断面図を表わす。
【0053】
第1のアモルファスカーボン層402が、基板400上に形成される。第1のアモルファスカーボン層402は上述のプロセスパラメータにしたがって基板400上に形成される。第1のアモルファスカーボン層402は、おもに光吸収を目的に設計されている。したがって、第1のアモルファスカーボン層402は、約250nm未満の波長において約1.5〜約1.9の範囲の屈折率と、約0.5〜約1.0の範囲の吸収係数(k)を有している。第1のアモルファスカーボン層402の厚さは、特定の処理段階に応じて可変である。通常、第1のアモルファスカーボン層402は約300Å〜約1500Åの範囲の厚さである。
【0054】
第2のアモルファスカーボン層404が第1のアモルファスカーボン層402の上に形成される。第2のアモルファスカーボン層404もまた、上述のプロセスパラメータにしたがって形成される。第2のアモルファスカーボン層404は、おもに位相シフトの取り消しを目的として設計される。すなわち、第2のアモルファスカーボン層は、上地の材料層(例えばエネルギー感受性レジスト材料)との界面で発生した反射を消去する反射を生成するように設計される。したがって、第2のアモルファスカーボン層404は約1.5〜約1.9の屈折率と約0.1〜約0.5の範囲の光吸収係数を持つ。
【0055】
第2のアモルファスカーボン層404の厚さもまた、特定の処理段階に応じて可変である。通常、第2のアモルファスカーボン層404は約300Å〜約700Åの範囲の厚さを持つ。第1及び第2のアモルファスカーボン層の屈折率(n)と光吸収係数(k)は、それらが膜形成中、温度及び混合ガスの関数として可変であるということから、調節可能である。
【0056】
追加のアモルファスカーボン層を、多層アモルファスカーボンARC構造内に含んでよい。例えば1つ以上の最上層を使用して、例えばエネルギー感受性レジスト材料との界面で発生した反射を取り消すことができ、一方1つ以上の最下層を使用してその中に透過した光を吸収して、多層アモルファスカーボンARC構造と、例えば低誘電率酸化物など下地の材料層との界面の反射を最小にすることが出来る。
【0057】
図5bは、図5aの基板構造450上に形成された、エネルギー感受性レジスト材料層406を表わす。エネルギー感受性レジスト材料層は、約2000Å〜約6000Åの範囲内の厚さまで基板上にスピンコートされ得る。エネルギー感受性レジスト材料は250nm未満の波長を持つDUV放射に対して感光性を有している。
【0058】
エネルギー感受性レジスト材料406を、マスク408を通してDUV放射に露光することにより、エネルギー感受性レジスト材料層406にパターン像が導入される。
【0059】
エネルギー感受性レジスト材料層406に導入されたパターン像は適切な現像装置内で現像され、図5cに示すように層にパターンが形作られる。その後、図5dを参照すると、エネルギー感受性レジスト材料406中に形作られたパターンは、エネルギー感受性レジスト材料406をマスクとして使用して、アモルファスカーボン層404、402に転写される。パターンは、適切な化学エッチャント(例えばオゾンプラズマ、酸素プラズマ又はアンモニアプラズマ)を使用してエッチングすることにより、アモルファスカーボン層404、402に転写される。多層ARCがパターニングされた後、そのようなパターンが任意で基板に転写される。
【0060】
図5a〜図5dを参照して説明される多層アモルファスカーボンARC構造は、すでに単層アモルファスカーボンARCについて記述したように、中間ハードマスク層をさらに必要とするというように、更に工程を複雑にすることなく、低誘電率酸化物のような下地材料層をパターニングするための、ハードマスクとしてのエッチング選択性を提供する。
【0061】
本発明の教示を包含されたいくつかの好適実施形態が示され、詳細に説明されたが、当業者にはこれら教示をさらに包含した、その他、多数様々の実施形態を容易に考案出来るであろう。
【図面の簡単な説明】
【図1】図1は、本発明の実行に使用可能な装置の略図である。
【図2】図2a〜図2eは、アモルファスカーボン層をハードマスクとして組み込んだ集積回路製造の、様々な段階における基板構造の略断面図である。
【図3】図3a〜図3eは、アモルファスカーボン層をハードマスクとして組み込んだ集積回路製造の、様々な段階におけるダマシン構造の略断面図である。
【図4】図4a〜図4cは、アモルファスカーボン層を反射防止膜(ARC)として組み込んだ集積回路製造の、様々な段階における基板構造の略断面図である。
【図5】図5a〜図5dは、多層アモルファスカーボンARC構造を組み込んだ集積回路製造の、異なる段階における基板構造の略断面図である。
【符号の説明】
10…ウエハ処理システム、100…プロセスチャンバ、102…真空ポンプ、106…AC電源、110…制御ユニット、112…中央演算処理装置(CPU)、114…補助回路、116…メモリ、118…信号ケーブル、120…シャワーヘッド、130…ガスパネル、150…ペデスタル、170…埋め込みヒータ素子、172…温度センサー、190…半導体ウエハ、191…表面、200…基板、202…材料層、204…アモルファスカーボン層、206…中間層、208…エネルギー感受性レジスト材料層、210…マスク、250…基板構造、260…基板、262…誘電層、264…アモルファスカーボン層、266…コンタクト/バイア開口、272…バリア層、300…基板、302…アモルファスカーボン層、304…エネルギー感受性レジスト材料層、306…マスク、350…基板構造、400…基板、402…第1のアモルファスカーボン層、404…第2のアモルファスカーボン層、406…エネルギー感受性レジスト材料、408…マスク、450…基板構造
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to an amorphous carbon film, the use of the film in integrated circuit manufacturing and a method for depositing the film.
[0002]
[Prior art]
Integrated circuits have evolved into complex devices that can include multiple transistors, capacitors and resistors on a single chip. Advances in chip design constantly require faster circuit configurations and higher circuit densities. The demand for faster circuits with greater circuit density places corresponding demands on the materials used in the manufacture of such integrated circuits. That is, as integrated circuit component dimensions are reduced (eg, sub-micron dimensions), a low resistivity conductive material (eg, copper) and a low dielectric constant insulating material (less than about 4.5 dielectric constant). In use, there is a need to improve the electrical performance of these components.
[0003]
The demand for high density integrated circuits also imposes requirements on the process sequences used in integrated circuit manufacturing. For example, in a process sequence using conventional lithographic techniques, a layer of energy sensitive resist is formed on the deposition of the material layer on the substrate. A pattern image is introduced into the energy sensitive resist layer. Thereafter, the pattern introduced into the energy sensitive resist layer is transferred to one or more material deposition layers formed on the substrate using the energy sensitive resist layer as a mask. The pattern introduced in the energy sensitive resist can be transferred to one or more material deposition layers using a chemical etchant. Chemical etchants are designed to have greater etch selectivity for material deposition layers than energy sensitive resists. That is, a chemical etchant etches one or more material deposition layers at a much faster rate than it etches an energy sensitive resist. Typically, the high etch rate for one or more material deposition layers prevents the energy sensitive resist material from being consumed before the pattern transfer is complete.
[0004]
However, demands for greater circuit density on integrated circuits require smaller pattern dimensions (eg, submicron dimensions). As pattern dimensions are reduced, the thickness of the energy sensitive resist must be correspondingly reduced in order to control pattern resolution. Such thinner resist layers (less than about 6000 mm) may be insufficient for the mask of the underlying material layer during the pattern transfer step using chemical etching.
[0005]
An intermediate oxide layer called a hard mask (eg, silicon dioxide, silicon nitride) is often used between the energy sensitive resist layer and the underlying material layer to facilitate pattern transfer to the underlying material layer. However, some material structures (eg, damascene structures) include silicon dioxide and silicon nitride. This type of material structure cannot be patterned using a silicon dioxide or silicon nitride hard mask as an etching mask.
[0006]
The problem of resist patterning is further facilitated when using a lithographic imaging tool of deep ultraviolet (DUV) imaging wavelength to form a resist pattern. Since the influence of diffraction at a short wavelength is reduced in the DUV imaging wavelength, the resist pattern resolution is improved. However, since the reflectivity is increased by many base materials (for example, polysilicon or metal silicide) at this DUV wavelength, the quality of the obtained resist pattern may be deteriorated.
[0007]
One technique proposed to minimize reflection from the underlying material layer is to use an anti-reflective coating (ARC). The ARC is formed over the reflective material layer prior to resist patterning. ARC suppresses reflections from the underlying material layer during resist imaging and provides an accurate pattern copy in the energy sensitive resist layer.
[0008]
[Problems to be solved by the invention]
Several ARC materials have been proposed for use in combination with energy sensitive resists. For example, Pranickick et al., US Pat. No. 5,626,967, issued May 6, 1997, discloses the use of an antireflective coating of titanium nitride. However, since titanium nitride becomes more metallic when the exposure wavelength is below 248 nm, titanium nitride has a high reflectivity for DUV radiation and is not an effective antireflection film for DUV wavelengths.
[0009]
US Pat. No. 5,710,067 issued to Foote et al., Issued January 20, 1998, discloses the use of an antireflective coating of silicon oxynitride. The silicon oxynitride film is difficult to remove in the sense that it leaves behind residues that may interfere with subsequent integrated circuit fabrication steps.
[0010]
Therefore, there is a need in the art for a material layer that is useful for integrated circuit fabrication with good etch selectivity with oxides. In particular, the material layer is preferably ARC with respect to the DUV wavelength, and stripping is easy.
[0011]
[Means for Solving the Problems]
The present invention provides a method of forming an amorphous carbon layer for use in the manufacture of integrated circuits. The amorphous carbon layer is formed by thermally decomposing a mixed gas containing a hydrocarbon compound and an inert gas. A mixed gas, optionally containing additional gas, is introduced into the process chamber, the hydrocarbon compound is plasma pyrolyzed proximate to the substrate surface, and an amorphous carbon layer is deposited on the substrate surface.
[0012]
As-depo. Amorphous carbon layers deposited according to the process of the present invention can be adjusted for carbon: hydrogen ratios in the range of about 10% hydrogen to about 60% hydrogen. The amorphous carbon layer also has a light absorption coefficient k that can vary from about 0.1 to about 1.0 at wavelengths less than about 250 nm, and the amorphous carbon layer can be used as an antireflective film at DUV wavelengths. To be suitable for.
[0013]
This amorphous carbon layer is compatible with integrated circuit manufacturing processes. In one integrated circuit manufacturing process, the amorphous carbon layer is used as a hard mask. In this embodiment, the preferred deposition sequence comprises the deposition of an amorphous carbon layer on the substrate. After the amorphous carbon layer is deposited on the substrate, an intermediate layer is formed thereon. The intermediate layer is patterned and transferred to the amorphous carbon layer. The pattern is then transferred to the substrate using the amorphous carbon layer as a hard mask. Furthermore, patterns formed in amorphous carbon hard masks can be incorporated into integrated circuit structures such as damascene structures.
[0014]
In another integrated circuit manufacturing process, an amorphous carbon layer is used as a single-layer antireflective coating for DUV lithography. In such embodiments, a suitable process sequence includes the formation of an amorphous carbon layer on the substrate. The amorphous carbon layer has a refractive index (n) of about 1.5 to 1.9 and a light absorption coefficient (k) of about 0.1 to about 1.0 for wavelengths less than about 250 nm. The refractive index (n) and the light absorption coefficient (k) of the amorphous carbon ARC can be adjusted in the sense that they are variable within a desirable range as a function of the temperature and composition of the mixed gas during layer formation. After the amorphous carbon layer is formed on the substrate, an energy sensitive resist material layer is formed thereon. A pattern is formed in the energy sensitive resist at a wavelength of less than about 250 nm. Thereafter, the pattern formed in the energy sensitive resist is transferred to the amorphous carbon layer. After the amorphous carbon layer is patterned, such a pattern is optionally transferred to the substrate.
[0015]
In yet another integrated circuit manufacturing process, multilayer amorphous carbon antireflective coatings are used for DUV lithography. In such an embodiment, a suitable processing sequence includes forming a first amorphous carbon layer on the substrate. The first amorphous carbon layer has a refractive index in the range of about 1.5 to about 1.9 and a light absorption coefficient (k) in the range of about 0.5 to about 1.0 at wavelengths less than about 250 nm. Have After the first amorphous carbon layer is formed on the substrate, a second amorphous carbon layer is formed thereon. The second amorphous carbon layer has a refractive index of about 1.5 to about 1.9 and a light absorption coefficient in the range of about 0.1 to about 0.5. The refractive index (n) and light absorption coefficient (k) of the first and second amorphous carbon layers are adjusted in the sense that they can be varied within a desirable range as a function of the temperature and composition of the mixed gas during layer formation. Is possible. A layer of energy sensitive resist material is formed on the second amorphous carbon layer. A pattern is formed in the energy sensitive resist layer at a wavelength of less than about 250 nm. The pattern formed in the energy sensitive resist material is then transferred to the second amorphous carbon layer followed by the first amorphous carbon layer. After the first amorphous carbon layer is patterned, such a pattern is optionally transferred to the substrate.
[0016]
DETAILED DESCRIPTION OF THE INVENTION
The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
[0017]
The present invention provides a method of forming an integrated circuit using an amorphous carbon layer. The amorphous carbon layer is formed by thermally decomposing a mixed gas containing a hydrocarbon compound and an inert gas. A gas mixture, optionally including additional gases, is introduced into the process chamber where an amorphous carbon layer is deposited on the substrate surface as a result of plasma pyrolysis of the hydrocarbon compounds proximate to the substrate surface. The amorphous carbon layer is compatible with the integrated circuit manufacturing process discussed below.
[0018]
FIG. 1 is a schematic diagram of a wafer processing system 10 that can be used to perform deposition of an amorphous carbon layer in accordance with the present invention. The apparatus typically includes a process chamber 100, a gas panel 130, and a control unit 110 along with other hardware components such as a power supply and a vacuum pump. Details of the system 10 used in the present invention are described in a US patent application entitled “High Temperature Chemical Vapor Deposition Chamber” filed Dec. 14, 1998, Ser. No. 09 / 211,998. The salient features of this system 10 are briefly described below. Examples of system 10 include the CENTURA system, PRECISION 5000 system, and PRODUCER system available from Applied Materials, Inc., Santa Clara, California.
[0019]
Process chamber 100 generally includes a pedestal 150 that is used to support a substrate, such as a semiconductor wafer 190. The pedestal 150 can be moved vertically in the chamber 100 using a transposition mechanism (not shown). Depending on the particular process, the wafer 190 may be heated to some desired temperature prior to the process. In the present invention, the wafer pedestal 150 is heated by the embedded heater element 170. For example, the pedestal 150 can be resistance-heated by applying a current from the AC power source 106 to the heater element 170. The wafer 190 is then heated by the pedestal 150. In the conventional method, a temperature sensor 172 such as a thermocouple is also embedded in the wafer pedestal 150 to monitor the temperature of the pedestal 150. The measured temperature is used in a feedback loop to control the power supply 16 of the heater element 170 so that the wafer temperature can be maintained or controlled at a desired temperature suitable for a particular processing application. The pedestal 150 is optionally heated using a plasma or by a radiant heat sink (not shown).
[0020]
A vacuum pump 102 is used to evacuate the process chamber 100 and maintain a proper gas flow rate and pressure within the chamber 100. A showerhead 120 that introduces process gas into the chamber 100 is disposed above the wafer pedestal 150. The showerhead 120 is connected to a gas panel 130 that controls and supplies various gases used at different stages of the process sequence.
[0021]
Shower head 120 and wafer pedestal 150 also form a pair of spaced electrodes. When an electric field is generated between these electrodes, the process gas introduced into the chamber 100 is excited by plasma. Typically, an electric field is generated by connecting wafer pedestal 150 to a radio frequency (RF) power source (not shown) via a matching network (not shown). Alternatively, the RF power source and matching network may be coupled to the showerhead 120, or to both the showerhead 120 and the wafer pedestal 150.
[0022]
In plasma enhanced chemical vapor deposition (PECVD), an electric field is applied to a reaction zone near the substrate surface to promote excitation and separation of the reaction gas and generate plasma of a reactive species. Since the reactivity of the species in the plasma is high, the energy required for the chemical reaction is lowered and the temperature required for this PECVD process is lowered.
[0023]
In this embodiment, the deposition of the amorphous carbon layer is propylene (CThreeH6) It is realized by plasma pyrolysis of hydrocarbon compounds. Propylene is introduced into the process chamber 100 under the control of the gas panel 130. The hydrocarbon compound is introduced into the process chamber as a gas at a defined flow rate.
[0024]
Appropriate control and adjustment of the gas flow rate are performed by the gas panel 130 by a mass flow control device (not shown) and a control unit 110 such as a computer. The shower head 120 allows the process gas from the gas panel 130 to be uniformly distributed and introduced into the process chamber 100. By way of example, the control unit 110 comprises a central processing unit (CPU) 112, an auxiliary circuit 114, and a memory 116 containing associated control software. The control unit 110 has a function of automatically controlling a number of steps necessary for wafer processing, such as wafer transfer, gas flow rate control, temperature control, and chamber exhaust. Bidirectional communication between the control unit 110 and the various components of the system 10 is handled through a number of signal cables 118, collectively referred to as a signal bus, some of which are illustrated in FIG.
[0025]
The heated pedestal 150 used in the present invention is made of aluminum and includes a heater element 170 embedded at a distance below the wafer support surface 151 of the pedestal 150. The heater element 170 may be made from a nickel chrome wire encapsulated in an incoloy sheath tube. By properly adjusting the current applied to the heater element 170, the wafer 190 and pedestal 150 can be maintained at a relatively constant temperature during film deposition. This is accomplished by a feedback control loop where the temperature of the pedestal 150 is constantly monitored by a thermocouple 172 embedded in the pedestal 150. This information is transferred to the control unit 110 through the signal bus 118, which responds by sending the necessary signals to the heater power supply. Adjustments are subsequently made in the current supply 106 to maintain and control the pedestal 150 at a desired temperature, that is, a temperature suitable for a particular processing application. As the mixed process gas exits the showerhead 120, plasma pyrolysis of the hydrocarbon compound occurs on the heated surface 190 of the wafer 190, resulting in an amorphous carbon layer being deposited on the wafer 190.
[0026]
Formation of amorphous carbon layer
In one embodiment of the present invention, the amorphous carbon layer is formed from a mixed gas of a hydrocarbon compound and an inert gas such as argon (Ar) or helium (He). Hydrocarbon compounds have the general formula CxHyWhere x is in the range of 2-4 and y is in the range of 2-10. For example, propylene (CThreeH6), Propyne (CThreeHFour), Propane (CThreeH8), Butane (CFourHTen), Butylene (CFourH8), Butadiene (CFourH6) Or acetylene (C2H2) As well as these compounds can be used as hydrocarbon compounds. Similarly, hydrogen (H2), Nitrogen (N2), Ammonia (NHThree), Or these compounds may be added to the mixed gas as required. Ar, He and N2Is used to control the density and deposition rate of the amorphous carbon layer. H2And / or NHThreeCan be used to control the hydrogen fraction of the amorphous carbon layer as discussed below.
[0027]
  In general, the following deposition process parameters can be used to form an amorphous carbon layer. The process parameters include a wafer temperature of about 100 ° C. to about 500 ° C., a chamber pressure of about 1 Torr to about 20 Torr, a hydrocarbon gas (CxHy) flow rate of about 50 sccm to about 500 sccm (per 8 inch wafer), about0.5W /cm 2 ~about3W /cm 2 RF power, and about0.76cm~about1.52cmThe plate interval. The above process parameters provide a typical deposition rate of an amorphous carbon layer of about 100 / min to about 1000 / min and can be performed on a 200 mm substrate in a deposition chamber commercially available from Applied Materials.
[0028]
Other deposition chambers are within the scope of the invention, and the parameters listed above can vary depending on the particular deposition chamber used to form the amorphous carbon layer. For example, the volume of other deposition chambers may be larger or smaller, in which case higher and lower gas flow rates are required than illustrated for a commercial deposition chamber from Applied Materials.
[0029]
The as-deposited amorphous carbon layer can adjust the carbon: hydrogen ratio in the range of about 10% hydrogen to about 60% hydrogen. Controlling the hydrogen ratio of the amorphous carbon layer is desirable to adjust etch selectivity as well as its optical properties. In particular, as the hydrogen ratio decreases, the optical properties of the as-deposited layer, such as the refractive index (n) and the light absorption coefficient (k), increase. Similarly, the etching resistance of the amorphous carbon layer improves as the hydrogen ratio decreases.
[0030]
The light absorption coefficient k of the amorphous carbon layer can vary between about 0.1 and about 1.0 at wavelengths less than about 250 nm, and the amorphous carbon layer can be used as an anti-reflection coating (ARC) at DUV wavelengths. Adapt. The light absorption coefficient of the amorphous carbon layer is variable as a function of the deposition temperature. In particular, as the temperature increases, the light absorption coefficient of the as-deposited layer increases as well. For example, when propylene is a hydrocarbon compound, the k value of the as-deposited amorphous carbon layer can be increased from about 0.2 to about 0.7 by increasing the deposition temperature from about 150 ° C. to about 480 ° C. Is possible.
[0031]
The light absorption coefficient of the amorphous carbon layer can also vary as a function of the additive used in the gas mixture. In particular, H2, NHThree, N2Alternatively, when these compounds are present in the gas mixture, the k value can be increased by about 10% to about 100%.
[0032]
Integrated circuit manufacturing process
A. Amorphous carbon hard mask
2a to 2e show schematic cross-sectional views of the substrate 200 at different stages of an integrated circuit manufacturing sequence incorporating an amorphous carbon layer as a hard mask. Here, the substrate 200 refers to any workpiece on which processing is performed, and the substrate structure 250 is used to represent both the substrate 200 and other layers of material formed on the substrate 200. Depending on the particular stage of processing, the substrate 200 may correspond to a silicon substrate or other material layer formed on the substrate. FIG. 2a represents a cross-sectional view of a substrate structure 250, for example, with a material layer 202 conventionally formed thereon. The material layer 202 is an oxide (eg, SiO2). In general, the substrate 200 may include a silicon layer, a silicon compound layer, a metal layer, or other material layer. FIG. 2a represents an embodiment in which the substrate 200 is silicon and a silicon dioxide layer is formed thereon.
[0033]
FIG. 2b represents an amorphous carbon layer 204 deposited on the substrate structure 250 of FIG. 2a. The amorphous carbon layer 204 is formed on the substrate structure 250 according to the process parameters described above. The thickness of the amorphous carbon layer is variable depending on the specific processing stage. Usually, the amorphous carbon layer has a thickness of about 50 mm to about 1000 mm.
[0034]
An intermediate layer 206 is formed on the amorphous carbon layer 204 in response to the etching chemistry of the energy sensitive resist material used in the manufacturing sequence. The intermediate layer 206 serves as a mask for the amorphous carbon layer 204 when the pattern is transferred therein. The intermediate layer 206 is formed on the amorphous carbon layer 204 as usual. The intermediate layer 206 may be an oxide, nitride, silicon oxynitride, silicon carbide, amorphous silicon, or other material.
[0035]
An energy sensitive resist material layer 208 is formed on the intermediate layer 206. The energy sensitive resist material layer 208 can be spin coated onto the substrate to a thickness in the range of about 2000 to about 6000 inches. Most energy sensitive resist materials are sensitive to ultraviolet (UV) radiation having a wavelength of less than about 450 nm. DUV resist materials are sensitive to UV radiation having a wavelength of 245 nm or 193 nm.
[0036]
A pattern image is introduced into the energy sensitive resist material layer 208 by exposing such energy sensitive resist material layer 208 to UV radiation through a mask 210. The pattern image introduced into the energy sensitive resist material layer 208 is developed in a suitable development apparatus to form a pattern in the layer as shown in FIG. 2c. Thereafter, referring to FIG. 2 d, the pattern formed in the energy sensitive resist material layer 208 is transferred to the intermediate layer 206 and the amorphous carbon layer 204. The pattern is transferred to the intermediate layer 206 using the energy sensitive resist material 208 as a mask. The pattern is transferred to the intermediate layer 206 by etching the intermediate layer 206 using a suitable chemical etchant. The pattern is then transferred to the amorphous carbon layer 204 using the intermediate layer 206 as a mask. The pattern is transferred to the amorphous carbon layer 204 by etching the amorphous carbon layer 204 using a suitable chemical etchant (eg, ozone plasma, oxygen plasma or ammonia plasma).
[0037]
FIG. 2e represents the completion of the integrated circuit fabrication sequence by transferring the pattern formed in the amorphous carbon layer 204 to the silicon oxide layer 202 using the amorphous carbon layer 204 as a hard mask.
[0038]
After the silicon dioxide layer 202 is patterned, the amorphous carbon layer 204 can optionally be stripped from the substrate 200 by etching in ozone plasma, oxygen plasma, or ammonia plasma.
[0039]
In a specific example of a manufacturing sequence, a pattern formed in an amorphous carbon hard mask can be incorporated into an integrated circuit structure such as a damascene structure. Damascene structures are typically used to form metal interconnects on integrated circuits.
[0040]
FIGS. 3 a-3 e represent schematic cross-sectional views of the substrate 260 at different stages during the manufacturing sequence of a damascene structure including an amorphous carbon layer. Depending on the stage of processing, the substrate 260 may coincide with a silicon substrate or other material layer formed on the substrate. FIG. 3a represents, for example, a cross-sectional view of a substrate 260 having a dielectric layer 262 formed thereon. The dielectric layer 262 may be an oxide (eg, silicon dioxide, fluorosilicate glass). In general, the substrate 260 may include a silicon layer, a silicon compound layer, a metal layer, or other material layer.
[0041]
FIG. 3a represents an embodiment in which the substrate 260 is silicon and a fluorosilicate glass layer is formed thereon. The dielectric layer 262 has a thickness of about 5,000 mm to about 10,000 mm depending on the size of the substrate to be manufactured. An amorphous carbon layer 264 is formed on the dielectric layer 262. An amorphous carbon layer is formed on the dielectric layer 262 according to the process parameters described above. The amorphous carbon layer 264 has a thickness of about 200 mm to about 1000 mm.
[0042]
Referring to FIG. 3b, the amorphous carbon layer 264 is patterned and etched to form contact / via openings 266, and the dielectric layer 262 is exposed to the extent that contact / via openings are to be formed. The amorphous carbon layer 264 is patterned using conventional lithography techniques and etched using oxygen plasma or ammonia plasma.
[0043]
The contact / via opening 266 formed in the amorphous carbon layer 264 is then transferred to the dielectric layer 262 using the amorphous carbon layer 264 as a hard mask, as shown in FIG. 3c. Contact / via opening 266 is etched using reactive ion etching or other anisotropic etching techniques. After the contact / via opening 266 is transferred to the dielectric layer 262, the amorphous carbon layer is stripped from the dielectric layer 262 by etching in ozone plasma, oxygen plasma, or ammonia plasma, as shown in FIG. 3d.
[0044]
Referring to FIG. 3e, a metallization structure is formed in the contact / via 266 using a conductive material 274 such as aluminum, copper, tungsten, or a compound thereof. Usually, because of its low resistance (about 1.7 μΩcm), copper is used to form metallization structures. The conductive material 274 is deposited using chemical vapor deposition, physical vapor deposition, electroplating, or a combination thereof to form a damascene structure. Preferably, a barrier layer 272 such as tantalum, tantalum nitride, or other suitable barrier is first deposited for the metallization structure to prevent metal migration to the surrounding dielectric material layer 262. Further, the dielectric layer 262 desirably has a low dielectric constant (dielectric constant less than about 4.5) to prevent electrostatic coupling between adjacent contacts / vias 266 of the metallization structure.
[0045]
B. Amorphous carbon antireflection coating (ARC)
4a-4c represent schematic cross-sectional views of the substrate 300 at different stages of an integrated circuit manufacturing sequence that incorporates an amorphous carbon layer as an anti-reflective coating (ARC). In general, the substrate 300 applies to any workpiece on which film processing is performed, and the substrate structure 350 is generally used to represent both the substrate 300 and other material layers formed on the substrate 300. Depending on the particular stage of processing, the substrate 300 represents a silicon substrate or other material layer formed on the substrate. FIG. 4a represents a cross-sectional view of a substrate structure 350, for example, where the substrate 300 is an oxide layer formed on a silicon wafer.
[0046]
An amorphous carbon layer 302 is formed on the substrate 300. The amorphous carbon layer 302 is formed on the substrate 300 according to the process parameters described above. The amorphous carbon layer has a refractive index (n) in the range of about 1.5 to 1.9 and a light absorption coefficient (k) in the range of about 0.1 to about 1.0 at a wavelength of less than about 250 nm. The amorphous carbon layer is suitable as an ARC at the DUV wavelength. The refractive index (n) and the light absorption coefficient (k) of the amorphous carbon ARC can be adjusted because they are variable as a function of temperature and gas mixture composition in the desired range during layer formation. The thickness of the amorphous carbon layer is variable depending on the specific processing stage. Typically, the amorphous carbon layer has a thickness of about 200 to about 1100.
[0047]
FIG. 4b represents an energy sensitive resist material layer 304 formed on the substrate structure 350 of FIG. 4a. The energy sensitive resist material layer can be spin coated onto the substrate to a thickness in the range of about 2000 mm to about 6000 mm. The energy sensitive resist material is sensitive to DUV radiation having a wavelength of less than 250 nm.
[0048]
By exposing this energy sensitive resist material layer 304 to DUV radiation through a mask 306, a pattern image is introduced into the energy sensitive resist material layer 304. The pattern image introduced into the energy sensitive resist material layer 304 is developed in a suitable developing device to form a pattern in the layer. Thereafter, referring to FIG. 4 c, the pattern formed in the energy sensitive resist material 304 is transferred to the amorphous carbon layer 302. The pattern is transferred to the amorphous carbon layer 302 using the energy sensitive resist material 304 as a mask. The pattern is transferred to the amorphous carbon layer 302 by etching the amorphous carbon layer 302 using a suitable chemical etchant (eg, ozone plasma, oxygen plasma or ammonia plasma).
[0049]
After the amorphous carbon 302 is patterned, such a pattern is optionally transferred to the substrate 300. Typically, when the substrate 300 comprises an oxide layer on a silicon substrate, the etch selectivity of the oxide layer to the resist mask is from about 3: 1 to about 5: 1. Specifically, the oxide etches about 3-5 times faster than the resist. In contrast, the amorphous carbon ARC layer of the present invention has an etch selectivity greater than about 10: 1 to oxide. That is, the oxide etches 10 times or more faster than the amorphous carbon ARC. Thus, the amorphous carbon ARC layer also provides greater etch selectivity without additional process complexity, such as requiring an intermediate hard mask layer as a hard mask for oxide patterning.
[0050]
In an alternative embodiment, the amorphous carbon layer can have a light absorption coefficient (k) that varies across the thickness of the layer. That is, the amorphous carbon layer can have a gradient of light absorption coefficient formed therein. Such a gradient is formed as a function of temperature and gas mixture composition during layer formation.
[0051]
Reflection can occur at the interface between the two material layers due to the difference in their refractive index (n) and light absorption coefficient (k). The gradient of the amorphous carbon ARC can be adjusted so that the refractive index (n) and the light absorption coefficient (k) of the two material layers can be adapted, and the maximum transmission to the amorphous carbon ARC is possible with the minimum reflection. Become. As a result, the refractive index (n) and light absorption coefficient (k) of the amorphous carbon ARC can be adjusted step by step so as to absorb all of the light transmitted through it.
[0052]
C. Multi-layer amorphous carbon anti-reflective coating (ARC)
5a-5d represent schematic cross-sectional views of the substrate 400 at different stages of an integrated circuit manufacturing sequence that incorporates a multilayer amorphous carbon anti-reflective coating (ARC) structure. In general, the substrate 400 applies to any workpiece on which film processing is performed, and the substrate structure 450 is generally used to represent both the substrate 400 and other layers of material formed on the substrate 400. Depending on the particular stage of processing, the substrate 400 represents a silicon substrate or other material layer formed on the substrate. FIG. 5a represents a cross-sectional view of a substrate structure 450, for example, where the substrate 400 is a silicon wafer.
[0053]
A first amorphous carbon layer 402 is formed on the substrate 400. The first amorphous carbon layer 402 is formed on the substrate 400 according to the process parameters described above. The first amorphous carbon layer 402 is designed mainly for light absorption. Accordingly, the first amorphous carbon layer 402 has a refractive index in the range of about 1.5 to about 1.9 and an absorption coefficient (k) in the range of about 0.5 to about 1.0 at wavelengths less than about 250 nm. have. The thickness of the first amorphous carbon layer 402 is variable depending on the specific processing stage. Typically, the first amorphous carbon layer 402 has a thickness in the range of about 300cm to about 1500mm.
[0054]
A second amorphous carbon layer 404 is formed on the first amorphous carbon layer 402. The second amorphous carbon layer 404 is also formed according to the process parameters described above. The second amorphous carbon layer 404 is designed mainly for the purpose of canceling the phase shift. That is, the second amorphous carbon layer is designed to generate a reflection that eliminates the reflection generated at the interface with the overlying material layer (eg, energy sensitive resist material). Accordingly, the second amorphous carbon layer 404 has a refractive index of about 1.5 to about 1.9 and a light absorption coefficient in the range of about 0.1 to about 0.5.
[0055]
The thickness of the second amorphous carbon layer 404 is also variable depending on the specific processing stage. Typically, the second amorphous carbon layer 404 has a thickness in the range of about 300cm to about 700mm. The refractive index (n) and light absorption coefficient (k) of the first and second amorphous carbon layers can be adjusted because they are variable as a function of temperature and gas mixture during film formation.
[0056]
Additional amorphous carbon layers may be included in the multilayer amorphous carbon ARC structure. For example, one or more top layers can be used to cancel reflections that occur, for example, at the interface with an energy sensitive resist material, while one or more bottom layers can be used to absorb light transmitted therein. Thus, reflection at the interface between the multilayer amorphous carbon ARC structure and the underlying material layer such as a low dielectric constant oxide can be minimized.
[0057]
FIG. 5b represents an energy sensitive resist material layer 406 formed on the substrate structure 450 of FIG. 5a. The energy sensitive resist material layer can be spin coated onto the substrate to a thickness in the range of about 2000 to about 6000 inches. The energy sensitive resist material is sensitive to DUV radiation having a wavelength of less than 250 nm.
[0058]
Exposing the energy sensitive resist material 406 to DUV radiation through a mask 408 introduces a pattern image into the energy sensitive resist material layer 406.
[0059]
The pattern image introduced into the energy sensitive resist material layer 406 is developed in a suitable developing device to form a pattern in the layer as shown in FIG. 5c. Subsequently, referring to FIG. 5d, the pattern formed in the energy sensitive resist material 406 is transferred to the amorphous carbon layers 404, 402 using the energy sensitive resist material 406 as a mask. The pattern is transferred to the amorphous carbon layers 404, 402 by etching using a suitable chemical etchant (eg, ozone plasma, oxygen plasma or ammonia plasma). After the multilayer ARC is patterned, such a pattern is optionally transferred to the substrate.
[0060]
The multi-layer amorphous carbon ARC structure described with reference to FIGS. 5a to 5d further complicates the process, as already described for single-layer amorphous carbon ARC, requiring an additional intermediate hard mask layer. Without providing etching selectivity as a hard mask for patterning an underlying material layer such as a low dielectric constant oxide.
[0061]
While several preferred embodiments have been shown and described in detail which incorporate the teachings of the present invention, those skilled in the art can readily devise numerous other embodiments that further incorporate these teachings. Let's go.
[Brief description of the drawings]
FIG. 1 is a schematic representation of an apparatus that can be used to practice the present invention.
FIGS. 2a-2e are schematic cross-sectional views of substrate structures at various stages of integrated circuit fabrication incorporating an amorphous carbon layer as a hard mask. FIGS.
FIGS. 3a-3e are schematic cross-sectional views of damascene structures at various stages of integrated circuit fabrication incorporating an amorphous carbon layer as a hard mask. FIGS.
FIGS. 4a-4c are schematic cross-sectional views of substrate structures at various stages of integrated circuit fabrication incorporating an amorphous carbon layer as an anti-reflective coating (ARC). FIGS.
FIGS. 5a-5d are schematic cross-sectional views of substrate structures at different stages in the manufacture of integrated circuits incorporating multilayer amorphous carbon ARC structures. FIGS.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 10 ... Wafer processing system, 100 ... Process chamber, 102 ... Vacuum pump, 106 ... AC power supply, 110 ... Control unit, 112 ... Central processing unit (CPU), 114 ... Auxiliary circuit, 116 ... Memory, 118 ... Signal cable, DESCRIPTION OF SYMBOLS 120 ... Shower head, 130 ... Gas panel, 150 ... Pedestal, 170 ... Embedded heater element, 172 ... Temperature sensor, 190 ... Semiconductor wafer, 191 ... Surface, 200 ... Substrate, 202 ... Material layer, 204 ... Amorphous carbon layer, 206 ... intermediate layer, 208 ... energy sensitive resist material layer, 210 ... mask, 250 ... substrate structure, 260 ... substrate, 262 ... dielectric layer, 264 ... amorphous carbon layer, 266 ... contact / via opening, 272 ... barrier layer, 300 ... Substrate, 302 ... amorphous carbon layer, 3 4 ... energy sensitive resist material layer, 306 ... mask, 350 ... substrate structure, 400 ... substrate, 402 ... first amorphous carbon layer, 404 ... second amorphous carbon layer, 406 ... energy sensitive resist material, 408 ... mask, 450 ... Board structure

Claims (26)

デバイス形成方法であって、
基板上に誘電層を形成するステップと、
前記誘電層に1つ以上のアモルファスカーボン層を含むハードマスクを化学気相堆積法により形成するステップと、
炭化シリコンを含む中間層を前記ハードマスク上に形成するステップと、
前記中間層の少なくとも1つの領域にパターンを形作るステップと、
前記ハードマスクの少なくとも1つの領域にパターンを形作るステップと、を含むデバイス形成方法。
A device forming method comprising:
Forming a dielectric layer on the substrate;
Forming a hard mask including one or more amorphous carbon layers in the dielectric layer by chemical vapor deposition;
Forming an intermediate layer comprising silicon carbide on the hard mask;
Forming a pattern in at least one region of the intermediate layer;
Forming a pattern in at least one region of the hard mask .
前記ハードマスクの少なくとも1つの領域に形作られた前期パターンを前記基板へ転写するステップをさらに含む請求項1に記載の方法。The method of claim 1, further comprising: transferring a pre-pattern formed on at least one region of the hard mask to the substrate . 前記ハードマスクを、前記基板から除去するステップを更に含む請求項2に記載の方法。The method of claim 2, further comprising removing the hard mask from the substrate. 前記中間層の少なくとも1つの領域にパターンを形作るステップが、
エネルギー感受性レジスト材料層を、中間層上に形成するステップと、
エネルギー感受性レジスト材料層を、パターニングされた放射に露光することにより、エネルギー感受性レジスト材料層中にパターン像を導入するステップと、
エネルギー感受性レジスト材料層中に導入されたパターン像を、現像するステップと、
前記ハードマスクに、前記パターンを転写するステップとを含む請求項1に記載の方法。
Forming a pattern in at least one region of the intermediate layer ;
Forming an energy sensitive resist material layer on the intermediate layer;
Introducing a pattern image into the energy sensitive resist material layer by exposing the energy sensitive resist material layer to patterned radiation;
Developing a pattern image introduced into the energy sensitive resist material layer;
The method according to claim 1, further comprising: transferring the pattern to the hard mask .
前記中間層の少なくとも1つの領域にパターンを形作るステップが、
エネルギー感受性レジスト材料層を、中間層上に形成するステップと、
エネルギー感受性レジスト材料層中にパターン像を導入するステップと
パターン像を現像するステップと、
エネルギー感受性レジスト材料層中に現像されたパターン像を、エネルギー感受性レジスト材料をマスクとして用い、中間層に転写するステップと、
を更に含む請求項4に記載の方法。
Forming a pattern in at least one region of the intermediate layer;
Forming an energy sensitive resist material layer on the intermediate layer;
Introducing a pattern image into the energy sensitive resist material layer;
Developing a pattern image;
Transferring the pattern image developed in the energy sensitive resist material layer to the intermediate layer using the energy sensitive resist material as a mask;
The method of claim 4 further comprising :
中間層が、酸化物である請求項5に記載の方法。The method according to claim 5 , wherein the intermediate layer is an oxide. 1つ以上のアモルファスカーボン層が、オゾンプラズマ又は、酸素プラズマ又は、NH プラズマを用いて、基板から除去される請求項3に記載の方法。One or more amorphous carbon layers, ozone plasma or oxygen plasma or by using the NH 3 plasma process according to claim 3 which is removed from the substrate. 1つ以上のアモルファスカーボン層が、250nm未満の波長における反射防止膜である請求項1に記載の方法。  The method of claim 1, wherein the one or more amorphous carbon layers are antireflective films at wavelengths less than 250 nm. 1つ以上のアモルファスカーボン層のそれぞれが、250nm未満の波長において、0.1〜1.0の範囲の光吸収係数を有する請求項8に記載の方法。9. The method of claim 8 , wherein each of the one or more amorphous carbon layers has a light absorption coefficient in the range of 0.1 to 1.0 at a wavelength of less than 250 nm. 光吸収係数が、1つ以上のアモルファスカーボン層の厚さにわたり0.1〜1.0の間で変化する請求項9に記載の方法。The method of claim 9 , wherein the light absorption coefficient varies between 0.1 and 1.0 over the thickness of the one or more amorphous carbon layers. 1つ以上のアモルファスカーボン層が、それぞれ、1.5〜1.9の屈折率を有する請求項8に記載の方法。The method of claim 8 , wherein the one or more amorphous carbon layers each have a refractive index of 1.5 to 1.9. 堆積チャンバ内に基板を配置し、
1つ以上の炭化水素化合物と不活性ガスとを有する混合ガスを、堆積チャンバに供給し、
混合ガスを加熱して、混合ガス中の1つ以上の炭化水素化合物を熱分解し、基板上に1つ以上のアモルファスカーボン層を形成する
ことにより、基板上に1つ以上のアモルファスカーボン層が形成される請求項1に記載の方法。
Placing the substrate in the deposition chamber;
Supplying a gas mixture having one or more hydrocarbon compounds and an inert gas to the deposition chamber;
One or more amorphous carbon layers are formed on the substrate by heating the mixed gas and thermally decomposing one or more hydrocarbon compounds in the mixed gas to form one or more amorphous carbon layers on the substrate. The method of claim 1 formed.
混合ガス中の1つ以上の炭化水素化合物が、一般式CxHyであり、xは2〜4、yは2〜10である請求項12に記載の方法。The method according to claim 12 , wherein the one or more hydrocarbon compounds in the mixed gas are of the general formula CxHy, where x is 2-4 and y is 2-10. 1つ以上の炭化水素化合物が、プロピレン(C)と、プロピン(C)と、プロパン(C)と、ブタン(C10)と、ブチレン(C)と、ブタジエン(C)と、アセチレン(C)と、これらの混合物とから成る群より選択される請求項13に記載の方法。One or more hydrocarbon compounds include propylene (C 3 H 6 ), propyne (C 3 H 4 ), propane (C 3 H 8 ), butane (C 4 H 10 ), and butylene (C 4 H The method of claim 13 selected from the group consisting of 8 ), butadiene (C 4 H 6 ), acetylene (C 2 H 2 ), and mixtures thereof. 1つ以上のアモルファスカーボン層が、それぞれ、10%水素〜60%水素の範囲の、炭素:水素比を有する請求項12に記載の方法。The method of claim 12 , wherein the one or more amorphous carbon layers each have a carbon: hydrogen ratio in the range of 10% hydrogen to 60% hydrogen. 不活性ガスが、ヘリウムと、アルゴンと、これらの混合物とから成る群より選択される請求項12に記載の方法。The method of claim 12 , wherein the inert gas is selected from the group consisting of helium, argon, and mixtures thereof. 混合ガスが、更に添加ガスを含む請求項12に記載の方法。The method according to claim 12 , wherein the mixed gas further contains an additive gas. 添加ガスがアンモニアと、窒素と、水素と、これらの混合物とから成る群より選択される請求項17に記載の方法。The method of claim 17 , wherein the additive gas is selected from the group consisting of ammonia, nitrogen, hydrogen, and mixtures thereof. 基板が、100℃〜500℃の温度に加熱される請求項12に記載の方法。The method of claim 12 , wherein the substrate is heated to a temperature of 100 ° C. to 500 ° C. 堆積チャンバが、1Torr〜20Torrの圧力に維持される請求項12に記載の方法。The method of claim 12 , wherein the deposition chamber is maintained at a pressure between 1 Torr and 20 Torr. 混合ガスが、50sccm〜500sccmの流量で堆積チャンバへ供給される請求項12に記載の方法。The method of claim 12 , wherein the gas mixture is supplied to the deposition chamber at a flow rate between 50 sccm and 500 sccm. 混合ガスが、電界を印加することによって加熱される請求項12に記載の方法。The method of claim 12 , wherein the gas mixture is heated by applying an electric field. 混合ガスにかけられる電界が、高周波(RF)電力である請求項22に記載の方法。23. The method of claim 22 , wherein the electric field applied to the gas mixture is radio frequency (RF) power. RF電力が0.5W/cm〜3W/cmである請求項23に記載の方法。The method of claim 23 RF power is 0.5W / cm 2 ~3W / cm 2 . 1つ以上のアモルファスカーボン層のそれぞれが、50Å〜1500Åの範囲の厚さを有する請求項1に記載の方法。  The method of claim 1, wherein each of the one or more amorphous carbon layers has a thickness in the range of 50 to 1500 inches. パターン化された放射が、250ナノメータ(nm)未満の波長を有する請求項4に記載の方法。The method of claim 4 , wherein the patterned radiation has a wavelength of less than 250 nanometers (nm).
JP2001042049A 2000-02-17 2001-02-19 Method for depositing amorphous carbon layer Expired - Lifetime JP5121090B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US18350700P 2000-02-17 2000-02-17
US60/183507 2000-02-17

Publications (3)

Publication Number Publication Date
JP2002012972A JP2002012972A (en) 2002-01-15
JP2002012972A5 JP2002012972A5 (en) 2008-04-10
JP5121090B2 true JP5121090B2 (en) 2013-01-16

Family

ID=22673096

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001042049A Expired - Lifetime JP5121090B2 (en) 2000-02-17 2001-02-19 Method for depositing amorphous carbon layer

Country Status (1)

Country Link
JP (1) JP5121090B2 (en)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US6972255B2 (en) * 2003-07-28 2005-12-06 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
KR100704470B1 (en) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
JP4853857B2 (en) 2005-06-15 2012-01-11 東京エレクトロン株式会社 Substrate processing method, computer-readable recording medium, and substrate processing apparatus
JP5138291B2 (en) * 2006-07-05 2013-02-06 東京エレクトロン株式会社 Post-processing method for amorphous carbon film and method for manufacturing semiconductor device using the same
CN101484984B (en) * 2006-07-05 2010-12-15 东京毅力科创株式会社 Aftertreatment method for amorphous carbon film
JP2008210930A (en) * 2007-02-26 2008-09-11 Elpida Memory Inc Manufacturing method of semiconductor device
WO2008105321A1 (en) 2007-02-28 2008-09-04 Tokyo Electron Limited Method for forming amorphous carbon film, amorphous carbon film, multilayer resist film, method for manufacturing semiconductor device, and computer-readable recording medium
JP2008227360A (en) * 2007-03-15 2008-09-25 Elpida Memory Inc Method for manufacturing semiconductor device
JP5425404B2 (en) 2008-01-18 2014-02-26 東京エレクトロン株式会社 Method for processing amorphous carbon film and method for manufacturing semiconductor device using the same
KR101130065B1 (en) * 2008-01-30 2012-03-29 도쿄엘렉트론가부시키가이샤 Method of aftertreatment of amorphous hydrocarbon film and method for manufacturing electronic device by using the aftertreatment method, and related storage medium and related treating system
JP2009206394A (en) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd Forming method of carbon-based hard mask
JP5289863B2 (en) 2008-08-28 2013-09-11 東京エレクトロン株式会社 Amorphous carbon nitride film forming method, multilayer resist film, semiconductor device manufacturing method, and storage medium storing control program
US20100071765A1 (en) * 2008-09-19 2010-03-25 Peter Cousins Method for fabricating a solar cell using a direct-pattern pin-hole-free masking layer
JP5411171B2 (en) * 2010-02-05 2014-02-12 東京エレクトロン株式会社 Method for forming a laminated structure including an amorphous carbon film
JP5807511B2 (en) 2011-10-27 2015-11-10 東京エレクトロン株式会社 Film forming apparatus and operation method thereof
JP5772508B2 (en) 2011-10-27 2015-09-02 東京エレクトロン株式会社 Film forming apparatus and operation method thereof
JP6045975B2 (en) 2012-07-09 2016-12-14 東京エレクトロン株式会社 Carbon film forming method and film forming apparatus
JP6632470B2 (en) 2016-05-24 2020-01-22 東京エレクトロン株式会社 Method and apparatus for forming carbon film
JP2022097936A (en) 2020-12-21 2022-07-01 東京エレクトロン株式会社 Substrate treatment method and substrate treatment apparatus
JP2022187397A (en) 2021-06-07 2022-12-19 東京エレクトロン株式会社 Film deposition method and film deposition apparatus
EP4148162A1 (en) * 2021-09-13 2023-03-15 Behzad Sahabi Coating method and device for forming a barrier layer to increase imperability and corrosion resistance, coating and container for embedding and sealing radioactive bodies for final storage, and method for producing the container

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6431974A (en) * 1987-07-28 1989-02-02 Idemitsu Petrochemical Co Production of diamond-like carbon film
JPH01298165A (en) * 1988-05-27 1989-12-01 Canon Inc Manufacture of carbon film
JPH0258221A (en) * 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd Etching method using carbon or mask mainly comprising carbon
JPH0364467A (en) * 1989-07-31 1991-03-19 Matsushita Electric Ind Co Ltd Method and device for synthesizing carbon film
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
JPH0744037A (en) * 1993-08-03 1995-02-14 Canon Inc Method for regenerating heat fixing device
JPH07245301A (en) * 1994-03-03 1995-09-19 Fujitsu Ltd Manufacture of semiconductor device
JP3361918B2 (en) * 1995-07-26 2003-01-07 沖電気工業株式会社 Method for forming fine holes in semiconductor integrated circuit device
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
JP4268234B2 (en) * 1998-02-16 2009-05-27 キヤノンアネルバ株式会社 Information recording disk deposition system

Also Published As

Publication number Publication date
JP2002012972A (en) 2002-01-15

Similar Documents

Publication Publication Date Title
JP5121090B2 (en) Method for depositing amorphous carbon layer
US7335462B2 (en) Method of depositing an amorphous carbon layer
US7332262B2 (en) Photolithography scheme using a silicon containing resist
JP5116197B2 (en) Method for forming a device utilizing a silicon carbide layer
US6764958B1 (en) Method of depositing dielectric films
JP4709450B2 (en) Deposition method of organosilicate layer
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
US7776516B2 (en) Graded ARC for high NA and immersion lithography
US20020155386A1 (en) Fluorine-containing layers for damascene structures
US6573196B1 (en) Method of depositing organosilicate layers
JP2002217189A (en) Dual plasma processing of silicon carbide film
EP1174911A2 (en) Silicon nitride as anti-reflective coating

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080219

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080219

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110905

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110908

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110930

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111005

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120321

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120619

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120622

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120710

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120925

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121023

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151102

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5121090

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term