JP4990160B2 - Cluster tool architecture for processing substrates - Google Patents

Cluster tool architecture for processing substrates Download PDF

Info

Publication number
JP4990160B2
JP4990160B2 JP2007548551A JP2007548551A JP4990160B2 JP 4990160 B2 JP4990160 B2 JP 4990160B2 JP 2007548551 A JP2007548551 A JP 2007548551A JP 2007548551 A JP2007548551 A JP 2007548551A JP 4990160 B2 JP4990160 B2 JP 4990160B2
Authority
JP
Japan
Prior art keywords
substrate
robot
processing chamber
assembly
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007548551A
Other languages
Japanese (ja)
Other versions
JP2008526032A5 (en
JP2008526032A (en
Inventor
哲也 石川
リック, ジェイ. ロバーツ,
ヘレン, アール. アーマー,
レオン ヴォルフォヴスキー,
ジェイ, ディー. ピンソン,
マイケル ライス,
デイヴィッド, エイチ. コーク,
モフセン, エス. サレク,
ロバート ローレンス,
ジョン, エー. バッカー,
ウィリアム, テイラー ウィーヴァー,
チャールズ カールソン,
チョンヤン ワン,
ジェフリー ハドゲンス,
ハラルド ハーチェン,
ブライアン ルー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Semiconductor Solutions Co Ltd
Applied Materials Inc
Original Assignee
Screen Semiconductor Solutions Co Ltd
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=36147070&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP4990160(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US11/112,281 external-priority patent/US7357842B2/en
Application filed by Screen Semiconductor Solutions Co Ltd, Applied Materials Inc filed Critical Screen Semiconductor Solutions Co Ltd
Publication of JP2008526032A publication Critical patent/JP2008526032A/en
Publication of JP2008526032A5 publication Critical patent/JP2008526032A5/ja
Application granted granted Critical
Publication of JP4990160B2 publication Critical patent/JP4990160B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Description

発明の背景Background of the Invention

発明の分野
[0001]本発明の実施形態は、一般的に、複数の基板を並行処理することが可能な、複数の処理ステーションとロボットとを包含している統合型の処理システムに関する。
Field of Invention
[0001] Embodiments of the present invention generally relate to an integrated processing system that includes a plurality of processing stations and a robot capable of processing a plurality of substrates in parallel.

関連技術の説明
[0002]普通、電子装置の形成処理は、制御された処理環境内で基板(例えば半導体ウェーハ)を連続処理する機能を有するマルチチャンバ処理システム(例えばクラスタツール)にて行われる。典型的なクラスタツールは、フォトレジスト材料の堆積(即ち、コート)および成長に使用され、トラックリソグラフィツールとして普通に知られているものである。このツールには、ポッド/カセット搭載装置と複数の処理チャンバ(両方ともメインフレームに接続している)の間で基板を移送する少なくとも1つの基板移送ロボットを収容するためのメインフレームが含まれている。多くの場合、クラスタツールは、基板を制御された処理環境内で繰り返し処理できるように使用される。制御された処理環境は多くの恩典を有し、その中には、移送の最中、および様々な基板処理ステップを完了する最中における基板の表面の汚染の最小化が含まれる。制御された環境内で処理を行うことにより、欠陥の生成数が低減され、装置生産高が増加する。
Explanation of related technology
[0002] Typically, electronic device formation processing is performed in a multi-chamber processing system (eg, a cluster tool) that has the capability of continuously processing substrates (eg, semiconductor wafers) within a controlled processing environment. A typical cluster tool is used for the deposition (ie, coating) and growth of photoresist material and is commonly known as a track lithography tool. The tool includes a main frame for housing at least one substrate transfer robot for transferring substrates between the pod / cassette mounting apparatus and a plurality of processing chambers (both connected to the main frame). Yes. In many cases, cluster tools are used so that substrates can be repeatedly processed in a controlled processing environment. A controlled processing environment has many benefits, including minimizing contamination of the surface of the substrate during transfer and during the completion of various substrate processing steps. By performing the process in a controlled environment, the number of defects generated is reduced and the device output is increased.

[0003]基板製造処理の効果は、装置の生産性と所有コスト(CoO)といった、関連し合う重要な2つの要素によって測定されることが多い。これらの要素が重要であるのは、電子装置の生産コスト、さらに市場における装置製造業者の競争力に直接影響するためである。CoOは多くの要素によって影響されるが、中でもシステムおよびチャンバスループット、または単純に、所望の処理シーケンスを使用して1時間に処理される基板の枚数によって大きな影響を受ける。処理シーケンスは、一般的に、クラスタツール内の1つ以上の処理チャンバにて完了される装置製作ステップ、または処理レシピステップのシーケンスとして定義される。一般的に、処理シーケンスは様々な基板(またはウェーハ)電子装置製造処理ステップを包含している。多くの場合、電子装置製造業者は、CoOを低減する試みにおいて、クラスタツールアーキテクチャの制限とチャンバ処理時間を考慮した上で可能な限り高い基板スループットを達成するために、処理シーケンスおよびチャンバ処理時間を最適化することに多大な時間を費やしている。トラックリソグラフィタイプのクラスタツールの場合、チャンバ処理時間がかなり短く(例えば、処理完了まで約1分間)、典型的な1つの処理シーケンスを完了するのに要する処理ステップ数が大きいため、処理シーケンス完了にかかる時間のかなりの部分が様々な処理チャンバ間での基板の移送に割かれることになる。一般的に、典型的なトラックリソグラフィ処理シーケンスは以下のステップを含む:基板の表面上に1つ以上の均等なフォトレジスト(またはレジスト)層を堆積させ、次に、この基板をクラスタツールから別々のステッパまたはスキャナツールへ移送し、そこで、フォトレジスト層をフォトレジスト変形電磁放射線で露光し基板の表面にパターンを作成して、パターン付きフォトレジスト層を成長させる。ロボットによってクラスタツール内の基板スループットが制限されない場合には、一般的に、最長の処理レシピステップによって処理シーケンスのスループットが制限される。通例、トラックリソグラフィ処理シーケンスでは、処理時間が短く処理ステップ数が多いため、こういったケースはない。従来の製作処理、例えば典型的な処理を実施中であるトラックリソグラフィツールの典型的なシステムスループットは、一般的に、基板100〜120枚/1時間である。   [0003] The effectiveness of a substrate manufacturing process is often measured by two important factors related to each other, such as device productivity and cost of ownership (CoO). These factors are important because they directly affect the cost of producing electronic devices and the competitiveness of device manufacturers in the market. CoO is influenced by many factors, but among other things it is greatly influenced by system and chamber throughput, or simply the number of substrates processed in one hour using the desired processing sequence. A processing sequence is generally defined as a sequence of device fabrication steps or processing recipe steps that are completed in one or more processing chambers within a cluster tool. In general, the processing sequence includes various substrate (or wafer) electronics manufacturing process steps. In many cases, electronic device manufacturers try to reduce the processing sequence and chamber processing time in an attempt to reduce CoO in order to achieve the highest possible substrate throughput, taking into account the limitations of the cluster tool architecture and chamber processing time. You spend a lot of time optimizing. In the case of a track lithography type cluster tool, the chamber processing time is considerably short (for example, about one minute until the processing is completed), and the number of processing steps required to complete one typical processing sequence is large. A significant portion of such time will be devoted to the transfer of substrates between the various processing chambers. In general, a typical track lithography process sequence includes the following steps: depositing one or more uniform photoresist (or resist) layers on the surface of the substrate, and then separating the substrate from the cluster tool. To a stepper or scanner tool, where the photoresist layer is exposed to photoresist deformed electromagnetic radiation to create a pattern on the surface of the substrate to grow a patterned photoresist layer. If the robot does not limit the substrate throughput in the cluster tool, the processing sequence throughput is generally limited by the longest processing recipe step. Typically, in the track lithography processing sequence, this is not the case because the processing time is short and the number of processing steps is large. The typical system throughput of a track lithography tool that is performing a conventional fabrication process, such as a typical process, is typically 100 to 120 substrates per hour.

[0004]CoO計算におけるこれ以外の重要な要素は、システムの信頼性と起動時間である。システムの基板処理不能時間が長いほどクラスタツール内で基板を処理する機会が消失し、ユーザは損害を被ることになるので、これらの要素はクラスタツールの有益性および/または有効性にとって非常に重要である。そのため、クラスタツールユーザおよび製造業者は、信頼性の高い処理、信頼性の高いハードウェア、さらに起動時間を増加させた信頼性の高いシステムの開発に多大な時間を費やしている。   [0004] Other important factors in CoO calculations are system reliability and start-up time. These factors are very important to the usefulness and / or effectiveness of the cluster tool because the longer the system's substrate dead time, the more chances of processing the substrate within the cluster tool will disappear and the user will suffer damage It is. For this reason, cluster tool users and manufacturers spend a great deal of time developing reliable processes, reliable hardware, and highly reliable systems with increased startup time.

[0005]業界における半導体装置のサイズ縮小、装置処理速度の向上、装置による熱生成の低減の推進によって、処理の不定性に対する業界の公差が消滅した。半導体装置のサイズ縮小と、装置性能への果てしない要求によって、装置製造処理の均等性と繰り返し可能性における許容可能なばらつきが大幅に減少した。トラックリソグラフィ処理シーケンスにおいて、処理のばらつきを最小化する上で重要な要因は、クラスタツールを通過する全ての基板が同じ「ウェーハ履歴」を有するようにすることである。一般的に、基板のウェーハ履歴は、後に装置の性能に影響を及ぼす可能性のある全ての装置製作処理のばらつきを制御でき、その結果、同じバッチ内の全ての基板を常に同一方法にて処理することが可能となるように処理エンジニアによって監視および制御される。全ての基板が同じ「ウェーハ履歴」を有するようにするためには、全ての基板が同じ繰り返し可能な基板処理ステップ(例えば、一貫したコーティング処理、一貫したハードベーク処理、一貫した冷蔵処理など)を経験する必要があり、また、各基板について、様々な製作ステップ間の時間を同一にする必要がある。リソグラフィタイプの装置製作処理は、処理のばらつきと装置性能に直接影響する、処理レシピのばらつきの変数と、最終的なレシピステップどうしの間の時間とに特に敏感であってもよい。したがって、処理不定性と、処理ステップどうしの間の時間の不定性とを最小化する処理シーケンスを実行できるクラスタツールおよび支持機器が必要である。また、均等かつ繰り返し可能な処理結果を送出する一方で、所望の基板スループットを達成する、装置製作処理の実行が可能なクラスタツールおよび支持機器も必要である。   [0005] By reducing the size of semiconductor devices in the industry, improving device processing speed, and reducing heat generation by the device, industry tolerances to processing indefiniteness have disappeared. Due to the size reduction of semiconductor devices and the ever-increasing demands on device performance, acceptable variations in device manufacturing process uniformity and repeatability have been greatly reduced. An important factor in minimizing process variations in a track lithography process sequence is to ensure that all substrates passing through the cluster tool have the same “wafer history”. In general, the wafer history of a substrate can control variations in all device fabrication processes that can later affect device performance, so that all substrates in the same batch are always processed in the same way. Monitored and controlled by a processing engineer to be able to do. To ensure that all substrates have the same “wafer history”, all substrates must have the same repeatable substrate processing steps (eg, consistent coating, consistent hard bake, consistent refrigeration, etc.) There is a need to experience and for each substrate the time between various fabrication steps must be the same. Lithographic type device fabrication processes may be particularly sensitive to process recipe variability variables and time between final recipe steps, which directly affect process variability and device performance. Accordingly, there is a need for a cluster tool and supporting equipment that can execute a processing sequence that minimizes processing ambiguities and time ambiguities between processing steps. There is also a need for a cluster tool and support device that can perform apparatus fabrication processes that deliver uniform and repeatable process results while achieving the desired substrate throughput.

[0006]したがって、さらに、要求される装置性能目標を満たし、システムスループットを増加させ、処理シーケンスCoOを低減する形で基板の処理を行えるシステム、方法、機器が必要である。   [0006] Accordingly, there is a further need for systems, methods, and apparatus that can process substrates in a manner that meets the required device performance goals, increases system throughput, and reduces processing sequence CoO.

発明の概要Summary of the Invention

[0007]一般的に、本発明は、複数の基板を並行して処理することが可能な複数の処理ステーションおよびロボットを包含するクラスタツールを提供する。基板を処理するクラスタツールは、第1基板処理チャンバと、第2基板処理チャンバであって、第1基板処理チャンバから固定の垂直距離で離間している前記第2基板処理チャンバと、第3基板処理チャンバと、第4基板処理チャンバであって、第3基板処理チャンバから固定の垂直距離で離間した場所に位置決めされている第4基板処理チャンバと、第1基板処理チャンバと第2基板処理チャンバにアクセスするように適合された第1ロボットアセンブリと、第2ロボットアセンブリであって、第1基板処理チャンバから1枚以上の基板を、および第2基板処理チャンバの1枚以上の基板をほぼ同時に受容し、その後、第1基板処理チャンバからの1枚以上の基板を第3基板処理チャンバ内に、また、第2基板処理チャンバからの1枚以上の基板を第4基板処理チャンバ内にほぼ同時に堆積するように適合されている前記第2ロボットアセンブリと、を含む。   [0007] In general, the present invention provides a cluster tool that includes a plurality of processing stations and a robot capable of processing a plurality of substrates in parallel. A cluster tool for processing a substrate includes a first substrate processing chamber and a second substrate processing chamber, the second substrate processing chamber being spaced apart from the first substrate processing chamber by a fixed vertical distance, and a third substrate. A processing chamber, a fourth substrate processing chamber, a fourth substrate processing chamber positioned at a fixed vertical distance from the third substrate processing chamber, a first substrate processing chamber, and a second substrate processing chamber A first robot assembly adapted to access the first and second robot assemblies, wherein one or more substrates from the first substrate processing chamber and one or more substrates of the second substrate processing chamber are substantially simultaneously And then receiving one or more substrates from the first substrate processing chamber into the third substrate processing chamber and one or more from the second substrate processing chamber. Including, with the second robotic assembly which is adapted to substantially simultaneously depositing the substrate in the fourth substrate processing chamber.

[0008]本発明の実施形態は、基板を処理するクラスタツールであって、複数の垂直に積重した基板処理チャンバを有する第1処理ラックと、複数の垂直に積重した基板処理チャンバを有する第2処理ラックと、第1ロボットブレードアセンブリであって、第1ロボットブレードと、第1ロボットブレードアクチュエータとを備える前記第1ロボットブレードアセンブリと、第2ロボットブレードアセンブリであって、第2ロボットブレードと、第2ロボットブレードアクチュエータとを備える前記第2ロボットブレードアセンブリと、前記第1ロボットブレードアセンブリと第2ロボットブレードアセンブリが、固定距離で離間して垂直に位置決めされており、さらに、前記第1ロボットブレードアクチュエータまたは前記第2ロボットブレードアクチュエータを使用して、水平方向に別々に位置決めすることができ、前記第1ロボットブレードアセンブリと前記第2ロボットブレードアセンブリに接続した6軸関節ロボットであって、前記第1ロボットブレードアセンブリと前記第2ロボットブレードアセンブリが固定距離で離間しており、および、前記6軸関節ロボットと協同に動作することで、前記第1処理ラック内の2つの垂直に積重した基板処理チャンバ内に位置決めされた基板にほぼ同時にアクセスするように、または、前記第2処理ラック内の前記2つの垂直に積重した基板処理チャンバ内に位置決めされた基板にほぼ同時にアクセスするように適合されている前記6軸関節ロボットと、を備えるクラスタツールをさらに提供する。   [0008] Embodiments of the present invention are cluster tools for processing substrates, comprising a first processing rack having a plurality of vertically stacked substrate processing chambers and a plurality of vertically stacked substrate processing chambers. A second processing rack, a first robot blade assembly, the first robot blade assembly comprising a first robot blade and a first robot blade actuator, and a second robot blade assembly, the second robot blade The second robot blade assembly comprising: a second robot blade actuator; and the first robot blade assembly and the second robot blade assembly are vertically spaced apart by a fixed distance; and Robot blade actuator or the second robot breaker A six-axis articulated robot connected to the first robot blade assembly and the second robot blade assembly, which can be separately positioned in a horizontal direction using an actuator, the first robot blade assembly and the first robot blade assembly Two robot blade assemblies are spaced apart by a fixed distance and are positioned in two vertically stacked substrate processing chambers in the first processing rack by operating cooperatively with the six-axis articulated robot. The six-axis joint adapted to access substrates at approximately the same time or to access substrates positioned within the two vertically stacked substrate processing chambers in the second processing rack at approximately the same time And a cluster tool comprising a robot.

[0009]本発明の実施形態は、基板を処理するクラスタツールであって、2枚以上の基板を包含するように適合されたカセットと、第1モジュールであって、垂直方向に(avertical direction)積み重ねた2つ以上の基板処理チャンバを備える第1処理ラックを備える前記第1モジュールと、第2モジュールであって、垂直方向に積み重ねた2つ以上の基板処理チャンバを備えた第2処理ラックを備える前記第2モジュールと、前記第1および第2処理ラックのうち少なくとも1つの基板処理チャンバ内と、前記カセット内と、に位置決めされた基板にアクセスするように適合された第1ロボットアセンブリと、第2ロボットアセンブリであって、ロボットと、前記ロボットに接続した第1ロボットブレードと、前記ロボットに接続し、前記第1ロボットブレードから固定距離で離間して位置決めされた第2ロボットブレードとを備える前記第2ロボットアセンブリとを備え、前記第2ロボットが、前記第1および第2処理ラックのそれぞれのうち少なくとも1つの内部の基板処理チャンバ内に位置決めされた基板にアクセスするように適合されており、第1および第2ロボットブレードが、前記第1および第2処理ラックの各々の内の少なくとも2つの基板処理チャンバ内の基板をほぼ同時に移送し、取り上げ、および/または下ろすように適合されているクラスタツールをさらに提供する。   [0009] An embodiment of the present invention is a cluster tool for processing substrates, a cassette adapted to contain two or more substrates, a first module, and an avertical direction. The first module including a first processing rack including two or more substrate processing chambers stacked, and a second processing rack including two or more substrate processing chambers stacked vertically. A first robot assembly adapted to access substrates positioned in at least one substrate processing chamber of the first and second processing racks and in the cassette; A second robot assembly comprising: a robot; a first robot blade connected to the robot; and the first robot blade connected to the robot. And a second robot assembly positioned at a fixed distance from the blade, and wherein the second robot has at least one interior of each of the first and second processing racks. The first and second robot blades are adapted to access a substrate positioned in the substrate processing chamber, and the substrates in at least two substrate processing chambers in each of the first and second processing racks A cluster tool is further provided that is adapted to transport, pick up, and / or lower at substantially the same time.

[0010]本発明の実施形態は、基板を処理するクラスタツールであって、第1の垂直に積重した基板処理チャンバを包含する第1処理ラックと、基板を前記第1処理ラック内の基板処理チャンバへ移送するように適合された第1ロボットと、第1の垂直に積重した基板処理チャンバを包含する第2処理ラックと、前記第1処理ラック内の基板処理チャンバと前記第2処理ラック内の基板処理チャンバの間で基板を移送するように適合された第2ロボットと、前記第1ロボットまたは第2ロボットを使用して、前記第1および第2処理ラック内を通過する前記基板の動作を最適化するように適合されたコントローラと、前記コントローラに結合したメモリであって、前記クラスタツールの操作を方向付けるために、内部で具現化されるコンピュータ可読プログラムを有するコンピュータ可読媒体を備える前記メモリとを備え、前記コンピュータ可読プログラムが、前記第1ロボットと第2ロボットの動作を制御するためのコンピュータ命令を備え、前記コンピュータ命令が、前記第1ロボットおよび第2ロボットへの1つ以上の指令タスクを前記メモリに記憶することと、前記メモリ内に保持されている前記第1ロボットへの指令タスクをレビューすることと、前記メモリ内に保持されている前記第2ロボットへの指令タスクをレビューすることと、各ロボットの使用可能性を平衡化するために、指令タスクを、前記第1ロボットから前記第2ロボットへ、または前記第2ロボットから前記第1ロボットへ移動させることとを備えるクラスタツールをさらに提供する。   [0010] An embodiment of the present invention is a cluster tool for processing a substrate, the first processing rack including a first vertically stacked substrate processing chamber, and a substrate in the first processing rack. A first robot adapted to transfer to the processing chamber; a second processing rack including a first vertically stacked substrate processing chamber; the substrate processing chamber in the first processing rack; and the second processing. A second robot adapted to transfer a substrate between substrate processing chambers in the rack and the substrate passing through the first and second processing racks using the first robot or the second robot; A controller adapted to optimize the operation of the computer and a memory coupled to the controller, the computer embodied therein to direct the operation of the cluster tool And a memory including a computer readable medium having a reading program, wherein the computer readable program includes computer instructions for controlling operations of the first robot and the second robot, and the computer instructions include the first robot. And storing one or more command tasks for the second robot in the memory, reviewing the command tasks for the first robot held in the memory, and holding in the memory In order to review the command task to the second robot and to balance the availability of each robot, the command task is transferred from the first robot to the second robot or from the second robot. There is further provided a cluster tool comprising moving to a first robot.

[0011]本発明の実施形態は、基板を処理するクラスタツールであって、2枚以上の基板を包含するように適合されたカセットと、垂直に積重した基板処理チャンバを包含しており、また、前記第1処理ラックの第1側部が第1方向に沿って延出し、これを介して前記基板処理チャンバにアクセスできるようになっている第1処理ラックと、垂直に積重した基板処理チャンバを包含しており、また、前記第2処理ラックの第1側部が第2方向に沿って延出し、これを介して前記基板処理チャンバにアクセスできるようになっている第2処理ラックであって、前記第1側部と前記第2側部が或る距離で離間している前記第2処理ラックと、前記第2処理ラックの前記第1側部と前記第1処理ラックの前記第1側部との間の固定位置にある基部を有する第1ロボットであって、前記第1処理ラック、前記第2処理ラック、前記カセットの内部の基板処理チャンバへ基板を移送するように適合されている第1ロボットと、垂直に積重した基板処理チャンバを包含しており、また、前記第3処理ラックの第1側部が第3方向に沿って延出し、これを介して前記基板処理チャンバにアクセスできるようになっている第3処理ラックと、垂直に積み重ねた基板処理チャンバを包含しており、また、前記第2処理ラックの第1側部が第4方向に沿って延出し、これを介して前記基板処理チャンバにアクセスできるようになっている第4処理ラックであって、前記第3側部と前記第4側部が一定距離で離間している前記第4処理ラックと、第2ロボットアセンブリであって、前記第3処理ラックの前記第1側部と前記第4処理ラックの前記第1側部の間の固定位置に在る基部を有するロボットと、前記ロボットに接続した第1ロボットブレードと、前記ロボットに接続し、前記第1ロボットブレードから固定距離で離間して位置決めされた第2ロボットブレードと、を備える前記第2ロボットアセンブリとを備え、前記第1および第2ロボットブレードが、前記第1処理ラック、第2処理ラック、第3処理ラック、第4処理ラック内の2つのチャンバへ基板をほぼ同時に移送するように適合されているクラスタツールをさらに提供する。   [0011] An embodiment of the invention is a cluster tool for processing substrates, including a cassette adapted to contain two or more substrates, and a vertically stacked substrate processing chamber, In addition, the first processing rack extends in the first direction, and the substrate stacked vertically with the first processing rack that can access the substrate processing chamber via the first side. A second processing rack including a processing chamber and having a first side portion of the second processing rack extending in a second direction so that the substrate processing chamber can be accessed via the first side portion; The first side and the second side are spaced apart by a distance, the first side of the second processing rack and the first processing rack. Has a base in a fixed position between the first side A first robot adapted to transfer a substrate to a substrate processing chamber within the first processing rack, the second processing rack, and the cassette; and a substrate processing stacked vertically A third processing rack including a chamber and having a first side portion of the third processing rack extending in a third direction and allowing access to the substrate processing chamber via the third processing rack; , Including vertically stacked substrate processing chambers, and a first side of the second processing rack extends along a fourth direction through which the substrate processing chamber can be accessed. A fourth processing rack, wherein the third side portion and the fourth side portion are spaced apart by a fixed distance, and a second robot assembly, wherein the third processing rack includes: Said A robot having a base at a fixed position between a side and the first side of the fourth processing rack; a first robot blade connected to the robot; and a first robot blade connected to the robot A second robot assembly comprising a second robot blade positioned at a fixed distance from the second robot assembly, wherein the first and second robot blades include the first processing rack, the second processing rack, and a third processing rack. A cluster tool is further provided that is adapted to transfer substrates to the processing rack, the two chambers in the fourth processing rack, substantially simultaneously.

[0012]本発明の実施形態は、基板を処理するクラスタツールであって、2枚以上の基板を包含するように適合されたカセットと、基板に第1処理を実行するように適合された第1処理チャンバと、基板に第2処理を実行するように適合された第2処理チャンバであって、前記第1処理チャンバと前記第2処理チャンバがほぼ相互に近接している前記第2処理チャンバと、前記第1処理チャンバ内に位置決めされた第1基板および前記第2処理チャンバ内に位置決めされた第2基板と流体連通するように適合されている流体分配手段であって、流体源と、前記流体源と流体連通したノズルと、流体を前記流体源から前記ノズルへ送出するよう適合された流体送出手段とを備える前記流体分配手段と、前記第1処理チャンバを前記第2処理チャンバから隔離するように適合された可動シャッタと、前記カセット、前記第1処理チャンバ、前記第2処理チャンバの間で基板を移送するように適合されたロボットとを備える、クラスタツールをさらに提供する。   [0012] Embodiments of the invention are cluster tools for processing substrates, a cassette adapted to contain two or more substrates, and a first adapted to perform a first process on the substrates. A second processing chamber adapted to perform a second processing on a substrate, wherein the first processing chamber and the second processing chamber are substantially adjacent to each other Fluid distribution means adapted to be in fluid communication with a first substrate positioned in the first processing chamber and a second substrate positioned in the second processing chamber, the fluid source; Said fluid distribution means comprising: a nozzle in fluid communication with said fluid source; and fluid delivery means adapted to deliver fluid from said fluid source to said nozzle; and said first processing chamber to said second processing chamber Comprising a movable shutter adapted to al isolate, said cassette, said first processing chamber, and adapted robots to transfer substrates between the second processing chamber further provides a cluster tool.

[0013]本発明の実施形態は、基板を処理するクラスタツールであって、第1処理ラックであって、第1処理モジュールであって、基板に第1処理を実行するように適合された第1処理チャンバと、基板に第2処理を実行するように適合された第2処理チャンバであって、前記第1処理チャンバと前記第2処理チャンバがほぼ相互に近接している前記第2処理チャンバと、前記第1処理チャンバ、前記第2処理チャンバの内部で処理の最中にある基板と流体連通するように適合された流体分配手段であって、流体源と、前記流体源と流体連通しているノズルと、流体を前記流体源から前記ノズルへ送出するように適合された流体送出手段と、を備える前記流体分配手段と、前記第1処理チャンバを前記第2処理チャンバから隔離するように適合された可動シャッタとを備える前記第1処理モジュールと、第2処理モジュールであって、基板に第1処理を実行するように適合された第3処理チャンバと、基板に第2処理を実行するように適合された第4処理チャンバであって、前記第1処理チャンバと前記第2処理チャンバがほぼ相互に近接している前記第4処理チャンバと、前記第3処理チャンバ、第4処理チャンバの内部で処理の最中にある基板と流体連通するように適合された流体分配手段であって、流体源と、前記流体源と流体連通しているノズルと、流体を前記流体源から前記ノズルへ送出するように適合された流体送出手段とを備える前記流体分配手段と、前記第1処理チャンバを前記第2処理チャンバから隔離するように適合された可動シャッタとを備え、ほぼ前記処理モジュールと近接している前記第2処理モジュールとを備える前記第1処理ラックと、前記第1処理チャンバ、前記第2処理チャンバ、前記第3処理チャンバ、前記第4処理チャンバの間で基板を移送するように適合されたロボットと、を備える、クラスタツールをさらに提供する。   [0013] An embodiment of the invention is a cluster tool for processing a substrate, a first processing rack, a first processing module, adapted to perform a first processing on a substrate. A second processing chamber adapted to perform a second processing on a substrate, wherein the first processing chamber and the second processing chamber are substantially adjacent to each other Fluid distribution means adapted to be in fluid communication with a substrate being processed within the first processing chamber and the second processing chamber, wherein the fluid distribution means is in fluid communication with the fluid source. And a fluid delivery means adapted to deliver fluid from the fluid source to the nozzle, and to isolate the first processing chamber from the second processing chamber. Fit A first processing module comprising a movable shutter, a second processing module, a third processing chamber adapted to perform a first process on the substrate, and a second process performed on the substrate. An adapted fourth processing chamber, wherein the first processing chamber and the second processing chamber are in close proximity to each other, and within the third processing chamber and the fourth processing chamber. Fluid distribution means adapted to be in fluid communication with a substrate being processed, the fluid source, a nozzle in fluid communication with the fluid source, and delivering fluid from the fluid source to the nozzle A fluid delivery means adapted to isolate the first processing chamber from a second processing chamber, and a movable shutter adapted to isolate the first processing chamber from the second processing chamber. A substrate is transferred between the first processing rack comprising the second processing module proximate to a module, the first processing chamber, the second processing chamber, the third processing chamber, and the fourth processing chamber. There is further provided a cluster tool comprising a robot adapted to do so.

[0014]本発明の実施形態は、基板を処理するクラスタツールであって、2枚以上の基板を包含するように適合されたカセットと、処理モジュールであって、1つの処理領域内に在る基板に第1処理を実行するように適合された第1処理チャンバと、1つの処理領域内に在る基板に第2処理を実行するように適合された第2処理チャンバであって、前記第1処理チャンバおよび前記第2処理チャンバがほぼ相互に近接している前記第2処理チャンバと、前記第1処理チャンバ、前記第2処理チャンバ内の基板を移送および位置決めするように適合されたロボットであって、ロボットブレードと、前記ロボットブレードを前記第1処理チャンバおよび第2処理チャンバ内に位置決めするように適合されたアクチュエータと、前記ロボットブレードと熱連通しており、上に位置決めされた基板の温度を制御するように適合された熱交換装置とを備える前記ロボットとを備える前記処理モジュールと、基板を前記カセットと前記第1処理チャンバの間で移送するように適合されているシステムロボットとをさらに備える、クラスタツールをさらに提供する。   [0014] Embodiments of the present invention are cluster tools for processing substrates, cassettes adapted to contain two or more substrates, and processing modules, all in one processing area. A first processing chamber adapted to perform a first process on a substrate, and a second processing chamber adapted to perform a second process on a substrate within a processing region, wherein A robot adapted to transfer and position the second processing chamber, wherein the first processing chamber and the second processing chamber are substantially adjacent to each other, and the first processing chamber and the substrate in the second processing chamber; A robot blade, an actuator adapted to position the robot blade in the first processing chamber and the second processing chamber, and the robot blade The processing module comprising: the robot comprising a heat exchange device in communication with and adapted to control the temperature of the substrate positioned thereon; and a substrate between the cassette and the first processing chamber. A cluster tool is further provided, further comprising a system robot adapted to transfer.

[0015]本発明の実施形態は、基板を処理するクラスタツールであって、2枚以上の基板を包含するように適合されたカセットと、処理モジュールであって、第1処理チャンバと、前記第1処理チャンバとほぼ近接した第2処理チャンバとを備える前記処理モジュールと、前記第1処理チャンバおよび前記第2処理チャンバ内に位置決めされた基板にアクセスするように適合された第1ロボットとを備え、前記第1ロボットが、第1ロボットブレードアセンブリであって、第1ロボットブレードと、第2ロボットブレードとであって、前記第1ロボットブレードと前記第2ロボットブレードが或る距離で離間している前記第2ロボットブレードとを備える前記第1ロボットアセンブリと、第2ロボットブレードアセンブリであって、第3ロボットブレードと、第4ロボットブレードであって、前記第3ロボットブレードと前記第4ロボットブレードが或る距離で離間している前記第4ロボットブレードとを備える前記第2ロボットブレードアセンブリとを備え、前記第2ロボットブレードアセンブリと前記第1ロボットアセンブリが固定距離で離間しており、前記第1ロボットが前記第1処理チャンバと前記第2処理チャンバにほぼ同時にアクセスするように適合されている、クラスタツールをさらに提供する。   [0015] An embodiment of the invention is a cluster tool for processing substrates, a cassette adapted to contain two or more substrates, a processing module, a first processing chamber, and the first A processing module comprising a first processing chamber and a second processing chamber substantially proximate; and a first robot adapted to access the first processing chamber and a substrate positioned in the second processing chamber. The first robot is a first robot blade assembly, and is a first robot blade and a second robot blade, and the first robot blade and the second robot blade are separated by a certain distance. A first robot assembly comprising the second robot blade, and a second robot blade assembly, wherein the third robot A second robot blade assembly comprising: a blade; and a fourth robot blade, the third robot blade comprising the third robot blade and the fourth robot blade spaced apart by a distance. A cluster tool, wherein the second robot blade assembly and the first robot assembly are spaced apart by a fixed distance, and wherein the first robot is adapted to access the first processing chamber and the second processing chamber substantially simultaneously. Provide further.

[0016]本発明の実施形態は、基板を処理するクラスタツールであって、2つ以上の垂直に積重した基板処理チャンバを備える第1処理ラックであって、第1側部と第2側部を有する前記第1処理ラックと、2つ以上の垂直に積重した基板処理チャンバを備える第2処理ラックであって、第1側部と第2側部を有する前記第2処理ラックと、前記第1処理ラック内の前記基板処理チャンバに前記第1側部からアクセスするように適合された第1ロボットと、前記第1処理ラック内の前記基板処理チャンバに前記第2側部からアクセスするように、また、前記第2処理ラック内の前記基板処理チャンバに前記第1側部からアクセスするように適合された第2ロボットと、前記第2処理ラック内の前記基板処理チャンバに前記第2側部からアクセスするように適合された第3ロボットとを備える、クラスタツールをさらに提供する。   [0016] An embodiment of the present invention is a cluster tool for processing substrates, a first processing rack comprising two or more vertically stacked substrate processing chambers, the first side and the second side A second processing rack comprising a first processing rack having a portion and two or more vertically stacked substrate processing chambers, the first processing rack having a first side and a second side; A first robot adapted to access the substrate processing chamber in the first processing rack from the first side; and the substrate processing chamber in the first processing rack from the second side. And a second robot adapted to access the substrate processing chamber in the second processing rack from the first side, and the second processing chamber into the substrate processing chamber in the second processing rack. Access from the side And a third robot adapted further provides a cluster tool.

[0017]本発明の実施形態は、基板を処理するクラスタツールであって、2枚以上の基板を包含するように適合されたカセットと、第1処理ラックであって、垂直に積重した、第1グループの2つ以上の基板処理チャンバを備え、前記2つ以上の基板処理チャンバが、第1方向に沿って延びた第1側部と、第2方向に沿って延びた第2側部とを有している前記第1処理ラックと、前記第1処理ラック内の少なくとも1つの基板処理チャンバ内に位置決めした基板に、前記第1側部と前記カセットからアクセスするように適合された第1ロボットアセンブリと、第2処理ラックであって、垂直に積重した、第2グループの2つ以上の基板処理チャンバを備え、前記2つ以上の基板処理チャンバが第3方向に沿って延びた第1側部を有し、前記第1側部を介して基板処理チャンバにアクセスすることができる前記第2処理ラックと、第2ロボットアセンブリであって、ロボットと、第1ロボットブレードと、第2ロボットブレードであって、前記第1ロボットブレードと前記第2ロボットブレードが一定距離で離間している前記第2ロボットブレードとを備える前記第2ロボットアセンブリとを備え、前記第2ロボットアセンブリが、前記第1処理ラック内の少なくとも2つの基板処理チャンバ内に位置決めされた基板に、前記第2側部からほぼ同時にアクセスするように、また、前記第2処理ラック内の少なくとも1つの基板処理チャンバ内に位置決めされた基板に、前記第3側部からほぼ同時にアクセスするように適合されている、クラスタツールをさらに提供する。   [0017] An embodiment of the present invention is a cluster tool for processing substrates, a cassette adapted to contain two or more substrates, and a first processing rack, stacked vertically. A first group of two or more substrate processing chambers, wherein the two or more substrate processing chambers include a first side extending along a first direction and a second side extending along a second direction. A first processing rack, and a substrate positioned in at least one substrate processing chamber in the first processing rack, adapted to access from the first side and the cassette. One robot assembly and a second processing rack comprising a second group of two or more substrate processing chambers stacked vertically, the two or more substrate processing chambers extending along a third direction Having a first side and said first side A second processing rack capable of accessing the substrate processing chamber via a second robot assembly, a robot, a first robot blade, a second robot blade, and the first robot blade; Said second robot assembly comprising said second robot blade spaced apart by a fixed distance, said second robot assembly comprising at least two substrate processing chambers in said first processing rack A substrate positioned within the second side to access a substrate positioned within the second side substantially simultaneously, and a substrate positioned within at least one substrate processing chamber in the second processing rack from the third side. It further provides a cluster tool that is adapted to be accessed at approximately the same time.

[0018]本発明の実施形態は、基板を処理するクラスタツールであって、2枚以上の基板を包含するように適合されたカセットと、12個以上のコータ/デベロッパチャンバと、ベークチャンバ、HMDS処理チャンバ、PEBチャンバから成るグループより選択した12個以上の処理チャンバと、移送システムであって、本質的に、前記コータ/デベロッパチャンバの少なくとも1つ、前記処理チャンバの少なくとも1つ、前記カセットの内部に位置決めした基板にアクセスするように適合された第1ロボットと、前記コータ/デベロッパチャンバの少なくとも1つ、前記処理チャンバの少なくとも1つの内部に位置決めした基板にアクセスするように適合された第2ロボットアセンブリであって、前記第2ロボットが、ロボットと、前記ロボットに接続した第1ロボットブレードと、前記ロボットに接続し、前記第1ロボットブレードから固定距離で離間して位置決めされた第2ロボットブレードとを備える第2ロボットアセンブリから成り、前記第2ロボットが、少なくとも2つのコータ/デベロッパチャンバ内に位置決めされた少なくとも1枚の基板にほぼ同時にアクセスするように、また、少なくとも2つの処理チャンバ内に位置決めされた少なくとも1枚の基板にほぼ同時にアクセスするように適合されている前記移送システムと、を備えるクラスタツールをさらに提供する。   [0018] Embodiments of the present invention are cluster tools for processing substrates, cassettes adapted to contain two or more substrates, twelve or more coater / developer chambers, bake chambers, HMDS Twelve or more processing chambers selected from the group consisting of processing chambers, PEB chambers, and a transfer system, essentially comprising at least one of the coater / developer chambers, at least one of the processing chambers, A first robot adapted to access a substrate positioned therein; and a second robot adapted to access a substrate positioned within at least one of the coater / developer chamber and at least one of the processing chambers. A robot assembly, wherein the second robot includes a robot and the robot. A first robot blade connected to the robot and a second robot blade connected to the robot and positioned at a fixed distance from the first robot blade, the second robot comprising: To access at least one substrate positioned in at least two coater / developer chambers substantially simultaneously, and to access at least one substrate positioned in at least two processing chambers substantially simultaneously A cluster tool is further provided comprising the transfer system being adapted.

[0019]本発明の実施形態は、複数の基板を並行処理することが可能な複数の処理ステーションとロボットを包含しているクラスタツール内で基板を処理する方法をさらに提供する。クラスタツール内で基板を処理する方法であって、第1ロボットを使用して、少なくとも1枚の基板を、第1処理ラック内の2つ以上の垂直に積重した各処理チャンバ内に挿入するステップと、前記第1処理ラック内の前記2つ以上の処理チャンバ内の基板を処理するステップと、第2ロボットを使用して、前記第1ラック内の前記2つ以上の垂直に積重した処理チャンバから前記基板をほぼ同時に除去するステップと、前記第2ロボットを使用して、前記基板を、前記第2処理ラック内の前記2つ以上の垂直に積重した処理チャンバへ同時に移送するステップと、前記第2ロボットを使用して、前記基板を、前記第2処理ラック内の前記2つ以上の垂直に積重した処理チャンバ内に堆積させるステップと、を備える方法。   [0019] Embodiments of the present invention further provide a method of processing a substrate in a cluster tool that includes a plurality of processing stations and a robot capable of processing a plurality of substrates in parallel. A method of processing substrates in a cluster tool, wherein a first robot is used to insert at least one substrate into each of two or more vertically stacked processing chambers in a first processing rack. Processing a substrate in the two or more processing chambers in the first processing rack; and stacking the two or more vertically in the first rack using a second robot. Removing the substrate from the processing chamber substantially simultaneously, and using the second robot, simultaneously transferring the substrate to the two or more vertically stacked processing chambers in the second processing rack. And, using the second robot, depositing the substrate in the two or more vertically stacked processing chambers in the second processing rack.

[0020]本発明の実施形態は、クラスタツール内で基板を処理する方法であって、第1ロボットを使用して、少なくとも1枚の基板を、第1処理ラック内の2つ以上の垂直に積重した処理チャンバ内に挿入するステップと、前記第1処理ラック内の前記2つ以上の処理チャンバ内で前記基板を処理するステップと、第2ロボットを使用して、前記第1処理ラック内の前記2つ以上の垂直に積重した処理チャンバから基板をほぼ同時に除去するステップであって、前記ブレードが、第1の垂直に積重した処理チャンバにアクセスしないようにするために、前記第2ロボットに取り付けられた支持部に接続しているロボットブレードを再位置決めする工程と、第2の垂直に積重した処理チャンバ内の前記支持部に別々に接続したロボットブレードを位置決めする工程と、前記第2の垂直に積重した処理チャンバ内に位置決めされた基板を、前記ロボットブレード上に位置決めする工程と、前記第2の垂直に積重した処理チャンバから前記ロボットブレードを除去する工程とをさらに備える前記基板を除去するステップと、前記第2ロボットを使用して、前記基板を、2組の2つ以上の垂直に積重した処理チャンバへ移送するステップと、を備える方法をさらに提供する。   [0020] An embodiment of the present invention is a method of processing a substrate in a cluster tool, wherein a first robot is used to move at least one substrate vertically to two or more vertical in a first processing rack. Inserting into the stacked processing chambers; processing the substrate in the two or more processing chambers in the first processing rack; and using a second robot in the first processing rack. Removing the substrate from the two or more vertically stacked processing chambers at substantially the same time, so that the blade does not access the first vertically stacked processing chamber. 2 repositioning the robot blade connected to the support attached to the robot and positioning the robot blade separately connected to the support in the second vertically stacked processing chamber; Positioning the substrate positioned in the second vertically stacked processing chamber on the robot blade; and removing the robot blade from the second vertically stacked processing chamber Removing the substrate, and using the second robot to transfer the substrate to two sets of two or more vertically stacked processing chambers. A method is further provided.

[0021]本発明の実施形態は、クラスタツール内で基板を処理する方法であって、第1ロボットを使用して、少なくとも1枚の基板を、クラスタツール内に位置決めされた2つ以上の垂直に積重した処理チャンバの第1側部を介して挿入するステップと、前記処理チャンバ内で前記基板を処理するステップと、第2ロボットを使用して、2枚以上の基板を、前記2つ以上の垂直に積重した処理チャンバの第2側部を介してほぼ同時に除去するステップと、前記第2ロボットを使用して、前記2枚以上の基板を所望の位置へ同時に移送するステップと、を備える方法をさらに提供する。   [0021] An embodiment of the invention is a method of processing a substrate in a cluster tool, wherein the first robot is used to position at least one substrate in two or more vertical positions within the cluster tool. Inserting through the first side of the processing chamber stacked on the substrate, processing the substrate in the processing chamber, and using a second robot to transfer two or more substrates to the two Removing substantially simultaneously through the second side of the vertically stacked processing chambers, and simultaneously transferring the two or more substrates to a desired location using the second robot; There is further provided a method comprising:

[0022]本発明の実施形態は、クラスタツール内で基板を処理する方法であって、ロボットを使用してカセットから基板を除去するステップと、第1基板を、第2処理チャンバに近接して位置決めされた第1処理チャンバ内に挿入するステップと、前記第1処理チャンバと前記第2処理チャンバの間にシャッタを位置決めすることで、前記第2処理チャンバから前記第1処理チャンバを隔離するステップと、流体分配システムに接続しているノズルを使用して、前記第1処理チャンバ内に位置決めされた前記基板の表面上に処理流体を分配するステップと、第2基板を前記第2処理チャンバ内に挿入するステップと、前記流体分配システムに接続している前記ノズルを使用して、前記第2処理チャンバ内に位置決めした前記第2基板の表面上に処理流体を分配するステップとを備える方法をさらに提供する。   [0022] An embodiment of the present invention is a method of processing a substrate in a cluster tool, the step of removing the substrate from the cassette using a robot, and the first substrate in proximity to the second processing chamber. Inserting into a positioned first processing chamber and isolating the first processing chamber from the second processing chamber by positioning a shutter between the first processing chamber and the second processing chamber. Dispensing a processing fluid onto a surface of the substrate positioned in the first processing chamber using a nozzle connected to a fluid distribution system; and a second substrate in the second processing chamber And processing on the surface of the second substrate positioned in the second processing chamber using the nozzle connected to the fluid distribution system. Further provides a method comprising the steps of dispensing a fluid.

[0023]本発明の実施形態は、クラスタツール内で基板を処理する方法であって、
第2処理チャンバに近接して位置決めされた第1処理チャンバ内の基板交換装置上に基板を位置決めするステップと、前記基板を、前記第1処理チャンバ内の前記基板交換装置から冷蔵されたロボットブレードの基板受容面へ移送するステップであって、前記基板受容表面が、内部に保持されている前記基板の温度を制御するように適合されているステップと、前記冷蔵したロボットブレードを使用して、前記基板を前記第2処理チャンバへ移送するステップと、前記冷蔵したロボットプレートを使用して、前記基板を第3処理チャンバへ移送するステップであって、前記第3処理チャンバが前記第2処理チャンバに近接しているステップと、を備える方法をさらに提供する。
[0023] An embodiment of the invention is a method of processing a substrate in a cluster tool comprising:
Positioning a substrate on a substrate changer in the first process chamber positioned proximate to the second process chamber; and a robot blade refrigerated from the substrate changer in the first process chamber Using the refrigerated robot blade, wherein the substrate receiving surface is adapted to control the temperature of the substrate held therein; Transferring the substrate to the second processing chamber; and transferring the substrate to the third processing chamber using the refrigerated robot plate, wherein the third processing chamber is the second processing chamber. Is further provided.

[0024]本発明の実施形態は、クラスタツール内で基板を処理する方法であって、基板を、第2処理チャンバに近接して位置決めされた第1処理チャンバ内の基板交換装置上に位置決めするステップと、前記基板を、前記第1処理チャンバ内の前記基板交換装置から冷蔵されたロボットブレードの基板受容面へ移送するステップであって、前記基板受容面が、上に保持する前記基板の温度を制御するように適合されているステップと、前記冷蔵したロボットブレードを使用して、前記基板を前記第2処理チャンバへ移送するステップと、前記第2処理チャンバ内の前記基板を所望の温度にまで加熱するステップと、前記冷蔵したロボットを使用して、前記基板を第3処理チャンバへ移送するステップであって、前記第3処理チャンバが前記第2処理チャンバに近接しているステップと、前記第3処理チャンバ内の基板を所望の温度にまで冷却するステップと、を備える方法をさらに提供する。   [0024] An embodiment of the present invention is a method of processing a substrate in a cluster tool, wherein the substrate is positioned on a substrate changer in a first processing chamber positioned proximate to the second processing chamber. Transferring the substrate from the substrate changer in the first processing chamber to a substrate receiving surface of a refrigerated robot blade, wherein the substrate receiving surface holds the temperature of the substrate held thereon A step adapted to control the substrate, using the refrigerated robot blade to transfer the substrate to the second processing chamber, and to bring the substrate in the second processing chamber to a desired temperature. Heating the substrate to the third processing chamber using the refrigerated robot, wherein the third processing chamber is the second processing chamber. A step in proximity to the chamber, further provides a method comprising the steps of cooling the substrate of the third processing chamber to a desired temperature.

[0025]本発明の実施形態は、クラスタツール内で基板を処理する方法であって、2枚以上の基板を包含しているカセットから基板を移送するステップであって、前記カセットが前記クラスタツール内に保持されているステップと、処理チャンバ内の基板上に最終処理ステップを完了するステップと、前記基板を前記処理チャンバから、冷蔵処理を実行するように適合された冷蔵チャンバへ移送するステップと、前記基板を前記冷蔵チャンバから前記カセットへ移送するステップと、を備える方法をさらに提供する。   [0025] An embodiment of the invention is a method of processing a substrate in a cluster tool, the step of transferring the substrate from a cassette containing two or more substrates, wherein the cassette is the cluster tool. Holding in the substrate; completing a final processing step on the substrate in the processing chamber; transferring the substrate from the processing chamber to a refrigeration chamber adapted to perform a refrigeration process; Transferring the substrate from the refrigeration chamber to the cassette.

詳細な説明Detailed description

[0026]添付の図面にて数例が図示されている実施形態を参照することにより、上に挙げた本発明の特徴を詳細に理解し、また、上で簡単に要約した本発明をより具体的に説明する方法が得られる。しかしながら、添付の図面は本発明の典型的な実施形態のみを図示するものであり、また本発明は、同等の効果を有する別の実施形態も許可することから、添付の図面は本発明の制限として考慮されるものではない点に留意する。   [0026] Reference will now be made to the embodiments, examples of which are illustrated in the accompanying drawings, to better understand the features of the invention listed above, and to make the invention briefly summarized above more specific. A method is described. However, the attached drawings only illustrate exemplary embodiments of the present invention, and the present invention allows other embodiments having equivalent effects, so the accompanying drawings are not intended to limit the present invention. Note that it is not considered as

[00112]本発明は一般的に、システムスループットを増加し、システムの信頼性を高め、クラスタツール内でのウェーハ処理履歴(またはウェーハ履歴)の繰り返し可能回数を上げ、クラスタツールのフットプリントを低減したマルチチャンバ処理システム(例えばクラスタツール)を使用して、基板を処理する機器および方法を提供する。一実施形態では、このクラスタツールはトラックリソグラフィ処理を実行するように適合されている。トラックリソグラフィ処理は、基板を感光材料でコートし、次にこの基板をステッパ/スキャナへ移送し、ここで感光材料を何らかの形の放射線で露光して感光材料にパターンを形成し、その後、クラスタツール内で完了される現像処理において、感光材料の特定部分を除去する。   [00112] The present invention generally increases system throughput, increases system reliability, increases the number of repeatable wafer processing histories (or wafer histories) within the cluster tool, and reduces the cluster tool footprint. An apparatus and method for processing a substrate using the multi-chamber processing system (eg, cluster tool) is provided. In one embodiment, the cluster tool is adapted to perform a track lithography process. The track lithography process involves coating a substrate with a photosensitive material, then transporting the substrate to a stepper / scanner where the photosensitive material is exposed to some form of radiation to form a pattern on the photosensitive material, and then the cluster tool. In the developing process completed within the above, a specific portion of the photosensitive material is removed.

[00113]図1Aおよび図1Cは、クラスタツール10の一実施形態の等角図であり、有利に使用できる本発明の多数の態様を図示している。図1A、図1Cに図示したクラスタツール10の一実施形態は、前端モジュール50、中央モジュール150、後部モジュール200を包含している。前端モジュール50は、一般的に、1つ以上のポッドアセンブリ105(例えば部品105A〜105D)、前端ロボット108(図1B)、前端処理ラック52を包含する。中央モジュール150は、一般的に、第1中央処理ラック152、第2中央処理ラック154、中央ロボット107(図1B)を包含している。後部モジュール200は、一般的に、後部処理ラック202と後部ロボット109(図1B)を包含している。一実施形態において、クラスタツール10は、前端処理ラック52内の処理チャンバにアクセスするように適合されている前端ロボット108と、前端処理ラック52、第1中央処理ラック152、第2中央処理ラック154、および/または後部処理ラック202内の処理チャンバにアクセスするように適合されている中央ロボット107と、後部処理ラック202内の処理チャンバにアクセスし、場合によっては基板をステッパ/スキャナ5(図1B)と交換するように適合されている後部ロボット109とを包含している。一実施形態では、シャトルロボット110は、1つ以上の処理ラック(例えば前端処理ラック52、第1中央処理ラック152など)内に保持された2つ以上の近接した処理チャンバどうしの間で基板を移送するように適合されている。一実施形態では、前端エンクロージャ104を使用して、前端ロボット108周囲の環境、およびポッドアセンブリ105と前端処理ラック52の間の環境を制御している。   [00113] FIGS. 1A and 1C are isometric views of one embodiment of a cluster tool 10 illustrating a number of aspects of the present invention that may be used to advantage. One embodiment of the cluster tool 10 illustrated in FIGS. 1A and 1C includes a front end module 50, a center module 150, and a rear module 200. The front end module 50 generally includes one or more pod assemblies 105 (eg, parts 105A-105D), a front end robot 108 (FIG. 1B), and a front end processing rack 52. The central module 150 generally includes a first central processing rack 152, a second central processing rack 154, and a central robot 107 (FIG. 1B). The rear module 200 generally includes a rear processing rack 202 and a rear robot 109 (FIG. 1B). In one embodiment, the cluster tool 10 includes a front end robot 108 adapted to access a processing chamber in the front end processing rack 52, a front end processing rack 52, a first central processing rack 152, and a second central processing rack 154. And / or a central robot 107 adapted to access the processing chamber in the rear processing rack 202, and access to the processing chamber in the rear processing rack 202, and in some cases, the substrate is moved to the stepper / scanner 5 (FIG. 1B). ) And a rear robot 109 adapted to replace. In one embodiment, shuttle robot 110 moves substrates between two or more adjacent processing chambers held in one or more processing racks (eg, front-end processing rack 52, first central processing rack 152, etc.). It is adapted to transport. In one embodiment, the front end enclosure 104 is used to control the environment around the front end robot 108 and the environment between the pod assembly 105 and the front end processing rack 52.

[00114]図1Bは、図1Aに図示した一実施形態の平面図を図示しており、本発明の複数の態様で見ることができる使用可能な処理チャンバ構成のさらなる詳細を包含している。図1Bを参照すると、前端モジュール50は、一般的に、1つ以上のポッドアセンブリ105、前端ロボット108、および前端処理ラック52を包含する。1つ以上のポッドアセンブリ105、または前端開き一体形ポッド(FOUP)は、一般的に、クラスタツール10内で処理される1枚以上の基板「W」あるいはウェーハを包含できる1つ以上のカセット106を受け入れるように適合されている。前端処理ラック52は、基板処理シーケンスに見られる様々な処理ステップを実行するよう適合された複数の処理チャンバ(例えばベークチャンバ90、冷蔵チャンバ80など)を包含している。一実施形態では、前端ロボット108は、ポッドアセンブリ105内に搭載されたカセット間、および前端処理ラック52内に保持されている1つ以上の処理チャンバ間で基板を移送するように適合されている。   [00114] FIG. 1B illustrates a top view of the embodiment illustrated in FIG. 1A and includes further details of usable processing chamber configurations that can be viewed in aspects of the present invention. Referring to FIG. 1B, the front end module 50 generally includes one or more pod assemblies 105, a front end robot 108, and a front end processing rack 52. One or more pod assemblies 105, or front-end open integrated pods (FOUPs), are typically one or more cassettes 106 that can contain one or more substrates “W” or wafers that are processed in the cluster tool 10. Is adapted to accept. The front end processing rack 52 includes a plurality of processing chambers (eg, bake chamber 90, refrigeration chamber 80, etc.) adapted to perform various processing steps found in the substrate processing sequence. In one embodiment, the front end robot 108 is adapted to transfer substrates between cassettes mounted in the pod assembly 105 and between one or more processing chambers held in the front end processing rack 52. .

[00115]一般的に、中央モジュール150は中央ロボット107、第1中央処理ラック152、第2中央処理ラック154を包含している。第1中央処理ラック152と第2中央処理ラック154は、基板処理シーケンスに見られる様々な処理ステップを実行するよう適合された様々な処理チャンバ(例えばコータ/デベロッパチャンバ60、ベークチャンバ90、冷蔵チャンバ80など)を包含している。一実施形態では、中央ロボット107は、前端処理ラック52、第1中央処理ラック152、第2中央処理ラック154、および/または後部処理ラック202の間で基板を移送するように適合されている。一態様では、中央ロボット107は、第中央モジュール150の1中央処理ラック152と第2中央処理ラック154の間の中央にあたる場所に位置決めされている。   [00115] Generally, the central module 150 includes a central robot 107, a first central processing rack 152, and a second central processing rack 154. The first central processing rack 152 and the second central processing rack 154 may include various processing chambers (eg, coater / developer chamber 60, bake chamber 90, refrigeration chamber) adapted to perform various processing steps found in the substrate processing sequence. 80). In one embodiment, central robot 107 is adapted to transfer substrates between front end processing rack 52, first central processing rack 152, second central processing rack 154, and / or rear processing rack 202. In one aspect, the central robot 107 is positioned at a central location between the first central processing rack 152 and the second central processing rack 154 of the first central module 150.

[00116]後部モジュール200は、一般的に後部ロボット109と後部処理ラック202を包含している。後部処理ラック202は、一般的に基板処理シーケンスに見られる様々な処理ステップを実行するよう適合された処理チャンバ(例えばコータ/デベロッパチャンバ60、ベークチャンバ90、冷蔵チャンバ80など)を包含している。一実施形態では、後部ロボット109は、後部処理ラック202とステッパ/スキャナ5の間で基板を移送するように適合されている。ステッパ/スキャナ5は、Canon USA,Inc.(カリフォルニア州サンノゼ)、Nikon Precision Inc.(カリフォルニア州ベルモント)、ASML US,Inc.(アリゾナ州テンペ)から販売されており、例えば集積回路(IC)の製造に使用されるリソグラフィック投射機器である。スキャナ/ステッパツール5は、クラスタツール内の基板上に堆積させた感光材料(フォトレジスト)を何らかの電磁放射線で露光することで、基板の表面上に形成する集積回路(IC)デバイスの個々の層に関連した回路パターンを基板上に生成する。   [00116] The rear module 200 generally includes a rear robot 109 and a rear processing rack 202. The rear processing rack 202 includes processing chambers (eg, coater / developer chamber 60, bake chamber 90, refrigeration chamber 80, etc.) adapted to perform various processing steps commonly found in substrate processing sequences. . In one embodiment, the rear robot 109 is adapted to transfer substrates between the rear processing rack 202 and the stepper / scanner 5. The stepper / scanner 5 is manufactured by Canon USA, Inc. (San Jose, CA), Nikon Precision Inc. (Belmont, CA), ASML US, Inc. For example, lithographic projection equipment used in the manufacture of integrated circuits (ICs). The scanner / stepper tool 5 exposes individual layers of integrated circuit (IC) devices that are formed on the surface of the substrate by exposing the photosensitive material (photoresist) deposited on the substrate in the cluster tool with some electromagnetic radiation. A circuit pattern related to the above is generated on the substrate.

[00117]一実施形態では、システムコントローラ101を使用して、クラスタツール10内の全てのコンポーネントと、同ツール内で実行される全ての処理を制御する。システムコントローラ101は、一般的に、ステッパ/スキャナ5と通信し、クラスタツール10内で実行される処理の態様を監視および制御するように適合されており、また、基板処理シーケンス全体の全ての態様を制御するように適合されている。システムコントローラ101は、典型的にはマイクロプロセッサベースのコントローラであり、ユーザからの入力、および/または処理チャンバの1つに内蔵されている様々なセンサからの入力を受信し、様々な入力とコントローラのメモリに保持されているソフトウェア命令に従って処理チャンバコンポーネントを適切に制御するように構成されている。システムコントローラ101は一般的にメモリとCPU(図示せず)を包含しており、これらがコントローラによって、様々なプログラムを保持するため、プログラムを処理するため、プログラムを必要に応じて実行するために利用される。メモリ(図示せず)はCPUに接続しており、1つ以上のすぐに使用可能な状態にあるメモリ、例えばランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピーディスク、ハードディスク、またはその他の形式のローカルまたは遠隔デジタル記憶装置であってもよい。ソフトウェア命令およびデータを、CPUに命令するためにコーディングして、メモリに記憶しておくことができる。プロセッサを従来の方途でサポートするために、サポート回路(図示せず)もCPUに接続している。サポート回路はキャッシュ、電源、クロック回路、入力/出力回路、サブシステム等を含んでもよく、これらは当分野において周知のものである。システムコントローラ101で読み取り可能なプログラム(またはコンピュータ命令)が、処理チャンバ(1つ以上)で実行されるタスクを決定する。このプログラムは、システムコントローラ101で読み取り可能なソフトウェアであり、定義された規則と入力されたデータに基づいて処理を監視および制御せよとの命令を含んでいることが好ましい。   [00117] In one embodiment, the system controller 101 is used to control all components in the cluster tool 10 and all processes performed within the tool. The system controller 101 is generally adapted to communicate with the stepper / scanner 5 to monitor and control aspects of processing performed within the cluster tool 10 and also to all aspects of the overall substrate processing sequence. Is adapted to control. The system controller 101 is typically a microprocessor-based controller that receives input from a user and / or input from various sensors built into one of the processing chambers, and the various inputs and controllers. Are configured to properly control the processing chamber components in accordance with software instructions stored in the memory. The system controller 101 generally includes a memory and a CPU (not shown). These controllers hold various programs by the controller, process the programs, and execute the programs as necessary. Used. A memory (not shown) is connected to the CPU, and one or more ready-to-use memories, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or others It may be a local or remote digital storage device of the form Software instructions and data can be coded and stored in memory to instruct the CPU. In order to support the processor in a conventional manner, a support circuit (not shown) is also connected to the CPU. Support circuitry may include caches, power supplies, clock circuits, input / output circuits, subsystems, etc., which are well known in the art. A program (or computer instructions) readable by the system controller 101 determines the tasks to be performed in the processing chamber (s). This program is software readable by the system controller 101, and preferably includes instructions to monitor and control the processing based on the defined rules and the input data.

[00118]図2Aは、ステッパ/スキャナ5に取り付けた前端モジュール50を包含するクラスタツール10の別の実施形態を図示した平面図である。この構成の前端モジュール50は、前端ロボット108と、前端処理ラック52と、さらにステップ/スキャナ5と通信している後部ロボット109Aとを包含していてもよい。またこの構成では、前端処理ラック52は複数の処理チャンバ(例えばコータ/デベロッパチャンバ60、ベークチャンバ90、冷蔵チャンバ80など)を包含しており、これらのチャンバは基板処理シーケンスに見られる様々な処理ステップを実行するように適合されている。この構成では、前端ロボット108は、ポッドアセンブリ105内に搭載されたカセット106と、前端処理ラック52内に保持されている1つ以上の処理チャンバとの間で基板を移送するように適合されている。またこの構成では、後部ロボット109Aは、前端処理ラック52とステッパ/スキャナ5の間で基板を移送するように適合されている。一実施形態では、シャトルロボット110は、1つ以上の処理ラック(例えば、前端処理ラック52、第1中央処理ラック152(図1B)など)内に保持されている2つ以上の近接した処理チャンバどうしの間で基板を移送するように適合されている。一実施形態では、クラスタツール10は、前端モジュール50を包含しているが、後部ロボット109Aは包含せず、またステッパ/スキャナ5にインターフェースされていない。   [00118] FIG. 2A is a plan view illustrating another embodiment of the cluster tool 10 including a front end module 50 attached to a stepper / scanner 5. FIG. The front end module 50 of this configuration may include a front end robot 108, a front end processing rack 52, and a rear robot 109A in communication with the step / scanner 5. Also in this configuration, the front-end processing rack 52 includes a plurality of processing chambers (eg, coater / developer chamber 60, bake chamber 90, refrigeration chamber 80, etc.) that can be used for various processing operations found in the substrate processing sequence. It is adapted to perform the steps. In this configuration, the front end robot 108 is adapted to transfer substrates between the cassette 106 mounted in the pod assembly 105 and one or more processing chambers held in the front end processing rack 52. Yes. Also in this configuration, the rear robot 109A is adapted to transfer substrates between the front end processing rack 52 and the stepper / scanner 5. In one embodiment, shuttle robot 110 may include two or more adjacent processing chambers that are held in one or more processing racks (eg, front-end processing rack 52, first central processing rack 152 (FIG. 1B), etc.). It is adapted to transfer substrates between them. In one embodiment, cluster tool 10 includes front end module 50 but does not include rear robot 109A and is not interfaced to stepper / scanner 5.

[00119]図2Bは、図2Aに示したクラスタ10の別の実施形態を図示する平面図である。この実施形態のクラスタ10はステッパ/スキャナ5と通信するように適合されていない。この構成では、クラスタツール10を、前端処理ラック52内に包含されている処理チャンバを利用して所望の処理シーケンスを実行するためのスタンドアロン型ツールとして使用できる。   [00119] FIG. 2B is a plan view illustrating another embodiment of the cluster 10 shown in FIG. 2A. The cluster 10 in this embodiment is not adapted to communicate with the stepper / scanner 5. In this configuration, the cluster tool 10 can be used as a stand-alone tool for performing a desired processing sequence utilizing the processing chambers contained within the front end processing rack 52.

[00120]図2Cは、クラスタツール10のまた別の実施形態を図示する平面図であり、この実施形態のクラスタツール10は、前端モジュール50と中央モジュール150を包含し、これらはステッパ/スキャナ5に取り付けられ、前端ロボット108および中央ロボット107によって対応される。一実施形態では、中央ロボット107は、前端処理ラック52、第1中央処理ラック152、第2中央処理ラック154、および/またはステッパ/スキャナ5の間で基板を移送するように適合されている。一実施形態では、シャトルロボット110は、1つ以上の処理ラック(例えば前端処理ラック52、第1中央処理ラック152など)内に保持されている2つ以上の近接した処理チャンバ間で基板を移送するように適合されている。   [00120] FIG. 2C is a plan view illustrating yet another embodiment of the cluster tool 10, which includes a front end module 50 and a center module 150, which are stepper / scanner 5s. And is handled by the front end robot 108 and the central robot 107. In one embodiment, central robot 107 is adapted to transfer substrates between front end processing rack 52, first central processing rack 152, second central processing rack 154, and / or stepper / scanner 5. In one embodiment, the shuttle robot 110 transfers substrates between two or more adjacent processing chambers that are held in one or more processing racks (eg, front-end processing rack 52, first central processing rack 152, etc.). Is adapted to be.

[00121]図2Dはクラスタツール10のさらに別の実施形態の平面図であり、このクラスタツール10は、前端モジュール50、中央モジュール150、後部モジュール300を包含しており、後部処理ラック302は第1後部処理ラック302と第2後部処理ラック304を包含するように構成されている。この構成では、後部ロボット109は、第1中央処理ラック152、第2中央処理ラック154、第1後部処理ラック302、第2後部処理ラック304、中央ロボット107、および/またはステッパ/スキャナ5から基板を移送するように適合されている。またこの構成では、中央ロボット107を、第1中央処理ラック152、第2中央処理ラック154、第1後部処理ラック302、第2後部処理ラック304、および/または後部ロボット109から基板を移送するように適合できる。一実施形態では、シャトルロボット110は、1つ以上の処理ラック(例えば前端処理ラック52、第1中央処理ラック152など)内に保持されている2つ以上の近接した処理チャンバ間で基板を移送するように適合されている。   [00121] FIG. 2D is a plan view of yet another embodiment of the cluster tool 10, which includes a front end module 50, a center module 150, a rear module 300, and a rear processing rack 302 is the first. The first rear processing rack 302 and the second rear processing rack 304 are configured to be included. In this configuration, the rear robot 109 is a substrate from the first central processing rack 152, the second central processing rack 154, the first rear processing rack 302, the second rear processing rack 304, the central robot 107, and / or the stepper / scanner 5. Is adapted to transport Also in this configuration, the central robot 107 is configured to transfer substrates from the first central processing rack 152, the second central processing rack 154, the first rear processing rack 302, the second rear processing rack 304, and / or the rear robot 109. Can be adapted. In one embodiment, the shuttle robot 110 transfers substrates between two or more adjacent processing chambers that are held in one or more processing racks (eg, front-end processing rack 52, first central processing rack 152, etc.). Is adapted to be.

[00122]図2Eは図1Bに図示した一実施形態の平面図を図示しており、この実施形態は、両方の処理チャンバ内においてフォトレジストコートステップ520(図3A〜図3C)または現像ステップ550(図3A〜図3C)を実行するように適合できる、第2中央処理ラック314(図4J)内に搭載されたツインコータ/デベロッパチャンバ350(図9A〜図9B)を包含している。この構成は、2つの処理チャンバ370内に見られる普通のコンポーネントのいくつかを共有して、システムコスト、複雑性、フットプリントを低減することができるため有利である。以下で説明する図9A〜図9Bは、ツインコータ/デベロッパチャンバ350の様々な態様を図示する。図2Eはまた、第1中央処理ラック322(図4K)内に搭載されたベーク/冷蔵チャンバ800を包含しており、これらを、所望の処理シーケンスにおいて様々なベークステップ(例えばBARC後ベークステップ512、PEBステップ540など(図3A〜図3C))および冷蔵ステップ(例えばBARC後冷蔵ステップ514、PEB後冷蔵ステップ542など(図3A〜図3C))を実行するように適合できる。ベーク/冷蔵チャンバ800については、以下で図18A〜図18Bに関連して説明する。   [00122] FIG. 2E illustrates a top view of the embodiment illustrated in FIG. 1B, which includes a photoresist coating step 520 (FIGS. 3A-3C) or a development step 550 in both processing chambers. It includes a twin coater / developer chamber 350 (FIGS. 9A-9B) mounted in a second central processing rack 314 (FIG. 4J) that can be adapted to perform (FIGS. 3A-3C). This configuration is advantageous because it can share some of the common components found in the two processing chambers 370 to reduce system cost, complexity, and footprint. 9A-9B described below illustrate various aspects of the twin coater / developer chamber 350. FIG. FIG. 2E also includes a bake / refrigeration chamber 800 mounted in the first central processing rack 322 (FIG. 4K), which can be used for various bake steps (eg, a post-BARC bake step 512) in the desired processing sequence. , PEB step 540, etc. (FIGS. 3A-3C)) and refrigeration steps (eg, post-BARC refrigeration step 514, post-PEB refrigeration step 542, etc. (FIGS. 3A-3C)). Bake / refrigeration chamber 800 is described below in connection with FIGS. 18A-18B.

[00123]図2Fはクラスタツール10のまたさらに別の実施形態の平面図であり、前端モジュール306と中央モジュール310を包含している。この実施形態では、前端モジュール306は第1処理ラック308と第2処理ラック309を包含していてもよく、また中央モジュール310は第1中央処理ラック312と第2中央処理ラック314を包含していてもよい。前端ロボット108は、ポッドアセンブリ105内に搭載されたカセット106、第1処理ラック308、第2処理ラック309、第1中央処理ラック312、第2中央処理ラック314、および/または中央ロボット107の間で基板を移送するように適合されている。中央ロボット107は、第1処理ラック308、第2処理ラック309、第1中央処理ラック312、第2中央処理ラック314、第1端部ロボット108、および/またはステッパ/スキャナ5の間で基板を転送するように適合されている。一実施形態では、前端ロボット108と中央ロボット107は連結式ロボット(以下で説明する)である。一実施形態では、シャトルロボット110は、1つ以上の処理ラック(例えば第1処理ラック308、第1中央処理ラック312など)内に保持されている2つ以上の近接した処理チャンバ間で基板を移送するように適合されている。1つの態様では、前端ロボット108が、前端ジュール306の第1処理ラック308と第2処理ラック309の間の中央にあたる場所に位置決めされている。別の態様では、中央ロボット107が、中央モジュール310の第1中央処理ラック312と第2中央処理ラック314の間の中央にあたる場所に位置決めされている。   [00123] FIG. 2F is a plan view of yet another embodiment of the cluster tool 10, which includes a front end module 306 and a central module 310. FIG. In this embodiment, the front end module 306 may include a first processing rack 308 and a second processing rack 309, and the central module 310 includes a first central processing rack 312 and a second central processing rack 314. May be. The front end robot 108 is located between the cassette 106 mounted in the pod assembly 105, the first processing rack 308, the second processing rack 309, the first central processing rack 312, the second central processing rack 314, and / or the central robot 107. Adapted to transport the substrate. The central robot 107 transfers substrates between the first processing rack 308, the second processing rack 309, the first central processing rack 312, the second central processing rack 314, the first end robot 108, and / or the stepper / scanner 5. It is adapted to transfer. In one embodiment, the front end robot 108 and the central robot 107 are articulated robots (described below). In one embodiment, shuttle robot 110 moves substrates between two or more adjacent processing chambers held in one or more processing racks (eg, first processing rack 308, first central processing rack 312 etc.). It is adapted to transport. In one aspect, the front end robot 108 is positioned at a location in the center between the first processing rack 308 and the second processing rack 309 of the front end module 306. In another aspect, the central robot 107 is positioned at a central location between the first central processing rack 312 and the second central processing rack 314 of the central module 310.

[00124]図2Gはクラスタツール10のさらに別の実施形態の平面図である。この実施形態は図2Fに示した実施形態と類似しているが、ステッパ/スキャナ5に取り付けできる後部モジュール316が追加されている。この実施形態では、前端モジュール306は第1処理ラック308と第2処理ラック309を包含でき、中央モジュール310は第1中央処理ラック312と第2中央処理ラック314を包含でき、後部モジュール316は第1後部処理ラック318と第2後部処理ラック319を包含できる。前端ロボット108は、ポッドアセンブリ105内に搭載したカセット106、第1処理ラック308、第2処理ラック309、第1中央処理ラック312、第2中央処理ラック314、および/または中央ロボット107の間で基板を移送するように適合されている。中央ロボット107は、第1処理ラック308、第2処理ラック309、第1中央処理ラック312、第2中央処理ラック314、第1後部処理ラック318、第2後部処理ラック319、前端ロボット108および/または後部ロボット109の間で基板を移送するように適合されている。後部ロボット109は、第1中央処理ラック312、第2中央処理ラック314、第1後部処理ラック318、第2後部処理ラック319、中央ロボット107、および/またはステッパ/スキャナ5の間で基板を移送するように適合されている。一実施形態では、1つ以上の前端ロボット108、中央ロボット107、後部ロボット109は連結式ロボット(以下で説明する)である。一実施形態では、シャトルロボット110は、1つ以上の処理ラック(例えば第1処理ラック308、第1中央処理ラック312など)内に保持されている2つ以上の近接した処理チャンバ間で基板を移送するように適合されている。1つの態様では、後部ロボット109は、後部モジュール316の第1後部処理ラック318と第2後部処理ラック319の間の中央の場所に位置決めされている。   FIG. 2G is a plan view of yet another embodiment of the cluster tool 10. This embodiment is similar to the embodiment shown in FIG. 2F, but with the addition of a rear module 316 that can be attached to the stepper / scanner 5. In this embodiment, the front end module 306 can include a first processing rack 308 and a second processing rack 309, the central module 310 can include a first central processing rack 312 and a second central processing rack 314, and the rear module 316 can be a first module 316. One rear processing rack 318 and a second rear processing rack 319 can be included. The front end robot 108 is between the cassette 106, the first processing rack 308, the second processing rack 309, the first central processing rack 312, the second central processing rack 314, and / or the central robot 107 mounted in the pod assembly 105. It is adapted to transport the substrate. The central robot 107 includes a first processing rack 308, a second processing rack 309, a first central processing rack 312, a second central processing rack 314, a first rear processing rack 318, a second rear processing rack 319, a front end robot 108, and / or Or it is adapted to transfer the substrate between the rear robots 109. The rear robot 109 transfers substrates between the first central processing rack 312, the second central processing rack 314, the first rear processing rack 318, the second rear processing rack 319, the central robot 107, and / or the stepper / scanner 5. Is adapted to be. In one embodiment, the one or more front end robots 108, the central robot 107, and the rear robot 109 are articulated robots (described below). In one embodiment, shuttle robot 110 moves substrates between two or more adjacent processing chambers held in one or more processing racks (eg, first processing rack 308, first central processing rack 312 etc.). It is adapted to transport. In one aspect, the rear robot 109 is positioned at a central location between the first rear processing rack 318 and the second rear processing rack 319 of the rear module 316.

[00125]図2F、図2Gに図示した実施形態では、処理ラック間に形成された隙間が比較的開放的なスペースを形成して、メンテナンス作業員が実行不能となったクラスタツールコンポーネントにアクセスし易くなっているので有利である。図2F、図2Gに示すように、本発明の1つの態様では、この隙間の幅は処理ラック間のスペースと同程度であり、その高さは処理ラックと同程度である。システムの休止時間とシステム使用可能性は、所与のツールのCoOを決定する上での重要なコンポーネントであるため、クラスタツールコンポーネントに容易に接触しこれを維持できる能力は、他の従来技術の構成と比べて有利である。   [00125] In the embodiment illustrated in FIGS. 2F and 2G, the gap formed between the processing racks forms a relatively open space to access cluster tool components that have become inoperable by maintenance personnel. It is advantageous because it is easy. As shown in FIGS. 2F and 2G, in one aspect of the present invention, the width of the gap is about the same as the space between the processing racks, and the height is about the same as the processing racks. Because system downtime and system availability are important components in determining the CoO for a given tool, the ability to easily contact and maintain cluster tool components is This is advantageous compared to the configuration.

[00126]図2Hはクラスタツール10のさらに別の実施形態の平面図である。この実施形態は図2Fに示した実施形態と類似しているが、前端ロボット108と中央ロボット107の基部をクラスタツールの長さ(それぞれ符号A、A)に沿って平行移動できるようにするスライドアセンブリ714(図16H)が追加されている。この構成により、各ロボットの到達範囲が延び、「ロボットの重なり」が向上する。ロボットの重なりは、ロボットが別のモジュールの処理ラック内の処理チャンバにアクセスする能力である。図2Hはシングルのスライドアセンブリ714上の前端ロボット108と中央ロボット107を図示しているのに対し、別の実施形態では、本発明の範囲を変更することのない範囲内で、各ロボット(符号107、108)が自体のスライドアセンブリの上にあるか、あるいは、スライドアセンブリ上にロボットを1つだけ搭載し、別のロボットを床またはシステムフレームに搭載している。 FIG. 2H is a plan view of yet another embodiment of the cluster tool 10. This embodiment is similar to the embodiment shown in FIG. 2F, but allows the base of the front end robot 108 and the central robot 107 to be translated along the length of the cluster tool (respectively labeled A 1 and A 2 ). A slide assembly 714 (FIG. 16H) is added. With this configuration, the reach of each robot is extended, and “robot overlap” is improved. Robot overlap is the ability of a robot to access a processing chamber in a processing rack of another module. FIG. 2H illustrates the front end robot 108 and the central robot 107 on a single slide assembly 714, whereas in another embodiment, each robot (reference number) is within the scope of the invention. 107, 108) is on its own slide assembly, or only one robot is mounted on the slide assembly and another robot is mounted on the floor or system frame.

[00127]図2Iはクラスタツール10の別の実施形態の平面図である。この実施形態は図2Gに示した実施形態と類似しているが、さらに、前端ロボット108の基部と、中央ロボット107および後部ロボット109の基部とが、クラスタツール10の長さ(それぞれ符号A、A、A)に沿って平行移動できるようにする2本のスライドアセンブリ714A、714B(図16Hで説明する)を用いている。図2Iは、1本のスライドアセンブリ714A上の前端ロボット108と、シングルのスライドアセンブリ714B上の中央ロボット107および後部ロボット109を図示しており、別の実施形態では、本発明の精神を変更することのない範囲内で、1つ以上のロボット(符号107、108、109)をそのスライドアセンブリ(図示せず)上または共有のスライドアセンブリ上に載せたり、3つ全てのロボットをシングルのスライドアセンブリ(図示せず)上に載せることができる。 FIG. 2I is a plan view of another embodiment of the cluster tool 10. This embodiment is similar to the embodiment shown in FIG. 2G, but in addition, the base of the front end robot 108 and the bases of the central robot 107 and the rear robot 109 are the lengths of the cluster tool 10 (respectively designated as A 1 , A 2 , A 3 ), two slide assemblies 714A, 714B (described in FIG. 16H) are used. FIG. 2I illustrates the front end robot 108 on one slide assembly 714A and the central robot 107 and rear robot 109 on a single slide assembly 714B, and in another embodiment alters the spirit of the invention. 1 or more robots (symbols 107, 108, 109) can be placed on a slide assembly (not shown) or on a shared slide assembly, or all three robots can be single slide assemblies. (Not shown).

フォトリソグラフィ処理シーケンス
[00128]図3Aは、基板の表面上に形成されたフォトレジスト材料層を堆積、露光、現像するために使用できる一連の方法ステップ501の一実施形態を図示している。リソグラフィック処理は一般的に以下を包含する。ポッドから基板を除去するステップ508A、BARCコートステップ510、BARC後ベークステップ512、BARC後冷蔵ステップ514、フォトレジストコートステップ520、フォトレジストコート後ベークステップ522、フォトレジスト冷蔵後のステップ524、光学エッジビード除去(OEBR)ステップ536、露光ステップ538、露光後ベーク(PEB)ステップ540、PEB後冷蔵ステップ542、現像ステップ550、ポッド内への設置ステップ508B。別の実施形態では、本発明の基本範囲を変更しない範囲内で、一連の方法ステップ501を再配列および修正でき、1つ以上のステップを除去し、あるいは2つ以上のステップを組み合わせて1つのステップにすることができる。
Photolithographic processing sequence
[00128] FIG. 3A illustrates one embodiment of a series of method steps 501 that can be used to deposit, expose, and develop a layer of photoresist material formed on the surface of a substrate. A lithographic process generally includes: Step 508A for removing the substrate from the pod, BARC coating step 510, BARC post-baking step 512, BARC post-cooling step 514, photoresist coating step 520, post-photoresist coating baking step 522, step 524 after photoresist refrigeration, optical edge bead Removal (OEBR) step 536, exposure step 538, post-exposure bake (PEB) step 540, post-PEB refrigeration step 542, development step 550, installation step 508B in the pod. In another embodiment, a series of method steps 501 can be rearranged and modified without changing the basic scope of the invention, removing one or more steps, or combining two or more steps into one Can be a step.

[00129]ポッドから基板を除去するステップ508Aは、一般的に、前端ロボット108に、ポッドアセンブリ1105の1つで静止しているカセット106から基板を除去させる処理として定義される。カセット106は、1枚以上の基板「W」を包含しており、さらに、基板処理シーケンスによってクラスタツール10内で基板を処理できるようにするために、ユーザまたは何らかの外部装置(図示せず)によってポッドアセンブリ105上に設置されている。基板処理シーケンスはユーザによって定義され、システムコントローラ101内に保持されているソフトウェアによって制御される。   [00129] The step 508A of removing the substrate from the pod is generally defined as a process that causes the front end robot 108 to remove the substrate from the cassette 106 that is stationary in one of the pod assemblies 1105. The cassette 106 includes one or more substrates “W”, and further, by a user or some external device (not shown) to allow the substrates to be processed in the cluster tool 10 by a substrate processing sequence. It is installed on the pod assembly 105. The substrate processing sequence is defined by the user and controlled by software held in the system controller 101.

[00130]BARCコートステップ510、または底部反射防止コーティング処理(これ以降BARCとする)は、基板の表面の上に有機材料を堆積させるために使用されるステップである。典型的に、BARC層は、フォトレジスト層が光を吸収する前に基板上に塗布された有機コートであり、この有機コートのために、ステッパ/スキャナ5内で実行される露光ステップ538の最中に基板の表面で光が反射され、フォトレジスト内に戻ることができる。これらの反射を防止しなかった場合、フォトレジスト層内で光学定在波が確立され、これにより、フォトレジストの局所の厚さに従って、回路上の或る場所と別の場所で特徴部のサイズ(1つ以上)が異なるという結果が生じる。また、複数の電子装置製作ステップの完了後には常に表面トポグラフィのばらつきが現れるため、BARC層を使用して、基板の表面のトポロジーをならす(または平坦化する)こともできる。BARC材料が特徴部の周囲および上を充填することで、フォトレジストをより平坦に塗布できるようになり、局所的にフォトレジストの厚さにばらつきが生じることを防止できる。典型的に、BARCコートステップ510は、従来のスピンオンフォトレジスト分配処理を使用して実行される。この従来の処理は、一定量のBARC材料を回転中の基板の表面上に堆積することで、BARC材料中の溶液を気化させ、これにより堆積されたBARC材料の材料特性を変化させるというものである。多くの場合、BARC処理チャンバ内における空気流と排気流の速度を制御することで、溶液気化処理と、基板の表面上に形成された層の特性とを制御する。   [00130] The BARC coating step 510, or bottom antireflective coating process (hereinafter BARC), is a step used to deposit organic material on the surface of the substrate. Typically, the BARC layer is an organic coat that is applied onto the substrate before the photoresist layer absorbs light, and for this organic coat, an exposure step 538 performed in the stepper / scanner 5 is performed. Light is reflected off the surface of the substrate and can return into the photoresist. If these reflections were not prevented, an optical standing wave was established in the photoresist layer, which caused the feature size to vary from one location on the circuit to another according to the local thickness of the photoresist. The result is that (one or more) are different. Also, since surface topography variation always appears after completion of multiple electronic device fabrication steps, the BARC layer can also be used to smooth (or planarize) the surface topology of the substrate. Filling the periphery and top of the feature with the BARC material allows the photoresist to be more evenly applied, and prevents local variations in photoresist thickness. Typically, the BARC coat step 510 is performed using a conventional spin-on photoresist distribution process. This conventional process is to deposit a certain amount of BARC material on the surface of a rotating substrate, thereby vaporizing the solution in the BARC material, thereby changing the material properties of the deposited BARC material. is there. In many cases, the solution vaporization process and the properties of the layer formed on the surface of the substrate are controlled by controlling the velocity of the air and exhaust streams in the BARC process chamber.

[00131]BARC後ベークステップ512は、BARCコートステップ510にて堆積させたBARC層から全ての溶液を確かに除去するために使用され、場合によっては、BARC層の基板の表面への接着を促進するためにも使用される。BARC後ベークステップ512の温度は、基板の表面上に堆積させたBARC材料のタイプによって異なるが、一般的には約250℃未満である。BARC後ベークステップ512の完了に要する時間は、BARC後ベークステップの最中における基板の温度によって異なるが、一般的には約60秒未満である。   [00131] The post-BARC bake step 512 is used to ensure that all solution is removed from the BARC layer deposited in the BARC coat step 510, and in some cases promotes adhesion of the BARC layer to the surface of the substrate. Also used to do. The temperature of the post-BARC bake step 512 depends on the type of BARC material deposited on the surface of the substrate, but is generally less than about 250 ° C. The time required to complete the post-BARC bake step 512 depends on the temperature of the substrate during the post-BARC bake step, but is generally less than about 60 seconds.

[00132]BARC後冷蔵ステップ514は、全ての基板を同一の時間/温度プロフィールと一致させるために、基板が周囲温度よりも高い温度に保たれる時間を制御するべく使用されるステップであり、これによって処理のばらつきを最小化することができる。基板のウェーハ履歴の要素であるBARC処理時間/温度プロフィールのばらつきが堆積させた膜層の特性に影響を及ぼす可能性があるため、多くの場合、このプロフィールを制御することで処理のばらつきを最小化する。BARC後冷蔵ステップ514は、典型的に、BARC後ベークステップ512の後に基板を周囲温度またはこれに近い温度にまで冷却するために使用される。BARC後冷蔵ステップ514完了までに要する時間は、BARC後ベークステップを出る基板の温度によって異なるが、一般的には約30秒未満である。   [00132] The post-BARC refrigeration step 514 is a step used to control the time that the substrates are held at a temperature above ambient temperature in order to match all the substrates to the same time / temperature profile; As a result, processing variations can be minimized. In many cases, controlling the profile minimizes process variations because variations in the BARC process time / temperature profile, which is a factor in the wafer history of the substrate, can affect the properties of the deposited film layer. Turn into. The post-BARC refrigeration step 514 is typically used to cool the substrate to or near ambient temperature after the post-BARC bake step 512. The time required to complete the post BARC refrigeration step 514 depends on the temperature of the substrate exiting the post BARC bake step, but is generally less than about 30 seconds.

[00133]フォトレジストコートステップ520は、基板の表面の上にフォトレジスト層を堆積させるために使用するステップである。このフォトレジストコートステップ520の最中に堆積されるフォトレジスト層は、典型的に感光性有機コートである。このフォトレジスト層は、基板上に塗布され、後にステッパ/スキャナ5内部で露光されて、基板の表面上にパターン特徴部を形成する。フォトレジストコートステップ520は、典型的に従来のスピンオンフォトレジスト分配処理を使用して実行される。この従来の処理は、一定量のフォトレジスト材料を、基板を回転させながら、基板の表面上に堆積させ、これによりフォトレジスト材料中の溶液を気化させることで、堆積したフォトレジスト層の材料特性を変化させるものである。フォトレジスト処理チャンバ内における空気流と排気流の速度を制御して、溶液気化処理と、基板の表面上に形成された層の特性との制御を行う。場合によっては、排気流速度を制御し、および/または基板の表面付近に溶液を注入することにより、基板の表面の上の溶液の圧力を部分的に制御し、フォトレジストコートステップ中におけるフォトレジストからの溶液の気化を制御する必要がある。図5Aを参照すると、フォトレジストコートステップ520を完了するには、まず基板をコータチャンバ60A内のスピンチャック1033上に位置決めする。モータがスピンチャック1033と基板を回転させる一方で、基板の中央上にフォトレジストが分配される。回転によって角度トルクがフォトレジスト上で分散され、これによりフォトレジストが半径方向に押し出されて、最終的に基板を完全に被覆する。   [00133] The photoresist coating step 520 is a step used to deposit a photoresist layer on the surface of the substrate. The photoresist layer deposited during this photoresist coating step 520 is typically a photosensitive organic coat. This photoresist layer is applied onto the substrate and later exposed within the stepper / scanner 5 to form pattern features on the surface of the substrate. The photoresist coating step 520 is typically performed using a conventional spin-on photoresist distribution process. This conventional process deposits a certain amount of photoresist material on the surface of the substrate while rotating the substrate, thereby evaporating the solution in the photoresist material, thereby material properties of the deposited photoresist layer. Is something that changes. Controlling the vaporization process and the characteristics of the layer formed on the surface of the substrate is performed by controlling the velocity of the air flow and exhaust flow in the photoresist processing chamber. In some cases, the pressure of the solution above the surface of the substrate is partially controlled by controlling the exhaust flow rate and / or injecting the solution near the surface of the substrate, and the photoresist during the photoresist coating step. It is necessary to control the vaporization of the solution from. Referring to FIG. 5A, to complete the photoresist coating step 520, the substrate is first positioned on the spin chuck 1033 in the coater chamber 60A. While the motor rotates the spin chuck 1033 and the substrate, the photoresist is distributed on the center of the substrate. The rotation distributes the angular torque on the photoresist, which pushes the photoresist radially and finally completely covers the substrate.

[00134]フォトレジストコート後ベークステップ522は、フォトレジストコートステップ520中に堆積させたフォトレジスト層から溶液の全て、あるいはその多くを確かに除去するため、またいくつかの場合ではフォトレジスト層のBARC層への接着を促進するために使用されるステップである。フォトレジストコート後ベークステップ522の温度は、基板の表面上に堆積させるフォトレジスト材料のタイプによって異なるが、一般的には約250℃未満である。フォトレジストコート後ベークステップ522の完了に要する時間は、フォトレジストベーク後のステップの最中における基板の温度によって異なるが、一般的には約60秒未満である。   [00134] A post-photoresist coat bake step 522 is performed to ensure that all or much of the solution is removed from the photoresist layer deposited during the photoresist coat step 520 and, in some cases, the photoresist layer. A step used to promote adhesion to the BARC layer. The temperature of the post photoresist coat bake step 522 depends on the type of photoresist material deposited on the surface of the substrate, but is generally less than about 250 ° C. The time required to complete the post-photoresist coat bake step 522 depends on the substrate temperature during the post-photoresist bake step, but is generally less than about 60 seconds.

[00135]フォトレジスト冷蔵後のステップ524は、全ての基板を同一の時間/温度プロフィールと一致させるべく、基板が周囲温度よりも高い温度にある時間を制御するために使用されるステップであり、これによって処理のばらつきが最小化される。時間/温度プロフィールのばらつきは、堆積した膜層の特性に影響を及ぼす可能性があるため、処理のばらつきを最小化するために制御されることが多い。そのため、フォトレジストコート後ベークステップ522が終了してから、フォトレジスト冷蔵後のステップ524の温度を使用して、基板を周囲温度またはこれに近い温度にまで冷却する。フォトレジスト冷蔵後のステップ524の完了に要する時間は、フォトレジストベーク後のステップから出る基板の温度によって異なるが、一般的には約30秒未満である。   [00135] Step 524 after refrigeration of the photoresist is the step used to control the time that the substrate is at a temperature above ambient temperature in order to match all the substrates with the same time / temperature profile; This minimizes processing variation. Time / temperature profile variations are often controlled to minimize process variations because they can affect the properties of the deposited film layer. Therefore, after the post-photoresist coating bake step 522 is completed, the temperature of step 524 after refrigeration of the photoresist is used to cool the substrate to ambient temperature or a temperature close thereto. The time required to complete step 524 after refrigeration of the photoresist depends on the temperature of the substrate exiting the step after the photoresist bake, but is generally less than about 30 seconds.

[00136]光学エッジビード除去(OEBR)ステップ536は、堆積させた感光フォトレジスト層(1つ以上)、例えばフォトレジストコートステップ520の最中に形成された層やBARCコートステップ510の最中に形成されたBARC層を放射線源(図示せず)で露光することで、これらの層の一方または両方を基板の縁から除去できるようにする、また、堆積した層のエッジ除外領域をより均等に制御できるようにするために使用される処理である。基板の表面の露光に使用する放射線の波長と強度は、BARCのタイプと、基板の表面上に堆積させるフォトレジスト層によって異なる。例えば、USHIO America., Inc.(カリフォルニア州サイプレス市)からOEBRツールを購入することができる。   [00136] Optical edge bead removal (OEBR) step 536 is formed during the deposited photosensitive photoresist layer (s), eg, a layer formed during photoresist coating step 520 or BARC coating step 510. The exposed BARC layer is exposed with a radiation source (not shown) so that one or both of these layers can be removed from the edge of the substrate, and the edge exclusion area of the deposited layer is more evenly controlled It is a process used to make it possible. The wavelength and intensity of the radiation used to expose the surface of the substrate depends on the type of BARC and the photoresist layer deposited on the surface of the substrate. For example, USHIO America. , Inc. OEBR tools can be purchased from (Cypress, CA).

[00137]露光ステップ538は、集積回路(IC)の製造に使用するパターンを形成する目的で、リソグラフィック投射装置(例えばステッパスキャナ5)によって適用されるリソグラフィック投射ステップである。露光ステップ538は、フォトレジストコートステップ520中に形成されたフォトレジスト層や、BARCコートステップ510(フォトレジスト)中に形成されたBARC層のような感光材料を何らかの電磁放射線で露光することで、基板の表面上に集積回路(IC)装置の各層に関連した回路パターンを形成する。ステッパ/スキャナ5はCannon、Nikon、ASML社より購入できる。   [00137] The exposure step 538 is a lithographic projection step applied by a lithographic projection apparatus (eg, stepper scanner 5) for the purpose of forming a pattern for use in the manufacture of an integrated circuit (IC). In the exposure step 538, a photosensitive material such as a photoresist layer formed in the photoresist coating step 520 or a BARC layer formed in the BARC coating step 510 (photoresist) is exposed with some electromagnetic radiation. Circuit patterns associated with each layer of the integrated circuit (IC) device are formed on the surface of the substrate. The stepper / scanner 5 can be purchased from Cannon, Nikon, ASML.

[00138]露光後ベーク(PEB)ステップ540は、光活性化合物(1つ以上)の拡散をシミュレーションし、フォトレジスト層における定在波の影響を低減すべく、露光ステップ538の直後に基板を加熱する目的で使用するステップである。化学増感したフォトレジストの場合には、PEBステップがさらに触媒作用による化学反応を生じさせ、これによりフォトレジストの可溶性が変化する。PEB中における温度制御は微小寸法(CD)制御にとって非常に重要である。PEBステップ540の温度は、基板の表面上に堆積させるフォトレジスト材料のタイプによって異なるが、一般的には約250℃未満である。PEBステップ540完了までに要する時間は、PEBステップ中における基板の温度によって異なるが、一般的には約60秒未満である。   [00138] Post-exposure bake (PEB) step 540 simulates the diffusion of the photoactive compound (s) and heats the substrate immediately after exposure step 538 to reduce the effects of standing waves in the photoresist layer. It is a step used for the purpose. In the case of chemically sensitized photoresists, the PEB step further causes a catalytic chemical reaction, which changes the solubility of the photoresist. Temperature control during PEB is very important for micro dimension (CD) control. The temperature of PEB step 540 depends on the type of photoresist material deposited on the surface of the substrate, but is generally less than about 250 ° C. The time required to complete the PEB step 540 depends on the temperature of the substrate during the PEB step, but is generally less than about 60 seconds.

[00139]露光ベーク後(PEB)冷蔵ステップ542は、全ての基板を同一の時間/温度プロフィールと一致させるべく、基板が周囲温度よりも高い温度にある時間を確かに制御するために使用されるステップであり、これによって処理のばらつきが最小化される。PEB処理時間/温度プロフィールのばらつきは堆積させた膜層の特性に影響する可能性があるため、多くの場合これを制御することで処理のばらつきを最小化させる。したがって、PEB後冷蔵ステップ542の温度は、PEBステップ540後に基板を周囲温度またはこれに近い温度にまで冷却する目的で使用される。PEB後冷蔵ステップ542の完了に要する時間はPEBステップを出る基板の温度によって異なるが、一般的に約30秒未満である。   [00139] Post-exposure bake (PEB) refrigeration step 542 is used to reliably control the time that the substrates are at a temperature above ambient temperature to match all substrates to the same time / temperature profile. Step, which minimizes process variation. Since variations in PEB processing time / temperature profile can affect the properties of the deposited film layer, this is often controlled to minimize processing variations. Accordingly, the temperature in the post-PEB refrigeration step 542 is used to cool the substrate to or near ambient temperature after the PEB step 540. The time required to complete the post-PEB refrigeration step 542 depends on the temperature of the substrate exiting the PEB step, but is generally less than about 30 seconds.

[00140]現像ステップ550では、溶液を使用して、露光した、あるいはしていないフォトレジスト層およびBARC層に化学的または物理的変化を生じさせることで、露光ステップ538中に形成されたパターンを露出させる。現像処理は、デベロッパ溶液の分配に使用される噴霧、液浸、パドルタイプの処理であってもよい。現像ステップ550の一実施形態では、溶液を基板の表面上に分配した後にリンスステップを実行して基板の表面から溶液材料をリンスすることができる。基板の表面上に分配するリンス溶液は脱イオン水および/または表面活性剤を包含していてもよい。   [00140] In the development step 550, the pattern formed during the exposure step 538 is created using a solution to cause chemical or physical changes in the exposed and unexposed photoresist and BARC layers. Expose. The development process may be a spray, immersion or paddle type process used to distribute the developer solution. In one embodiment of the development step 550, a rinsing step can be performed after dispensing the solution onto the surface of the substrate to rinse the solution material from the surface of the substrate. The rinse solution dispensed on the surface of the substrate may include deionized water and / or a surfactant.

[00141]ポッドステップ508Bにおける基板の挿入は、一般的に、前端ロボット108に、ポッドアセンブリ105の1つの内部で静止しているカセット106へ基板を戻させる処理として定義される。   [00141] Inserting a substrate in the pod step 508B is generally defined as the process of causing the front end robot 108 to return the substrate to the cassette 106 stationary within one of the pod assemblies 105.

[00142]図3Bは、基板の表面に対してトラックリソグラフ処理を実行するために使用される一連の方法ステップ502を含む別の実施形態を図示している。方法ステップ502におけるリソグラフィック処理は、図3Aで見られる全てのステップを包含しているが、但し、BARCコートステップ510とBARC後ベークステップ512を、ヘキサメチルジシラザン(以降「HMDS」とする)処理ステップ511とHMDS後冷蔵ステップ513に替えている。別の実施形態では、本発明の基本範囲を変更しない範囲内で、一連の方法ステップ502を再配列、修正したり、1つ以上のステップを除去したり、あるいは2つ以上のステップを組み合わせて1つのステップにすることが可能である。   [00142] FIG. 3B illustrates another embodiment that includes a series of method steps 502 used to perform a track lithographic process on the surface of the substrate. The lithographic process in method step 502 includes all the steps seen in FIG. 3A, except that the BARC coat step 510 and the post-BARC bake step 512 are hexamethyldisilazane (hereinafter “HMDS”). Instead of processing step 511 and refrigeration step 513 after HMDS. In another embodiment, a series of method steps 502 can be rearranged, modified, one or more steps removed, or a combination of two or more steps within a range that does not change the basic scope of the present invention. It can be a single step.

[00143]HMDS処理ステップ511は、一般的に、基板を約125度よりも高い温度にまで加熱することで、基板を短時間(例えば120秒未満)の間、一定量のHMDS蒸気を包含する処理ガスに晒して基板の表面の準備および乾燥を行うことにより、後に処理シーケンスにおいて堆積させるフォトレジスト層の接着性を促進させるステップを包含する。HMDS蒸気の使用を、先に、HMDS処理ステップ511と共に使用される化学物質として詳細に説明したが、HMDS処理ステップ511は、より一般的にはこれと類似の、フォトレジスト層の接着性の促進のために基板の表面の準備および乾燥を行うために利用される処理の等級を説明するものである。したがって、本願明細書中での用語「HMDS」の使用は、本発明の範囲を限定することを意図するものではない。いくつかの場合において、HMDSステップは「蒸気プライム」ステップと呼ばれる。   [00143] The HMDS processing step 511 typically includes a certain amount of HMDS vapor for a short period of time (eg, less than 120 seconds) by heating the substrate to a temperature greater than about 125 degrees. It includes the step of promoting the adhesion of a photoresist layer that is subsequently deposited in a processing sequence by exposing the processing gas to the preparation and drying of the surface of the substrate. Although the use of HMDS vapor was previously described in detail as a chemical used with the HMDS processing step 511, the HMDS processing step 511 is more generally similar to this, promoting the adhesion of the photoresist layer. FIG. 2 illustrates the grade of processing utilized to prepare and dry the surface of the substrate for use. Accordingly, the use of the term “HMDS” herein is not intended to limit the scope of the present invention. In some cases, the HMDS step is referred to as a “steam prime” step.

[00144]HMDS後冷蔵ステップ513では、フォトレジスト処理ステップに入る全ての基板の初期処理温度を同一にするように基板の温度を制御する。フォトレジストコートステップ520に入る基板の温度のばらつきは、堆積した膜層の特性に大きく影響する可能性があるため、これを制御することで処理のばらつきを最小化する場合が多い。そのため、HMDS後冷蔵ステップ513の温度を使用して、HMDS処理ステップ511後に基板を周囲温度またはこの付近の温度にまで冷却する。HMDS後冷蔵ステップ513の完了に要する時間は、HMDS処理ステップ511から出る基板の温度によって異なるが一般的には約30秒未満である。   [00144] In the post-HMDS refrigeration step 513, the substrate temperature is controlled so that the initial processing temperature of all the substrates entering the photoresist processing step is the same. Variations in the temperature of the substrate entering the photoresist coating step 520 can greatly affect the characteristics of the deposited film layer, so controlling this often minimizes variations in processing. Therefore, the temperature of the post-HMDS refrigeration step 513 is used to cool the substrate to the ambient temperature or a temperature near this after the HMDS treatment step 511. The time required to complete the post-HMDS refrigeration step 513 is typically less than about 30 seconds, depending on the temperature of the substrate exiting the HMDS processing step 511.

[00145]図3Cは、基板にトラックリソグラフィック処理を実施する処理シーケンス、または方法ステップ503の別の実施形態を図示している。このリソグラフィック処理は、一般的に以下のステップを包含している:ポッド508Aからの除去ステップ、BARC前冷蔵ステップ509、BARCコートステップ510、BARC後ベークステップ512、BARC後冷蔵ステップ514、フォトレジストコートステップ520、フォトレジストコート後ベークステップ522、フォトレジスト冷蔵後のステップ524、反射防止トップコートステップ530、トップコート後ベークステップ532、トップコート後冷蔵ステップ534、光学エッジビード除去(OEBR)ステップ536、露光ステップ538、露光後ベーク(PEB)ステップ540、PEB後冷蔵ステップ542、現像ステップ550、SAFIER(商標)(解像度拡張用収縮補助膜)コートステップ551、現像後ベークステップ552、現像後冷蔵ステップ554、ポッド内への設置ステップ508Bを包含していてもよい。方法ステップ503におけるリソグラフィック処理は、図3Aに見られる全てのステップに加えて、反射防止トップコートステップ530、トップコート後ベークステップ532、トップコート後冷蔵ステップ534、現像後ゲーキングステップ552、現像後冷蔵ステップ554、SAFIER(商標)コートステップ551を包含する。別の実施形態では、本発明の基本範囲を変更しない範囲内で、方法ステップ503のシーケンスを再配列、修正したり、1つ以上のステップを除去したり、2つ以上のステップを組み合わせて1つのステップにすることができる。   [00145] FIG. 3C illustrates another embodiment of a process sequence or method step 503 for performing a track lithographic process on a substrate. This lithographic process generally includes the following steps: removal from pod 508A, pre-BARC refrigeration step 509, BARC coat step 510, post-BARC bake step 512, post-BARC refrigeration step 514, photoresist. Coating step 520, post-coating bake step 522, post-photo resist refrigeration step 524, antireflection topcoat step 530, post-topcoat bake step 532, post-topcoat refrigeration step 534, optical edge bead removal (OEBR) step 536, Exposure step 538, post-exposure bake (PEB) step 540, post-PEB refrigeration step 542, development step 550, SAFIER ™ (contraction auxiliary film for resolution enhancement) coating step 551 Development bake step 552, the developer after refrigeration step 554 may incorporate the installation step 508B to the pod. The lithographic processing in method step 503 includes all the steps seen in FIG. 3A plus an anti-reflective topcoat step 530, a post-topcoat bake step 532, a post-topcoat refrigeration step 534, a post-development gating step 552, a development. Includes a post-refrigeration step 554 and a SAFIER ™ coat step 551. In another embodiment, the sequence of method steps 503 can be rearranged and modified, one or more steps can be removed, or two or more steps can be combined within a range that does not change the basic scope of the present invention. Can be in one step.

[00146]BARC前冷蔵ステップ509は、基板の温度を、BARC処理ステップに入る全ての基板の初期処理温度が同一となるように制御する。BARCコートステップ510に入る全ての基板の温度のばらつきは、堆積した膜層の特性に大きく影響する可能性があるため、処理のばらつきを最小化する目的で制御されることが多い。したがって、BARC前のステップ509の温度を使用して、PODから移送された基板を周囲温度またはこれに近い温度にまで冷却または温熱する。BARC前冷蔵ステップ509の完了に要する時間は、カセット106内の基板の温度によって異なるが、一般的には約30秒未満である。   [00146] The pre-BARC refrigeration step 509 controls the substrate temperature so that the initial processing temperatures of all substrates entering the BARC processing step are the same. The temperature variation of all the substrates entering the BARC coating step 510 can greatly affect the characteristics of the deposited film layer and is often controlled for the purpose of minimizing process variations. Therefore, the temperature of step 509 before BARC is used to cool or heat the substrate transferred from the POD to or near ambient temperature. The time required to complete the pre-BARC refrigeration step 509 depends on the temperature of the substrate in the cassette 106, but is generally less than about 30 seconds.

[00147]反射防止トップコートステップ530またはトップ反射防止コート処理(以降「TARC」)は、フォトレジストコートステップ520中に堆積させたフォトレジスト層の上に有機材料を堆積させるために使用されるステップである。TARC層は、典型的に光を吸収するために使用させ、そうでない場合、TARC層を使用しなかった場合、ステッパ/スキャナ5内で実行される露光ステップ538の最中に、光が基板の表面で反射されフォトレジスト内に戻ってしまう。この反射を防止しないと、フォトレジスト層内で光学低定在波が確立されてしまい、これにより、フォトレジストの局所の厚さに従って、回路上の或る場所と別の場所で特徴部のサイズ(1つ以上)が異なるという結果が生じる。TARC層はまた、装置基板上に常に現れる基板の表面トポグラフィをならす(または平坦化する)ためにも使用できる。反射防止トップコートステップ530は、典型的に、従来のスピンオンフォトレジスト分配処理を使用して実行される。この処理では、基板を回転させながら基板の表面上に一定量のTARC材料を堆積することでTARC材料中の溶液を気化させて、TARC層を高密度化する。コータチャンバ60A内の空気流と排気流の速度は、溶液気化処理と、基板の表面上に形成された層の特性とを制御するために制御される。   [00147] An anti-reflective top coat step 530 or top anti-reflective coat process (hereinafter "TARC") is used to deposit organic material over the photoresist layer deposited during the photoresist coat step 520. It is. The TARC layer is typically used to absorb light, otherwise, if the TARC layer is not used, the light is transmitted to the substrate during the exposure step 538 performed in the stepper / scanner 5. It is reflected by the surface and returns to the photoresist. If this reflection is not prevented, an optical low standing wave is established in the photoresist layer, which causes the feature size to vary from one location on the circuit to another according to the local thickness of the photoresist. The result is that (one or more) are different. The TARC layer can also be used to smooth (or planarize) the surface topography of the substrate that always appears on the device substrate. The antireflective topcoat step 530 is typically performed using a conventional spin-on photoresist distribution process. In this process, a certain amount of TARC material is deposited on the surface of the substrate while rotating the substrate, thereby vaporizing the solution in the TARC material and densifying the TARC layer. The velocity of the air flow and exhaust flow in the coater chamber 60A is controlled to control the solution vaporization process and the properties of the layer formed on the surface of the substrate.

[00148]トップコート後ベークステップ532は、反射防止トップコートステップ530で堆積させたTARC層から全ての溶液を確かに除去するために使用するステップである。トップコート後ベークステップ532の温度は、基板の表面上に堆積したTARC材料のタイプによって異なるが、一般的には約250度未満である。トップコート後ベークステップ532の完了に要する時間は、トップコート後ベークステップ中に実行される処理の温度によって異なるが、一般的には約60秒未満である。   [00148] The post-topcoat bake step 532 is a step used to ensure removal of all solution from the TARC layer deposited in the anti-reflective topcoat step 530. The temperature of the post-topcoat bake step 532 depends on the type of TARC material deposited on the surface of the substrate, but is generally less than about 250 degrees. The time required to complete the post-topcoat bake step 532 depends on the temperature of the processing performed during the post-topcoat bake step, but is generally less than about 60 seconds.

[00149]トップコート後冷蔵ステップ534は、基板が周囲温度よりも高い温度にある時間を、全ての基板の時間/温度プロフィールが一致して、処理のばらつきが最小化される形に制御するために使用されるステップである。基板ウェーハ履歴のコンポーネントであるTARC処理時間/温度プロフィールのばらつきは、堆積させた膜層の特性に影響を及ぼす可能性があるため、処理のばらつきを最小化するように制御されてることが多い。典型的に、トップコート後冷蔵ステップ534は、トップコート後ベークステップ532を周囲温度またはこれに近い温度にまで冷却するために使用される。トップコート後冷蔵テップ534の完了に要する時間は、トップコート後ベークステップ532から出る基板の温度によって異なるが、一般的には約30秒未満である。   [00149] The post-topcoat refrigeration step 534 controls the time that the substrate is at a temperature above ambient temperature so that the time / temperature profiles of all the substrates are matched to minimize processing variations. Is the step used for Variations in the TARC process time / temperature profile, which is a component of the substrate wafer history, can affect the properties of the deposited film layer and are often controlled to minimize process variations. Typically, the post-topcoat refrigeration step 534 is used to cool the post-topcoat bake step 532 to or near ambient temperature. The time required to complete the post-topcoat refrigerated step 534 depends on the temperature of the substrate exiting the post-topcoat bake step 532, but is generally less than about 30 seconds.

[00150]現像後ベークステップ552は、現像ステップ550後に残ったフォトレジスト層から全てのデベロッパ溶液を確かに除去するために使用されるステップである。現像後ベークステップ552の温度は、基板の表面上に堆積させるフォトレジスト材料のタイプによって異なるが、一般的には約250℃未満である。現像後ベークステップ552の完了に要する時間は、フォトレジストベーク後のステップの最中における基板の温度によって異なるが、一般的には約60秒未満である。   [00150] The post-develop bake step 552 is a step used to ensure removal of all developer solution from the photoresist layer remaining after the development step 550. The temperature of the post-develop bake step 552 depends on the type of photoresist material deposited on the surface of the substrate, but is generally less than about 250 ° C. The time required to complete the post-develop bake step 552 depends on the temperature of the substrate during the post-photoresist bake step, but is generally less than about 60 seconds.

[00151]現像後冷蔵ステップ554は、基板が周囲温度よりも高い温度にある時間を、全ての基板の時間/温度プロフィールを一致させることで処理のばらつきを最小化するように確かに制御するために使用されるステップである。現像処理時間/温度プロフィールのばらつきは、堆積させた膜層の特性に影響を及ぼす可能性があるため、制御によって処理のばらつきの最小化を図る場合が多い。そのため、現像後冷蔵ステップ554の温度を使用して、現像後ベークステップ552の後に基板を周囲温度またはこれに近い温度にまで冷却する。現像後冷蔵ステップ554の完了に要する時間は、現像後ベークステップ552を出る基板の温度によって異なるが、一般的には約30秒未満である。   [00151] The post-development refrigeration step 554 reliably controls the time that the substrate is at a temperature above ambient temperature so as to minimize processing variations by matching the time / temperature profiles of all substrates. Is the step used for Since variations in development processing time / temperature profile may affect the characteristics of the deposited film layer, the processing variations are often minimized by control. Therefore, the temperature of the post-development refrigeration step 554 is used to cool the substrate to ambient temperature or a temperature close thereto after the post-development bake step 552. The time required to complete the post-development refrigeration step 554 depends on the temperature of the substrate exiting the post-development bake step 552, but is generally less than about 30 seconds.

[00152]SAFIER(商標)(解像度拡張用収縮補助膜)コートステップ551は、現像ステップ550後に残留したフォトレジスト層の上に材料を堆積させて、現像後ベークステップ552にてこれをベークする処理である。典型的に、SAFIER(商標)処理は、プロフィールの劣化を非常に低く抑え、さらにラインエッジラフネス(LER)を改善しながら、ICトレンチパターン、ビア、コンタクト孔の物理的収縮を生じさせるために使用される。SAFIER(商標)コートステップ551は、典型的に、回転している基板の表面上に一定量のSAFIER(商標)材料を分配する従来のスピンオンフォトレジスト分配処理を使用して実行される。   [00152] The SAFIER ™ (resolution enhancement shrinkage assisting film) coating step 551 is a process in which material is deposited on the photoresist layer remaining after the development step 550, and this is baked in the post-development baking step 552. It is. Typically, the SAFIER ™ process is used to cause physical shrinkage of IC trench patterns, vias, and contact holes while keeping profile degradation very low and further improving line edge roughness (LER) Is done. The SAFIER ™ coating step 551 is typically performed using a conventional spin-on photoresist dispensing process that dispenses a quantity of SAFIER ™ material onto the surface of the rotating substrate.

処理ラック
[00153]図4A〜図4Jは、前端処理ラック52、第1中央処理ラック152、第2中央処理ラック154、後部処理ラック202、第1後部処理ラック302、第2後部処理ラック304、第1処理ラック308、第2処理ラック309、第1中央処理ラック312、第2中央処理ラック314、第1後部処理ラック318、第2後部処理ラック319の一実施形態の側面図を図示する。これらの処理ラックは、基板処理シーケンスの様々な態様を実行する複数の基板処理チャンバを包含している。一般に、図4A〜図4Jに図示した処理ラックは、1つ以上のコータチャンバ60A、1つ以上のデベロッパチャンバ60B、1つ以上の冷蔵チャンバ80、1つ以上のベークチャンバ90、1つ以上のPEBチャンバ130、1つ以上の支持チャンバ65、1つ以上のOEBRチャンバ62、1つ以上のツインコータ/デベロッパチャンバ350、1つ以上のベーク/冷蔵チャンバ800、および/または1つ以上のHMDSチャンバ70のような1つ以上の処理チャンバを包含しており、これらの処理チャンバについては以降でさらに説明する。図4A〜図4Jに示す処理チャンバの方位付け、タイプ、位置決め、個数は本発明の範囲の制限ではなく、本発明の様々な実施形態を図示することを意図したものである。一実施形態では、図4A〜図4Jに示すように、処理チャンバを垂直に積重するか、あるいは1つのチャンバを別のチャンバのほぼ上に位置決めすることでクラスタツール10のフットプリントを低減している。別の実施形態では、チャンバを鉛直に積み重ねることによって、処理チャンバが1つのチャンバが別のチャンバの上に部分的に乗った形で水平方向互い違いのパターンに位置決めされるため、物理サイズの異なる1つ以上のチャンバを使用する場合に、処理ラックのスペースをより効率的に使用できるようになる。さらに別の実施形態では、処理チャンバは、処理チャンバの基部が同じ平面を共用しない形で、垂直方向で互い違いに、および/または、処理チャンバの1側部が別の処理チャンバと同じ面を共用しない形で、水平方向で互い違いに配置されている。クラスタツールを設置するクリーンルームスペースは多くの場合限られており、ツールの建設とメンテナンスに非常に高額の経費がかかるため、クラスタツールフットプリントの最小化はクラスタツールの開発において重要な要因である。
Processing rack
[00153] FIGS. 4A-4J illustrate a front end processing rack 52, a first central processing rack 152, a second central processing rack 154, a rear processing rack 202, a first rear processing rack 302, a second rear processing rack 304, a first A side view of one embodiment of processing rack 308, second processing rack 309, first central processing rack 312, second central processing rack 314, first rear processing rack 318, and second rear processing rack 319 is illustrated. These processing racks include a plurality of substrate processing chambers that perform various aspects of the substrate processing sequence. In general, the processing rack illustrated in FIGS. 4A-4J includes one or more coater chambers 60A, one or more developer chambers 60B, one or more refrigeration chambers 80, one or more bake chambers 90, one or more PEB chamber 130, one or more support chambers 65, one or more OEBR chambers 62, one or more twin coater / developer chambers 350, one or more bake / refrigeration chambers 800, and / or one or more HMDS chambers One or more processing chambers such as 70 are included, and these processing chambers are further described below. The orientation, type, positioning, and number of processing chambers shown in FIGS. 4A-4J are not intended to limit the scope of the invention, but are intended to illustrate various embodiments of the invention. In one embodiment, the footprint of the cluster tool 10 is reduced by stacking process chambers vertically, or positioning one chamber substantially above another chamber, as shown in FIGS. 4A-4J. ing. In another embodiment, stacking chambers vertically allows the processing chambers to be positioned in a horizontally staggered pattern with one chamber partially riding on top of another chamber, thus providing different physical sizes. When more than one chamber is used, the processing rack space can be used more efficiently. In yet another embodiment, the processing chambers are staggered vertically and / or one side of the processing chamber shares the same plane as another processing chamber, such that the base of the processing chamber does not share the same plane. It is arranged in a staggered manner in the horizontal direction. Minimizing the cluster tool footprint is an important factor in the development of cluster tools because the clean room space where cluster tools are installed is often limited and the construction and maintenance of tools is very expensive.

[00154]図4Aは、中央ロボット107と対面し、ポッドアセンブリ105の前に位置している前端処理ラック52をクラスタツール10の外から見た側面図を図示している。したがって、同図は図1A〜図1Bおよび図2A〜図2Cに示した図と一致する。図4Aに示す一実施形態では、前端処理ラック52は4個のコータ/デベロッパチャンバ60(ラベルCD1〜CD4)と、12個の冷蔵チャンバ80(ラベルC1〜C12)と、6個のベークチャンバ90(ラベルB1〜B6)、および/または6個のHMDS処理チャンバ70(ラベルP1〜P6)を包含している。   [00154] FIG. 4A illustrates a side view of the front end processing rack 52 facing the central robot 107 and located in front of the pod assembly 105 from the outside of the cluster tool 10. FIG. Therefore, this figure corresponds to the diagrams shown in FIGS. 1A to 1B and FIGS. 2A to 2C. In one embodiment shown in FIG. 4A, the front-end processing rack 52 has four coater / developer chambers 60 (labels CD1-CD4), twelve refrigeration chambers 80 (labels C1-C12), and six bake chambers 90. (Labels B1-B6) and / or six HMDS processing chambers 70 (labels P1-P6).

[00155]図4Bは、中央ロボット107と対面している第1中央処理ラック152をクラスタツール10の外から見た側面図を図示している。したがって、同図は図1A〜図1Bおよび図2A〜図2Cに示した図と一致する。図4Bに示す一実施形態では、第1中央処理ラック152は12個の冷蔵チャンバ80(ラベルC1〜C12)と、24個のベークチャンバ90(ラベルB1〜B24)とを包含している。   FIG. 4B illustrates a side view of the first central processing rack 152 facing the central robot 107 as viewed from outside the cluster tool 10. Therefore, this figure corresponds to the diagrams shown in FIGS. 1A to 1B and FIGS. 2A to 2C. In one embodiment shown in FIG. 4B, the first central processing rack 152 includes 12 refrigeration chambers 80 (labels C1-C12) and 24 bake chambers 90 (labels B1-B24).

[00156]図4Cは、中央ロボット107と対面している第2中央処理ラック154をクラスタツール10の外から見た側面図を図示している。したがって、同図は図1A〜図1Bおよび図2A〜図2Cに示した図と一致する。図4Cに示す一実施形態では、第2中央処理ラック154は4個のコータ/デベロッパチャンバ60(ラベルCD1〜CD4)、4個の支持チャンバ65(ラベルS1〜S4)を包含する。一実施形態では、4個の支持チャンバ65を4個のコータ/デベロッパチャンバ60で代用している。   FIG. 4C illustrates a side view of the second central processing rack 154 facing the central robot 107 as viewed from outside the cluster tool 10. Therefore, this figure corresponds to the diagrams shown in FIGS. 1A to 1B and FIGS. 2A to 2C. In one embodiment shown in FIG. 4C, the second central processing rack 154 includes four coater / developer chambers 60 (labels CD1-CD4) and four support chambers 65 (labels S1-S4). In one embodiment, four coater / developer chambers 60 are substituted for four support chambers 65.

[00157]図4Dは、中央ロボット107と対面した後部処理ラック202をクラスタツール10の外から見た側面図を図示している。したがって、同図は図1A〜図1B、図2Bに示した図と一致する。図4Dに示す一実施形態では、後部処理ラック202は4個のコータ/デベロッパチャンバ60(ラベルCD1〜4)、8個の冷蔵チャンバ80(ラベルC1〜8)、2個のベークチャンバ90(ラベルB1〜24)、4個のOEBRチャンバ62(ラベルOEBR1〜4)、6個のPEBチャンバ130(ラベルPEB1〜4)を包含している。   FIG. 4D illustrates a side view of the rear processing rack 202 facing the central robot 107 as viewed from outside the cluster tool 10. Therefore, this figure corresponds to the figures shown in FIGS. 1A to 1B and 2B. In one embodiment shown in FIG. 4D, the rear processing rack 202 has four coater / developer chambers 60 (labeled CD1-4), eight refrigerated chambers 80 (labeled C1-8), and two bake chambers 90 (labeled). B1-24) includes four OEBR chambers 62 (labeled OEBR1-4) and six PEB chambers 130 (labeled PEB1-4).

[00158]図4Eは、後部ロボット109と対面している第1後部処理ラック302をクラスタツール10の外から見た側面図を図示している。したがって、同図は図2Cに示した図と一致する。図4Eに示す一実施形態では、第1後部処理ラック302は、4個のコータ/デベロッパチャンバ60(ラベルCD1〜4)、8個の冷蔵チャンバ80(ラベルC1〜8)、2個のベークチャンバ90(ラベルB1〜24)、4個のOEBRチャンバ62(ラベルOEBR1〜4)、6個のPEBチャンバ130(PEB1〜6)を包含している。   FIG. 4E illustrates a side view of the first rear processing rack 302 facing the rear robot 109 as viewed from outside the cluster tool 10. Therefore, this figure is the same as that shown in FIG. 2C. In one embodiment shown in FIG. 4E, the first rear processing rack 302 includes four coater / developer chambers 60 (labeled CD1-4), eight refrigerated chambers 80 (labeled C1-8), and two bake chambers. 90 (labels B1 to 24), four OEBR chambers 62 (labels OEBR1 to 4), and six PEB chambers 130 (PEB1 to 6).

[00159]図4Fは、後部ロボット109と対面している第2後部処理ラック304をクラスタツール10の外から見た側面図を図示している。したがって、同図は図2Cに示す図と一致する。図4Fに示す一実施形態では、第2後部処理ラック304は4個のコータ/デベロッパチャンバ60(ラベルCD1〜4)、4個の支持チャンバ65(ラベルS1〜4)を包含している。一実施形態では、4個の支持チャンバ65を4個のコータ/デベロッパチャンバ60で代用している。   FIG. 4F illustrates a side view of the second rear processing rack 304 facing the rear robot 109 from the outside of the cluster tool 10. Therefore, this figure corresponds to the figure shown in FIG. 2C. In one embodiment shown in FIG. 4F, the second rear processing rack 304 includes four coater / developer chambers 60 (labeled CD1-4) and four support chambers 65 (labeled S1-4). In one embodiment, four coater / developer chambers 60 are substituted for four support chambers 65.

[00160]図4Gは、前端ロボット108と対面している第1処理ラック308をクラスタツール10の外から見た側面図を図示している。したがって、同図は図2F〜図2Gに示した図と一致する。図4Gに示す一実施形態では、第1処理ラック308は、図18に関連して以下で説明する12個のベーク/冷蔵チャンバ800(ラベルBC1〜12)を包含している。   FIG. 4G illustrates a side view of the first processing rack 308 facing the front end robot 108 from the outside of the cluster tool 10. Therefore, this figure corresponds to the figures shown in FIGS. 2F to 2G. In one embodiment shown in FIG. 4G, the first processing rack 308 includes twelve bake / refrigeration chambers 800 (labeled BC1-12) described below in connection with FIG.

[00161]図4Hは、前端ロボット108と対面している第2処理ラック309をクラスタツール10の外から見た側面図を図示する。したがって、同図は図2F〜図2Gに示した図と一致する。図4Hに示す一実施形態では、第2処理ラック309は4個のコータ/デベロッパチャンバ60(ラベルCD1〜4)、4個の支持チャンバ65(ラベルS1〜4)を包含している。一実施形態では、4個の支持チャンバ65を4個のコータ/デベロッパチャンバ60で代用している。   FIG. 4H illustrates a side view of the second processing rack 309 facing the front end robot 108 from the outside of the cluster tool 10. Therefore, this figure corresponds to the figures shown in FIGS. 2F to 2G. In one embodiment shown in FIG. 4H, the second processing rack 309 includes four coater / developer chambers 60 (labeled CD1-4) and four support chambers 65 (labeled S1-4). In one embodiment, four coater / developer chambers 60 are substituted for four support chambers 65.

[00162]図4Iは、中央ロボット107または後部ロボット109と対面している第1中央処理ラック312または第1後部処理ラック318をクラスタツール10の外から見た側面図を図示している。したがって、同図は図2F〜図2Gに示す図と一致する。図4Iに示す実施形態では、第1中央処理ラック312または第1後部処理ラック318は、8個の冷蔵チャンバ80(ラベルC1〜8)、14個のベークチャンバ90(ラベルB1、B2、B3、B5、B6、B7その他)、4個のOEBRチャンバ62(ラベルOEBR1〜4)、6個のPEBチャンバ130(ラベルPEB1〜6)を包含している。別の実施形態では、第1中央処理ラック312または第1後部処理ラック318を、図4Gに図示した、12個の冷蔵チャンバ80と24個のベークチャンバ90を包含する構成と同様に配列することができる。   FIG. 4I illustrates a side view of the first central processing rack 312 or the first rear processing rack 318 facing the central robot 107 or the rear robot 109 as viewed from the outside of the cluster tool 10. Therefore, this figure corresponds to the figures shown in FIGS. 2F to 2G. In the embodiment shown in FIG. 4I, the first central processing rack 312 or the first rear processing rack 318 includes eight refrigeration chambers 80 (labeled C1-8) and 14 bake chambers 90 (labeled B1, B2, B3, B5, B6, B7, and the like), four OEBR chambers 62 (labeled OEBR1 to 4), and six PEB chambers 130 (labeled PEB1 to 6). In another embodiment, the first central processing rack 312 or the first rear processing rack 318 is arranged in a configuration that includes 12 refrigeration chambers 80 and 24 bake chambers 90 as illustrated in FIG. 4G. Can do.

[00163]図4Jは、中央ロボット107(または後部ロボット109)と対面している第2中央処理ラック314または第2後部処理ラック319をクラスタツール10の外から見た側面図を図示している。したがって、同図は図2F〜図2Gに示した図と一致する。図4Jに示す一実施形態では、第2中央処理ラック314または第2後部処理ラック319は4個のツインコータ/デベロッパチャンバ350を包含しており、このツインコータ/デベロッパチャンバ350は、コータチャンバ60A、デベロッパチャンバ60B、またはこれらの組み合わせとして構成できる4対の処理チャンバ370を包含している。   [00163] FIG. 4J illustrates a side view of the second central processing rack 314 or the second rear processing rack 319 facing the central robot 107 (or rear robot 109) as viewed from outside the cluster tool 10. . Therefore, this figure corresponds to the figures shown in FIGS. 2F to 2G. In one embodiment shown in FIG. 4J, the second central processing rack 314 or the second rear processing rack 319 includes four twin coater / developer chambers 350, which include the coater chamber 60A. 4 pairs of processing chambers 370, which can be configured as developer chambers 60B, or combinations thereof.

[00164]図4Kは、前端ロボット108と対面している第1処理ラック322をクラスタツール10の外から見た側面図を図示している。したがって、同図は図2Eに示す図と一致する。図4Kに示した一実施形態では、第1処理ラック322は12個のベーク/冷蔵チャンバ800(ラベルBC1〜12)を包含している。これについては以降で図18A〜図18Bに関連して説明する。   FIG. 4K illustrates a side view of the first processing rack 322 facing the front end robot 108 from the outside of the cluster tool 10. Therefore, this figure corresponds to the figure shown in FIG. 2E. In one embodiment shown in FIG. 4K, the first processing rack 322 includes 12 bake / refrigeration chambers 800 (labeled BC1-12). This will be described later in connection with FIGS. 18A-18B.

コータ/デベロッパチャンバ
[00165]コータ/デベロッパチャンバ60は、例えば図3A〜図3Cに示すBARCコートステップ510、フォトレジストコートステップ520、反射防止トップコートステプ530、現像ステップ550、および/またはSAFIER(商標)コートステップ551を実行するように適合できる処理チャンバである。一般的に、コータ/デベロッパチャンバ60は2つの主要タイプのチャンバ、即ち図5Aに示すコータチャンバ60Aと、図5Dに示すデベロッパチャンバ60B(以降で説明する)に構成することができる。
Coater / Developer Chamber
[00165] The coater / developer chamber 60 may include a BARC coat step 510, a photoresist coat step 520, an anti-reflective top coat step 530, a development step 550, and / or a SAFIER ™ coat step 551 as shown, for example, in FIGS. 3A-3C. A processing chamber that can be adapted to perform In general, the coater / developer chamber 60 can be configured into two main types of chambers: a coater chamber 60A shown in FIG. 5A and a developer chamber 60B (described below) shown in FIG. 5D.

[00166]図5Aは、BARCコートステップ510、フォトレジストコートステップおよび反射防止トップコートステップ530を実行するように適合できる、コータチャンバ60Aの一実施形態の垂直断面図である。コータチャンバ60Aはエンクロージャ1001、ガス流分布システム1040、コータカップアセンブリ1003、流体分配システム1025を包含していてもよい。エンクロージャ1001は、一般的に、側壁1001A、基部壁1001B、頂部壁1001Cを包含する。基板「W」を処理する処理領域1004を包含するコータカップアセンブリ1003は、カップ1005、回転可能なスピンチャック1034、リフトアセンブリ1030をさらに包含している。回転可能なスピンチャック1034は、一般的に、スピンチャック1033、シャフト1032、回転モータ1031、真空源1015を包含している。スピンチャック1033はシャフト1032によって回転モータ1031に取り付けられており、回転中の基板を持着するように適合された封止面1033Aを包含している。基板は、真空源1015で生成された真空の使用によって封止面1033Aに持着される。カップ1005は、プラスチック材料(例えばPTFE、PFA、ポリプロピレン、PVDFその他)、セラミック材料、プラスチック材料でコートした金属(例えばPVDF、Halar等のいずれかでコートしたアルミニウムまたはSST)、または、流体分配システム1025から送出された処理流体と融和性を有するこれ以外の材料で製造されている。一実施形態では、回転モータ1031は、300mmの基板を約1〜4000毎分回転数(RPM)で回転させるように適合されている。   [00166] FIG. 5A is a vertical cross-sectional view of one embodiment of a coater chamber 60A that can be adapted to perform a BARC coat step 510, a photoresist coat step, and an anti-reflective topcoat step 530. The coater chamber 60A may include an enclosure 1001, a gas flow distribution system 1040, a coater cup assembly 1003, and a fluid distribution system 1025. The enclosure 1001 generally includes a sidewall 1001A, a base wall 1001B, and a top wall 1001C. The coater cup assembly 1003 including the processing region 1004 for processing the substrate “W” further includes a cup 1005, a rotatable spin chuck 1034, and a lift assembly 1030. The rotatable spin chuck 1034 generally includes a spin chuck 1033, a shaft 1032, a rotary motor 1031, and a vacuum source 1015. The spin chuck 1033 is attached to a rotary motor 1031 by a shaft 1032 and includes a sealing surface 1033A adapted to hold a rotating substrate. The substrate is attached to the sealing surface 1033A by using a vacuum generated by a vacuum source 1015. The cup 1005 can be a plastic material (eg, PTFE, PFA, polypropylene, PVDF, etc.), a ceramic material, a metal coated with a plastic material (eg, aluminum or SST coated with either PVDF, Halar, etc.), or a fluid distribution system 1025. It is manufactured from other materials that are compatible with the processing fluid delivered from the factory. In one embodiment, the rotary motor 1031 is adapted to rotate a 300 mm substrate at about 1 to 4000 revolutions per minute (RPM).

[00167]一般的にリフトアセンブリ1030は、空気シリンダまたはサーボモータのようなアクチュエータ(図示せず)と、回転可能なスピンチャック1034を所望の位置へ昇降させるべく適合されたリニアボールベアリングスライドのような案内部(図示せず)を包含している。そのため、リフトアセンブリ1030は、処理中に基板をカップ1005内の回転可能なスピンチャック1034上に搭載された位置決めし、また、外部ロボット(例えば、図示にはない前端ロボット108、中央ロボット107、ロボット109など)による交換を可能にするべく、基板をカップ1005Aの頂部よりも上にリフトさせるように適合されている。外部ロボットに取り付けられたロボットのブレード611が、側壁1001A内に形成されたアクセスポート1002を介してエンクロージャ1001に取り付けられている。   [00167] Generally, the lift assembly 1030 is an actuator (not shown), such as a pneumatic cylinder or servo motor, and a linear ball bearing slide adapted to raise and lower the rotatable spin chuck 1034 to a desired position. A simple guide (not shown) is included. Therefore, the lift assembly 1030 positions the substrate mounted on the rotatable spin chuck 1034 in the cup 1005 during processing, and also includes an external robot (eg, front end robot 108, central robot 107, robot not shown). 109) is adapted to lift the substrate above the top of the cup 1005A. A robot blade 611 attached to an external robot is attached to the enclosure 1001 via an access port 1002 formed in the side wall 1001A.

[00168]ガス流分布システム1040が、エンクロージャ1001とコータカップアセンブリ1003を通り排出システム1012まで均等なガス流を送出できるように適合されている。一実施形態では、ガス流分布システム1040は、一般的にHEPAフィルタ1041とフィルタエンクロージャ1044を包含しているHEPAフィルタアセンブリである。HEPAフィルタ1041とフィルタエンクロージャ1044はプレナム1042を形成している。このプレナム1042は、ガス源1043から流入し、HEPAフィルタ1041、エンクロージャ1001、およびコータカップアセンブリ1003を通るガス流を均等にすることができる。一実施形態では、ガス源1043は、ガス(例えば空気)を所望の温度および湿度にて処理領域1004へ送出するように適合されている。   [00168] The gas flow distribution system 1040 is adapted to deliver a uniform gas flow through the enclosure 1001 and coater cup assembly 1003 to the exhaust system 1012. In one embodiment, the gas flow distribution system 1040 is a HEPA filter assembly that generally includes a HEPA filter 1041 and a filter enclosure 1044. The HEPA filter 1041 and the filter enclosure 1044 form a plenum 1042. The plenum 1042 may flow from the gas source 1043 and equalize the gas flow through the HEPA filter 1041, the enclosure 1001, and the coater cup assembly 1003. In one embodiment, the gas source 1043 is adapted to deliver gas (eg, air) to the processing region 1004 at a desired temperature and humidity.

[00169]流体分配システム1025は、一般的に、1つ以上の溶液をスピンチャック1033上に搭載した基板の表面へ送出する1つ以上の流体源アセンブリ1023を包含している。図5Aは、放出ノズル1024、供給管1026、ポンプ1022、フィルタ1021、吸引戻し弁1020、流体源1019を包含する単一の流体源アセンブリ1023を図示する。支持アームアクチュエータ1028は、放出ノズル1024と分配アーム127を所望の位置へ移動させるように適合されている。これにより、放出ノズル1024から基板上の所望の位置へ処理流体を分配することができる。ポンプ1022を使用して処理流体は放出ノズル1024へ送出することができる。ポンプ1022は、流体源1019から処理流体を除去し、この処理流体をフィルタ1021、吸引戻し弁1020、放出ノズル1024を介して基板の表面上へ放出する。放出ノズル1024から放出された処理溶液を、スピンチャック1033によって回転されている基板「W」の上へ分配することができる。吸引戻り弁1020は、基板上に望ましくない材料が滴下することを防止するために、基板上に所望の量の処理流体が分配された後に、放出ノズル1024から一定量の溶液を引き戻すように適合されている。分配された処理溶液は基板を回転させることで基板のエッジ部から飛び散り、カップ1005の内壁によって収集され、排液管1011へ送出されて、最終的には廃物収集システム1010へ送出される。   [00169] The fluid distribution system 1025 generally includes one or more fluid source assemblies 1023 that deliver one or more solutions to the surface of the substrate mounted on the spin chuck 1033. FIG. 5A illustrates a single fluid source assembly 1023 that includes a discharge nozzle 1024, a supply tube 1026, a pump 1022, a filter 1021, a suction return valve 1020, and a fluid source 1019. Support arm actuator 1028 is adapted to move discharge nozzle 1024 and dispensing arm 127 to a desired position. Thereby, the processing fluid can be distributed from the discharge nozzle 1024 to a desired position on the substrate. The processing fluid can be delivered to the discharge nozzle 1024 using the pump 1022. The pump 1022 removes the processing fluid from the fluid source 1019 and discharges the processing fluid onto the surface of the substrate through the filter 1021, the suction return valve 1020, and the discharge nozzle 1024. The processing solution discharged from the discharge nozzle 1024 can be distributed onto the substrate “W” being rotated by the spin chuck 1033. The suction return valve 1020 is adapted to draw a certain amount of solution back from the discharge nozzle 1024 after a desired amount of processing fluid has been dispensed onto the substrate to prevent unwanted material from dripping onto the substrate. Has been. The distributed processing solution is scattered from the edge portion of the substrate by rotating the substrate, collected by the inner wall of the cup 1005, sent to the drain pipe 1011, and finally sent to the waste collection system 1010.

フォトレジスト厚さ制御チャンバ
[00170]図5Bは、例えばBARCコートステップ510、フォトレジストコートステップ、反射防止トップコートステップ530を実行するように適合できるコータチャンバ60Aの別の実施形態の側面図である。図5Bに示す実施形態は、基板の表面上に堆積した材料の表面からの溶液の気化を制御して厚さ均等処理の結果を改善するための、堆積ステップの1つ以上の段階中に、基板周囲にエンクロージャを形成するように適合することができる。伝統的に、典型的なスピンオンタイプのコーティング処理における厚さ均等性制御は、最後に堆積させる層の均等性の気化を制御するための、基板回転速度と排出流量の制御に依存する。厚さ均等性の制御は、処理ステップ中に基板の表面を横断する空気流によって異なる。処理中の回転速度は、普通、基板の表面を横切る空力のばらつき(例えば、層流から乱流への遷移)の可能性が増加するに従ってコータチャンバ60A内で処理された基板の直径が増加することで低下される。空力のばらつきは、基板の表面と相互作用した空気に速力が与えられたことで生じた「ポンピング効果」による基板半径の関数としての風速のばらつきが原因で発生すると考えられている。薄いフォトレジスト層に必要量の溶液を拡散してこれを除去する能力毎、即ち基板の回転速度毎に、コートステップの完了までにかかる時間が異なるという1つの問題が発生する。回転速度が速いほど処理時間が短くなる。そのため、一実施形態では、基板の周囲にエンクロージャを配置して、基板の表面の周囲環境を制御することで、より大型の基板の場合の厚さ均等性の制御を改善している。基板周囲に形成されたエンクロージャが、基板の表面を横切るガス流を遮る傾向にあり、これにより、フォトレジストから相当量の溶液が気化する前にフォトレジストを拡散させることができるため、均等性の制御が改善されるのは溶液の気化の制御によるものであると考えられている。
Photoresist thickness control chamber
[00170] FIG. 5B is a side view of another embodiment of a coater chamber 60A that can be adapted to perform a BARC coat step 510, a photoresist coat step, an anti-reflective topcoat step 530, for example. The embodiment shown in FIG. 5B can be used during one or more stages of the deposition step to control the vaporization of the solution from the surface of the material deposited on the surface of the substrate to improve the thickness uniformity process results. It can be adapted to form an enclosure around the substrate. Traditionally, thickness uniformity control in a typical spin-on type coating process relies on control of substrate rotation speed and discharge flow rate to control the vaporization of the uniformity of the last deposited layer. Control of thickness uniformity depends on the air flow across the surface of the substrate during the processing step. The rotational speed during processing typically increases the diameter of the substrate processed in the coater chamber 60A as the likelihood of aerodynamic variation across the surface of the substrate (eg, laminar to turbulent transition) increases. It is lowered by that. It is believed that the aerodynamic variation is caused by variations in the wind speed as a function of the substrate radius due to the “pumping effect” caused by the speed applied to the air interacting with the substrate surface. One problem arises in that the time taken to complete the coating step varies depending on the ability to diffuse and remove the required amount of solution into the thin photoresist layer, i.e., each substrate rotation speed. The faster the rotation speed, the shorter the processing time. Thus, in one embodiment, an enclosure is placed around the substrate to control the ambient environment around the surface of the substrate, thereby improving thickness uniformity control for larger substrates. An enclosure formed around the substrate tends to block gas flow across the surface of the substrate, which allows the photoresist to diffuse before a significant amount of solution evaporates from the photoresist, thus ensuring uniformity. It is believed that the improved control is due to the control of solution vaporization.

[00171]この実施形態におけるコータチャンバ60Aは、一般的にはエンクロージャ1001、ガス流分布システム1040、コータカップアセンブリ1003、処理エンクロージャアセンブリ1050、流体分配システム1025を包含している。図5Bに図示した実施形態は、図5Aで説明されているコータチャンバ60Aを参照して上述した多数のコンポーネントを包含しているため、明確性の目的から同一または類似のコンポーネントの参照符号を図5Bでも使用している。この実施形態では、図5Aに図示したスピンチャック1033を、上に基板が静止するエンクロージャコータチャック封止面1056Aを有するエンクロージャコータチャック1056と、チャック基部領域1056Bとで代用している点に留意すべきである。   [00171] The coater chamber 60A in this embodiment generally includes an enclosure 1001, a gas flow distribution system 1040, a coater cup assembly 1003, a processing enclosure assembly 1050, and a fluid distribution system 1025. The embodiment illustrated in FIG. 5B includes a number of components described above with reference to the coater chamber 60A described in FIG. 5A, and therefore, for clarity purposes, the same or similar components are labeled with the same reference numerals. Also used in 5B. Note that in this embodiment, the spin chuck 1033 illustrated in FIG. 5A is replaced by an enclosure coater chuck 1056 having an enclosure coater chuck sealing surface 1056A on which the substrate rests and a chuck base region 1056B. Should.

[00172]図5Bは、処理位置にある処理エンクロージャアセンブリ1050を図示している。エンクロージャの蓋1052がチャック基部領域1056Bとは別々になっているため、「交換位置」(図示せず)にある場合には、外部ロボット(例えば前端ロボット108、中央ロボット107その他)に取り付けられているロボットブレード611を使用して基板をエンクロージャコータチャック1056へ移送することができることに留意されたい。処理エンクロージャアセンブリ1050はエンクロージャ蓋1052とチャック基部領域1056Bを包含し、基板の周囲に処理領域1051を形成するため、コーティング処理の複数の異なる段階中に処理環境を制御することが可能である。一般的に、処理エンクロージャアセンブリ1050は、エンクロージャ蓋1052、スピンチャック1033、回転アセンブリ1055、リフトアセンブリ1054を包含している。リフトアセンブリ1054は、一般的に、リフトアクチュエータ1054Aとリフト搭載ブラケット1053を包含しており、これが回転アセンブリ1055とエンクロージャ1001の表面に取り付けられる。リフトアクチュエータ1054Aは、一般的に、空気シリンダまたは直流サーボモータのようなアクチュエータ(図示せず)と、リニアボールベアリングスライドのような案内部(図示せず)とを包含している。これらは、処理エンクロージャアセンブリ1050内に包含されているスピンチャック1033以外の全てのコンポーネントを昇降させるように適合されている。   [00172] FIG. 5B illustrates the processing enclosure assembly 1050 in the processing position. Because the enclosure lid 1052 is separate from the chuck base region 1056B, it can be attached to an external robot (eg, front end robot 108, central robot 107, etc.) when in the “exchange position” (not shown). Note that the robot blade 611 can be used to transfer the substrate to the enclosure coater chuck 1056. The processing enclosure assembly 1050 includes an enclosure lid 1052 and a chuck base region 1056B to form a processing region 1051 around the substrate so that the processing environment can be controlled during multiple different stages of the coating process. In general, the processing enclosure assembly 1050 includes an enclosure lid 1052, a spin chuck 1033, a rotating assembly 1055, and a lift assembly 1054. The lift assembly 1054 generally includes a lift actuator 1054A and a lift mounting bracket 1053 that are attached to the surfaces of the rotating assembly 1055 and the enclosure 1001. The lift actuator 1054A generally includes an actuator (not shown) such as an air cylinder or a DC servo motor and a guide (not shown) such as a linear ball bearing slide. These are adapted to raise and lower all components except the spin chuck 1033 contained within the processing enclosure assembly 1050.

[00173]回転アセンブリ1055は、一般的に、1つ以上の回転ベアリング(図示せず)とハウジング1055Aとを包含している。これらは、エンクロージャコータチャック1056の回転と共にエンクロージャ蓋1052が回転するように適合されている。一実施形態では、スピンチャック1033が回転モータ1031によって回転されると、エンクロージャ蓋1052とチャック基部領域1056Bが接触して生じた摩擦によってハウジング1055Aが回転する。エンクロージャ蓋1052は、蓋シャフト1052Aを介して回転ベアリングに取り付けられている。一実施形態では、エンクロージャ蓋1052とチャック基部領域1056Bの接触は、リフトアセンブリ1030、リフトアセンブリ1054、または協働するこれら両方のリフトアセンブリの動作によって開始される。   [00173] The rotating assembly 1055 generally includes one or more rotating bearings (not shown) and a housing 1055A. These are adapted to rotate the enclosure lid 1052 with the rotation of the enclosure coater chuck 1056. In one embodiment, when the spin chuck 1033 is rotated by the rotary motor 1031, the housing 1055 </ b> A rotates due to friction generated by the contact between the enclosure lid 1052 and the chuck base region 1056 </ b> B. The enclosure lid 1052 is attached to the rotary bearing via a lid shaft 1052A. In one embodiment, contact between enclosure lid 1052 and chuck base region 1056B is initiated by operation of lift assembly 1030, lift assembly 1054, or both of these cooperating lift assemblies.

[00174]一実施形態では、エンクロージャ蓋1052とチャック基部領域1056Bが接触すると封止が形成され、基板の周囲に包囲された処理環境が作成される。一実施形態では、処理領域1051の容量は、基板の表面上のフォトレジストからの溶液の気化を制御するために多少小さく設けられ、例えば、エンクロージャ蓋1052および/またはチャック基部領域1056Bの基板までの隙間は約3mmであってもよい。   [00174] In one embodiment, a seal is formed when the enclosure lid 1052 and the chuck base region 1056B are in contact, creating an enclosed processing environment around the substrate. In one embodiment, the volume of the processing region 1051 is provided somewhat smaller to control the evaporation of the solution from the photoresist on the surface of the substrate, eg, up to the substrate in the enclosure lid 1052 and / or chuck base region 1056B. The gap may be about 3 mm.

[00175]一実施形態では、エンクロージャ蓋1052とチャック基部領域1056Bが接触し、基板が第1回転速度で回転される一方で、フォトレジスト材料が、蓋シャフト1052A内のクリアランスホール(図示せず)内の管(図示せず)を通って処理領域1051へ送出される。このステップでは、回転により生じた遠心力効果のためにフォトレジストが拡散されるが、基板の表面の上で溶液を豊富に含んだ蒸気が形成されることで、フォトレジストの特性変更能力が規制される。フォトレジストの分配後に、エンクロージャ蓋1052とエンクロージャコータチャック1056を、フォトレジストが所望の薄さになるまで第2回転速度にて回転することができ、所望の薄さが得られた時点でエンクロージャ蓋1052をエンクロージャコータチャック1056の表面から上昇させて、フォトレジスト内に残留している溶液を逃がす。これにより最後の溶液蒸発処理が完了する。   [00175] In one embodiment, the enclosure lid 1052 and chuck base region 1056B are in contact and the substrate is rotated at a first rotational speed while the photoresist material is a clearance hole (not shown) in the lid shaft 1052A. It is sent to the processing area 1051 through an inner pipe (not shown). In this step, the photoresist is diffused due to the centrifugal force effect caused by the rotation, but the solution-rich vapor is formed on the surface of the substrate, thereby regulating the ability of the photoresist to change properties. Is done. After dispensing the photoresist, the enclosure lid 1052 and the enclosure coater chuck 1056 can be rotated at a second rotational speed until the photoresist is thin, and when the desired thinness is obtained, the enclosure lid 1052 is raised from the surface of the enclosure coater chuck 1056 to allow the solution remaining in the photoresist to escape. This completes the final solution evaporation process.

[00176]別の実施形態では、従来の押し出し分配処理(例えば、不動状態の基板にかけてフォトレジスト分配アーム(図示せず)を押し流す)を使用してフォトレジストの分配を行い、その後、基板を処理エンクロージャアセンブリ1050内に包囲し、所望の速度で回転させることで、所望の厚さの均等な層を達成する。所望の厚さが達成されたら、エンクロージャ蓋1052をエンクロージャコータチャック1056と別々にして、フォトレジストから溶液が気化できるようにする。   [00176] In another embodiment, the photoresist is dispensed using a conventional extrusion dispensing process (eg, flushing a photoresist dispensing arm (not shown) over a stationary substrate) and then processing the substrate. Enclosed in enclosure assembly 1050 and rotated at the desired speed to achieve a uniform layer of the desired thickness. When the desired thickness is achieved, the enclosure lid 1052 is separated from the enclosure coater chuck 1056 to allow the solution to evaporate from the photoresist.

[00177]エンクロージャ蓋1052の一実施形態では、処理中に余分なフォトレジストを処理領域1051から出すための複数の孔1052Bが、エンクロージャ蓋1052の外壁に形成される。この構成では、流入地点および/または流出地点がないために、空気とフォトレジストに作用する遠心力によって、基板の表面を横切る空気流が遮られるか、あるいは最小化される。この構成では、空気およびフォトレジストはこれに作用する遠心力によって孔1052Bから流出し、処理領域1051内の圧力が周囲圧力未満に降下する。一実施形態では、基板、エンクロージャ蓋1052、エンクロージャコータチャック1056の回転速度を変更することにより、この処理の複数の異なる段階の最中に処理領域内の圧力を変更させて、フォトレジストの気化を制御することができる。   [00177] In one embodiment of the enclosure lid 1052, a plurality of holes 1052B are formed in the outer wall of the enclosure lid 1052 for removing excess photoresist from the processing area 1051 during processing. In this configuration, since there are no inflow and / or outflow points, the air flow across the surface of the substrate is blocked or minimized by centrifugal forces acting on the air and the photoresist. In this configuration, air and photoresist flow out of hole 1052B due to the centrifugal force acting on it, and the pressure in processing region 1051 drops below ambient pressure. In one embodiment, changing the rotational speed of the substrate, enclosure lid 1052, and enclosure coater chuck 1056 changes the pressure in the process area during the different stages of the process, thereby evaporating the photoresist. Can be controlled.

[00178]一実施形態では、処理中に、溶液を豊富に含んだ蒸気が蓋シャフト1052Aの孔から処理領域1051内に注入されることで、フォトレジスト層の最終的な厚さと均等性が制御される。   [00178] In one embodiment, during processing, solution rich vapor is injected into the processing region 1051 from the hole in the lid shaft 1052A to control the final thickness and uniformity of the photoresist layer. Is done.

溶液/デベロッパ分配を行うシャワーヘッド流体分配システム
[00179]従来技術設計では、基板の表面上に均等で繰り返し可能なフォトレジスト層を達成する試みにおいて、コータチャンバカップの外形設計、基板のスピン方法、チャンバ処理領域にかけての空気流の変化、フォトレジスト層分配処理を改善するフォトレジスト分配ハードウェアの設計を強調した。これらの設計は、各種レベルの複雑性とコストで或るレベルの均等性を達成する。CoOを低減し、増加し続ける処理均等性を満たす必要性から、さらなる改善が必要である。
Showerhead fluid distribution system for solution / developer distribution
[00179] In prior art designs, in an attempt to achieve a uniform and repeatable photoresist layer on the surface of the substrate, the coater chamber cup profile design, the method of spinning the substrate, the change in air flow over the chamber processing region, the photo The design of photoresist distribution hardware to improve the resist layer distribution process is emphasized. These designs achieve a level of uniformity at various levels of complexity and cost. Further improvements are needed due to the need to reduce CoO and meet ever increasing processing uniformity.

[00180]図5Cは、流体を基板の表面へ送出し、処理均等性の成果を拡張するように適合させた流体分布装置1070を包含するコータ/デベロッパチャンバ60の一実施形態を図示している。本発明の1つの態様では、フォトレジスト層内に見られる流体を使用することにより、気化処理を制御できるようにしている。この構成では、リフトアセンブリ1074を使用することで、流体分布装置1070を基板の表面に対して昇降させることができる。これにより、流体分布装置1070と基板の表面の間に最適な隙間を達成でき、堆積した層の表面を分配した流体によって均等に飽和させることができる。一実施形態では、この隙間は約0.5〜15mmである。リフトアセンブリ1074は、一般的に、シャワーヘッドアセンブリ1075とエンクロージャ1003の表面に取り付けることができるリフトアクチュエータ1074Aとリフト取り付けブラケット1073を包含している。リフトアクチュエータ1074は、一般的に、空気シリンダまたは直流サーボモータのようなアクチュエータ(図示せず)と、リニアボールベアリングスライドのような案内部(図示せず)を包含し、これらは流体分布装置1070内の全ての構成要素を昇降するように適合されている。   [00180] FIG. 5C illustrates one embodiment of a coater / developer chamber 60 that includes a fluid distribution device 1070 adapted to deliver fluid to the surface of the substrate and expand the results of process uniformity. . In one aspect of the invention, the vaporization process can be controlled by using the fluid found in the photoresist layer. In this configuration, the lift assembly 1074 can be used to raise and lower the fluid distribution device 1070 relative to the surface of the substrate. Thereby, an optimal gap can be achieved between the fluid distribution device 1070 and the surface of the substrate, and the surface of the deposited layer can be evenly saturated with the distributed fluid. In one embodiment, this gap is about 0.5-15 mm. The lift assembly 1074 generally includes a lift actuator 1074A and a lift mounting bracket 1073 that can be attached to the surface of the showerhead assembly 1075 and the enclosure 1003. The lift actuator 1074 generally includes an actuator (not shown) such as an air cylinder or a DC servo motor and a guide (not shown) such as a linear ball bearing slide, which is a fluid distribution device 1070. It is adapted to raise and lower all components within.

[00181]図5Cは、処理位置にある流体分布装置1070を図示している。流体分布装置1070は、コーティング処理の異なる段階の最中に処理環境の制御を行えるように、基板と流体分布装置1070の間に処理領域1071を形成するシャワーヘッドアセンブリ1075を包含している。一般的に、流体分布装置1070は、シャワーヘッドアセンブリ1075、流体源1077、リフトアセンブリ1074を包含している。   [00181] FIG. 5C illustrates the fluid distribution device 1070 in the processing position. The fluid distribution device 1070 includes a showerhead assembly 1075 that forms a processing region 1071 between the substrate and the fluid distribution device 1070 so that the processing environment can be controlled during different stages of the coating process. In general, the fluid distribution device 1070 includes a showerhead assembly 1075, a fluid source 1077, and a lift assembly 1074.

[00182]シャワーヘッドアセンブリ1075は、一般的に、シャワーヘッド基部1072、シャフト1072A、シャワーヘッド板1072Dを包含する。シャフト1072Aはシャワーヘッド基部1072に取り付けられており、流体源1077からシャワーヘッド基部1072内に形成されたプレナム1072Cへ流体を送出するためにシャフト内に形成された中央孔1072Bを有する。シャワーヘッド基部1072に取り付けられたシャワーヘッドプレート1072Dには複数の孔1072Fが形成されており、この孔はプレナム1072C、さらに流体源1077を、シャワーヘッド1072Dの下方面1072Eに接続させる。処理中に、流体源1077から中央孔1072B内に処理流体が分配され、この処理流体がプレナム1072Cへ入り、複数の孔1072Fを通って、基板と下方面1072Eの間に形成された処理領域1071内へと流れる。一実施形態では、孔のサイズ、孔の個数、シャワーヘッド板1072Dにわたる複数の孔1072Fの分布は、処理流体を処理領域1071へ均等に送出するように設計されている。別の実施形態では、孔のサイズ、孔の個数、シャワーヘッド板1072Dにわたる複数の孔1072Fの分布は、処理領域1071に処理流体の所望の不均等な分布を送出するシャワーヘッド板1072Dにわたって不均等に離間している。不均等なパターンは、堆積したフォトレジスト層内に厚さのばらつきを生じさせる可能性のある、空力または別の効果によって生じた厚さのばらつきを修正するために有効である。   [00182] The showerhead assembly 1075 generally includes a showerhead base 1072, a shaft 1072A, and a showerhead plate 1072D. Shaft 1072A is attached to showerhead base 1072 and has a central hole 1072B formed in the shaft for delivering fluid from fluid source 1077 to plenum 1072C formed in showerhead base 1072. A plurality of holes 1072F are formed in the showerhead plate 1072D attached to the showerhead base 1072, and these holes connect the plenum 1072C and the fluid source 1077 to the lower surface 1072E of the showerhead 1072D. During processing, the processing fluid is distributed from the fluid source 1077 into the central hole 1072B, and the processing fluid enters the plenum 1072C and passes through the plurality of holes 1072F to form a processing region 1071 formed between the substrate and the lower surface 1072E. It flows in. In one embodiment, the size of the holes, the number of holes, and the distribution of the plurality of holes 1072F across the showerhead plate 1072D are designed to deliver the processing fluid evenly to the processing region 1071. In another embodiment, the size of the holes, the number of holes, and the distribution of the plurality of holes 1072F across the showerhead plate 1072D is unequal across the showerhead plate 1072D delivering a desired unequal distribution of processing fluid to the processing region 1071. Are separated. Uneven patterns are useful for correcting thickness variations caused by aerodynamics or other effects that can cause thickness variations in the deposited photoresist layer.

[00183]一実施形態では、シャワーヘッドアセンブリ1075はモータ1072Gと回転封止部1072Hを包含し、これらは処理中に回転し、シャワーヘッドアセンブリ1075へ処理流体を送出するように適合されている。回転封止部1072Hは、当分野において周知の、ダイナミックなリップ封止部またはこれ以外の類似装置であってもよい。   [00183] In one embodiment, the showerhead assembly 1075 includes a motor 1072G and a rotating seal 1072H, which are adapted to rotate during processing and deliver processing fluid to the showerhead assembly 1075. The rotational seal 1072H may be a dynamic lip seal or other similar device as is well known in the art.

フォトレジストノズルリンスシステム
[00184]図6A〜図6Bは、封入容器アセンブリ1096をさらに包含する、上述の流体源アセンブリ1023の一実施形態を図示した等角図である。理想的な時間または処理ステップどうしの間に放出ノズル1024が汚染する可能性を低減するため、供給管1026内の処理流体が完全に乾燥してしまうことの防止を試みるため、および/または、流体源アセンブリ1023の様々なコンポーネント(例えば放出ノズル1024、供給管出口1026Aなど)を洗浄するために、容器開口部1095A(図6Aを参照)よりも上に放出ノズル1024が位置決めされ、環境領域1099内に制御された領域を形成している。この構成は、乾燥および薄片化し易く、後続の処理ステップで放出ノズル1024を基板の表面の上へ移動した際に粒子問題を生じるフォトレジストのような処理流体を使用する場合に有利である。一実施形態では、図6A〜図6Bに示した放出ノズル1024は、処理流体を供給管出口1026Aから、清潔でかつ繰り返し分配できるように供給管1026を保持および支持するように構成されたノズル本体1024Aを包含している。
Photoresist nozzle rinse system
[00184] FIGS. 6A-6B are isometric views illustrating one embodiment of the fluid source assembly 1023 described above further including a containment vessel assembly 1096. FIG. To reduce the possibility of contamination of the discharge nozzle 1024 during an ideal time or between processing steps, to try to prevent the processing fluid in the supply tube 1026 from drying out and / or to fluid The discharge nozzle 1024 is positioned above the container opening 1095A (see FIG. 6A) to clean various components of the source assembly 1023 (eg, the discharge nozzle 1024, supply tube outlet 1026A, etc.) and within the environmental region 1099 The controlled region is formed. This configuration is advantageous when using processing fluids such as photoresists that are easy to dry and flake and cause particle problems when the discharge nozzle 1024 is moved over the surface of the substrate in subsequent processing steps. In one embodiment, the discharge nozzle 1024 shown in FIGS. 6A-6B is a nozzle body configured to hold and support the supply tube 1026 so that process fluid can be cleanly and repeatedly dispensed from the supply tube outlet 1026A. Includes 1024A.

[00185]図6Aは、回転して基板の表面上に処理流体を分配できるように、放出ノズル1024を封入容器アセンブリ1096と別々にした構成を図示している。一般的に、封入容器アセンブリ1096は1つ以上のリンスノズル1090、容器1095、排水管1094、容器開口部1095Aを包含している。管1090Aに接続しているリンスノズル1090は、1つ以上の流体送出源1093(図6A〜図6Bでは2つの流体送出源を示している。符号1093A〜1093Bを参照)と連通している。一般的に、排水管1094は廃物収集システム1094Aに接続している。   [00185] FIG. 6A illustrates a configuration in which the discharge nozzle 1024 is separate from the enclosure assembly 1096 so that it can be rotated to dispense processing fluid onto the surface of the substrate. Generally, the enclosed container assembly 1096 includes one or more rinse nozzles 1090, a container 1095, a drain 1094, and a container opening 1095A. A rinse nozzle 1090 connected to the tube 1090A is in communication with one or more fluid delivery sources 1093 (two fluid delivery sources are shown in FIGS. 6A-6B, see 1093A-1093B). In general, drain pipe 1094 is connected to a waste collection system 1094A.

[00186]図6Bを参照すると、処理中における基板の汚染を低減する試みにおいて、流体送出源1093に取り付けられ、1つ以上の洗浄溶液をノズルへ送出するための1本または複数のリンスノズル1090を使用して、放出ノズル1024と供給管出口1026Aを洗浄する。一実施形態では、付与処理完了後に残余したフォトレジストを除去できる洗浄溶液である。ノズルの本数とその方位付けは、放出ノズル1024と供給管出口1026Aの全ての側部と表面が洗浄される形で配列準備することができる。洗浄後に、容器1095の環境領域1099内に保持されている残余蒸気を、供給管1026内に保持されている処理流体(1種類または複数種類)が完全に乾いてしまうことを防止するために使用できる。   [00186] Referring to FIG. 6B, in an attempt to reduce contamination of the substrate during processing, one or more rinse nozzles 1090 attached to the fluid delivery source 1093 for delivering one or more cleaning solutions to the nozzle. Is used to clean the discharge nozzle 1024 and the supply tube outlet 1026A. In one embodiment, the cleaning solution is capable of removing residual photoresist after completion of the application process. The number of nozzles and their orientation can be arranged in such a way that all sides and surfaces of the discharge nozzle 1024 and supply tube outlet 1026A are cleaned. After cleaning, the residual vapor held in the environmental region 1099 of the container 1095 is used to prevent the processing fluid (one or more types) held in the supply pipe 1026 from drying out completely. it can.

フォトレジスト温度制御の使用時点
[00187]分配したフォトレジストの温度が特性および処理結果に大きく影響するので、均等で繰り返し可能なコーティング処理を確かに得るために、分配したフォトレジストの温度を厳しく制御する場合が多い。最適な分配温度はフォトレジスト毎に異なる。そのため、コータチャンバ60Aは、異なるフォトレジスト材料を包含する異なる処理レシピを実行するべく複数の流体源アセンブリ1023を包含していてもよいため、望ましい処理結果が一貫して確かに得られるように、流体源アセンブリ1023の温度のそれぞれを制御する必要がある。本発明の実施形態は、コートまたは現像処理中に基板の表面上に分配する前に、フォトレジストの温度を制御するための様々なハードウェアと方法を提供する。
When to use photoresist temperature control
[00187] Since the temperature of the distributed photoresist has a significant effect on properties and processing results, the temperature of the distributed photoresist is often tightly controlled to ensure a uniform and repeatable coating process. The optimum distribution temperature varies from photoresist to photoresist. As such, coater chamber 60A may include multiple fluid source assemblies 1023 to perform different processing recipes that include different photoresist materials, so that the desired processing results are consistently and reliably obtained. Each of the temperatures of the fluid source assembly 1023 needs to be controlled. Embodiments of the present invention provide various hardware and methods for controlling the temperature of the photoresist prior to dispensing on the surface of the substrate during the coating or developing process.

[00188]図6A、図6Bに示すような一実施形態では、放出ノズル1024は、ノズル本体1024Aと、供給管1026と、供給管1026に内容された処理流体とを加熱および/または冷却するように適合された熱交換装置1097を包含している。一実施形態では、熱交換装置は、処理流体の温度を制御するように適合された抵抗性の加熱器である。別の実施形態では、熱交換装置1097は、処理流体の温度を制御するために流体熱交換器に作業流体を流す流体温度コントローラ(図示せず)を使用して、処理流体の温度を制御するように適合された流体熱交換器である。別の実施形態では、熱交換装置は、処理流体を加熱または冷却するように適合された熱電気装置である。図6A、図6Bは、ノズル本体1024Aと連通した熱交換装置1097を示し、また、本発明の別の実施形態は、熱交換装置1097が、処理流体の温度を効率的に制御するために供給管1026および/またはノズル本体1024Aと接触している構成を含んでいてもよい。一実施形態では、供給管1026の長さは第2熱交換器1097Aを使用することで温度制御される。これにより、供給管内部容量1026B内に保持されている、分配された処理流体の全ての容量が、次の処理ステップ中に望ましい温度で基板の表面上に確かに分配できるようになる。第2熱交換器1097Aは、上述したように電気加熱器、熱電装置および/または流体熱交換装置であってもよい。   [00188] In one embodiment as shown in FIGS. 6A, 6B, the discharge nozzle 1024 heats and / or cools the nozzle body 1024A, the supply tube 1026, and the processing fluid contained in the supply tube 1026. A heat exchange device 1097 adapted to the above. In one embodiment, the heat exchange device is a resistive heater adapted to control the temperature of the process fluid. In another embodiment, the heat exchange device 1097 controls the temperature of the processing fluid using a fluid temperature controller (not shown) that directs the working fluid to the fluid heat exchanger to control the temperature of the processing fluid. Is a fluid heat exchanger adapted to In another embodiment, the heat exchange device is a thermoelectric device adapted to heat or cool the process fluid. 6A and 6B show a heat exchange device 1097 in communication with the nozzle body 1024A, and another embodiment of the present invention provides the heat exchange device 1097 to efficiently control the temperature of the processing fluid. Configurations in contact with tube 1026 and / or nozzle body 1024A may be included. In one embodiment, the length of the supply tube 1026 is temperature controlled using a second heat exchanger 1097A. This ensures that all of the dispensed processing fluid volume retained within the supply tube internal volume 1026B can be dispensed onto the surface of the substrate at the desired temperature during the next processing step. The second heat exchanger 1097A may be an electric heater, a thermoelectric device and / or a fluid heat exchange device as described above.

[00189]一実施形態では、封入容器アセンブリ1096は、放出ノズル1024を容器開口部1095Aよりも上に位置決めした場合に(図6B参照)、ノズル本体1024Aと供給管1026内の処理流体の温度を一貫した温度に維持するように温度制御されている。図6A〜図6Bを参照すると、容器1095の壁に取り付けた容器加熱交換装置1098を使用して、容器1095を加熱または冷却することができる。容器熱交換装置1098は上述したような熱電装置および/または流体熱交換装置であってもよく、これらはシステムコントローラ101と共に使用されて容器1095の温度を制御する。   [00189] In one embodiment, the enclosure container assembly 1096 sets the temperature of the processing fluid in the nozzle body 1024A and supply tube 1026 when the discharge nozzle 1024 is positioned above the container opening 1095A (see FIG. 6B). Temperature controlled to maintain a consistent temperature. With reference to FIGS. 6A-6B, a container heat exchange apparatus 1098 attached to the wall of the container 1095 can be used to heat or cool the container 1095. The vessel heat exchange device 1098 may be a thermoelectric device and / or a fluid heat exchange device as described above, which are used with the system controller 101 to control the temperature of the vessel 1095.

[00190]一実施形態では、チュービング1090Aに接続したリンスノズル1090の温度は、洗浄処理中に供給管1026内の処理流体が加熱または冷蔵されないよう、放出ノズル1024と供給管出口1026Aに噴霧される洗浄溶液が望ましい温度になるように制御された温度である。   [00190] In one embodiment, the temperature of the rinse nozzle 1090 connected to the tubing 1090A is sprayed to the discharge nozzle 1024 and the supply tube outlet 1026A so that the processing fluid in the supply tube 1026 is not heated or refrigerated during the cleaning process. The temperature is controlled so that the cleaning solution is at the desired temperature.

コータノズル設置システム
[00191]均等で繰り返し可能な処理結果を確かに得るために、フォトレジスト材料を分配する基板の表面上の位置を厳しく制御することが好ましい。堆積したフォトレジスト層の均等性は、基板の表面上のフォトレジストを堆積させる位置によって影響される。そのため、放出ノズル1024の正確な位置決めが可能な、多くの場合高額な支持アームアクチュエータ1028を使用して、分配アーム1027の位置を精密に制御することが普通である。コータチャンバ60Aが複数の放出ノズル1024を有し、複数の異なるフォトレジスト材料を分配することが普通であるが、これにより、多数の分配アーム1027を精密または正確に制御する必要が生じるため、コータチャンバ60Aのコストと複雑性が大幅に増加してしまう。したがって、本発明の様々な実施形態は、較正および精密な制御を行うアームは1本のみであることから較正が容易な1本の分配アーム1027を利用する機器および方法を提供する。この構成では、様々な流体源アセンブリ1023に見られる複数の放出ノズル1024は、シャトルアセンブリ1180(図7A)を使用することで1本の分配アーム1192と交換することができる。一実施形態では、分配アーム1192は、制御に要する自由度を1度のみにする(例えば1本の直線方向(z方向))ように適合されている。したがって、この構成によってより精密かつ繰り返し可能な放出ノズル1024位置を制御することが可能になり、アームの複雑性、システムコスト、使用可能な基板スクラップ、較正の必要性が低減される。
Coater nozzle installation system
[00191] In order to ensure uniform and repeatable processing results, it is preferable to strictly control the position on the surface of the substrate on which the photoresist material is dispensed. The uniformity of the deposited photoresist layer is affected by the position at which the photoresist is deposited on the surface of the substrate. Therefore, it is common to precisely control the position of the dispensing arm 1027 using an often expensive support arm actuator 1028 that allows accurate positioning of the discharge nozzle 1024. It is common for coater chamber 60A to have a plurality of discharge nozzles 1024 to dispense a plurality of different photoresist materials, but this necessitates precise or precise control of a number of dispensing arms 1027, so that the coater The cost and complexity of the chamber 60A is greatly increased. Accordingly, various embodiments of the present invention provide an apparatus and method that utilizes a single dispensing arm 1027 that is easy to calibrate because only one arm performs calibration and precise control. In this configuration, the multiple discharge nozzles 1024 found in the various fluid source assemblies 1023 can be replaced with a single dispensing arm 1192 using the shuttle assembly 1180 (FIG. 7A). In one embodiment, the distribution arm 1192 is adapted to provide only one degree of freedom for control (eg, one linear direction (z direction)). Thus, this configuration allows for more precise and repeatable discharge nozzle 1024 position control, reducing arm complexity, system cost, usable substrate scrap, and the need for calibration.

[00192]図7Aは、1度の自由度を有する分配アーム1192を利用する、コータチャンバ60Aに見られる分配アームシステム1170の一実施形態の平面図である。この構成では、分配アームシステム1170は一般的に分配アームアセンブリ1190、シャトルアセンブリ1180、キャリアアセンブリ1160を包含している。一般的に、分配アームアセンブリ1190は分配アーム1192と、分配アーム1192の内部または上に形成されたノズル取り付け位置1193と、アクチュエータ1191とを包含している。一実施形態では、ノズル保持特徴1194は、シャトルアセンブリ1180によってノズル取り付け位置1193に置かれた放出ノズル1024を掴持するように適合されている。ノズル保持特徴1194は、放出ノズル上の特徴を掴持するか、あるいはこれと相互ロックする、ばね装填式または空気式のアクチュエータであってもよい。アクチュエータ1191は、例えば空気シリンダ、または分配アーム1192を昇降できるその他の装置である。一実施形態では、アクチュエータ1191は、分配アーム1192を或る位置から別の位置へ移動する際にこれの設置または移動の制御を支援するリニア案内部(図示せず)をさらに包含している。   [00192] FIG. 7A is a plan view of one embodiment of a dispensing arm system 1170 found in the coater chamber 60A utilizing a dispensing arm 1192 having one degree of freedom. In this configuration, the dispensing arm system 1170 generally includes a dispensing arm assembly 1190, a shuttle assembly 1180, and a carrier assembly 1160. In general, the dispensing arm assembly 1190 includes a dispensing arm 1192, a nozzle mounting location 1193 formed in or on the dispensing arm 1192, and an actuator 1191. In one embodiment, the nozzle retention feature 1194 is adapted to grip the discharge nozzle 1024 placed at the nozzle mounting location 1193 by the shuttle assembly 1180. The nozzle retention feature 1194 may be a spring loaded or pneumatic actuator that grips or interlocks with the feature on the discharge nozzle. The actuator 1191 is, for example, an air cylinder or another device that can move the distribution arm 1192 up and down. In one embodiment, the actuator 1191 further includes a linear guide (not shown) that assists in controlling the placement or movement of the dispensing arm 1192 as it moves from one position to another.

[00193]キャリアアセンブリ1160は、一般的に、ノズル支持部1161と、放出ノズル1024および供給管1026(6本の放出ノズル1024と流体源アセンブリ1023を示す)を包含する2つ以上の流体源アセンブリ1023と、回転アクチュエータ(図示せず)を包含している。回転アクチュエータは、システムコントローラ101からの命令を使用して、ノズル支持部1161と、全ての放出ノズル1024およびこれに関連した供給管とを所望の位置へ回転させるように適合されている。   [00193] The carrier assembly 1160 generally includes two or more fluid source assemblies including a nozzle support 1161, a discharge nozzle 1024 and a supply tube 1026 (showing six discharge nozzles 1024 and a fluid source assembly 1023). 1023 and a rotary actuator (not shown). The rotary actuator is adapted to rotate the nozzle support 1161 and all the discharge nozzles 1024 and associated supply pipes to a desired position using instructions from the system controller 101.

[00194]シャトルアセンブリ1180は、キャリアアセンブリ1160から放出ノズル1024を取り上げ、この放出ノズル1024を回転させて分配アーム1192上のノズル取り付け位置1193へ移送するように適合されている。シャトルアセンブリ1180は、一般的に、アクチュエータアセンブリ1181、シャトルアーム1182、ノズル移送特徴部1183を包含している。ノズル移送特徴部1183は、放出ノズル1024と係合、またはこれを掴持するように適合されている。これにより、放出ノズル1024をキャリアアセンブリ1160から除去してノズル搭載位置1193へ移送し、処理完了後に、ノズル移送位置1193からキャリアアセンブリ1160へ戻すことができる。アクチュエータアセンブリ1181は、一般的に1つ以上のアクチュエータを包含し、このアクチュエータは、シャトルアセンブリ1180を昇降させ、シャトルアーム1182を所望の位置へ回転させるように適合されている。アクチュエータアセンブリ1181は、例えば、昇降タスクを完了するために、空気シリンダ、親ねじに取り付けた交流サーボモータ、交流サーボリニアモータのうち1つ以上を包含する。さらにアクチュエータアセンブリ1181は、回転タスクを完了するために、空気シリンダ、ステッパモータ、交流サーボモータのうち例えば1つ以上を包含することもできる。   [00194] The shuttle assembly 1180 is adapted to pick up the discharge nozzle 1024 from the carrier assembly 1160 and rotate the discharge nozzle 1024 to transfer it to the nozzle mounting location 1193 on the dispensing arm 1192. The shuttle assembly 1180 generally includes an actuator assembly 1181, a shuttle arm 1182, and a nozzle transfer feature 1183. The nozzle transfer feature 1183 is adapted to engage with or grasp the discharge nozzle 1024. Thus, the discharge nozzle 1024 can be removed from the carrier assembly 1160 and transferred to the nozzle mounting position 1193, and returned to the carrier assembly 1160 from the nozzle transfer position 1193 after the processing is completed. Actuator assembly 1181 typically includes one or more actuators that are adapted to raise and lower shuttle assembly 1180 and rotate shuttle arm 1182 to a desired position. Actuator assembly 1181 includes, for example, one or more of an air cylinder, an AC servo motor attached to a lead screw, and an AC servo linear motor to complete a lifting task. In addition, the actuator assembly 1181 can include, for example, one or more of an air cylinder, a stepper motor, and an AC servo motor to complete the rotation task.

[00195]シャトルアーム1182がホームポジション(図7Aの符号「A」を参照)からキャリアアセンブリ1160よりも上の位置へ回転し、次に、ノズル取り上げ位置(図示せず)に到達するまで垂直に移動する。その後、放出ノズル1024がノズル移送特徴部1183と係合できるよう、キャリアアセンブリ1160が回転する(符号「B」を参照)。次に、シャトルアーム1182が垂直に移動して、放出ノズル1024をキャリアアセンブリ1160と別々し、その後、放出ノズル1024が、分配アーム1192内のノズル搭載位置1193の上に位置決めされるまで回転する。シャトルアーム1182が、ノズル搭載位置1193上に放出ノズル1024を置くまで垂直に移動する。シャトルアーム1182は垂直に移動した後に、回転してホームポジション(符号「A」を参照)へ戻る。次に、分配アームアセンブリ1190内のアクチュエータ1191が、基板処理ステップを開始できるように、放出ノズルを基板の表面の上の所望の位置(符号「W」を参照)へ移動する。放出ノズル1024を除去するにはステップを反転させる。   [00195] Shuttle arm 1182 rotates from a home position (see symbol “A” in FIG. 7A) to a position above carrier assembly 1160 and then vertically until it reaches a nozzle pick-up position (not shown) Moving. Thereafter, the carrier assembly 1160 rotates so that the discharge nozzle 1024 can engage the nozzle transfer feature 1183 (see reference “B”). Next, the shuttle arm 1182 moves vertically to separate the discharge nozzle 1024 from the carrier assembly 1160 and then rotate until the discharge nozzle 1024 is positioned over the nozzle mounting position 1193 in the dispensing arm 1192. The shuttle arm 1182 moves vertically until the discharge nozzle 1024 is placed over the nozzle mounting position 1193. After the shuttle arm 1182 moves vertically, the shuttle arm 1182 rotates to return to the home position (see reference numeral “A”). Next, an actuator 1191 in the dispensing arm assembly 1190 moves the discharge nozzle to a desired position (see reference “W”) on the surface of the substrate so that a substrate processing step can be initiated. To remove the discharge nozzle 1024, the steps are reversed.

[00196]図7Bは、分配アームシステム1170の別の実施形態を図示しており、この場合、分配アームアセンブリ1190は2度の回転自由のような自由度、または1度の線形自由(x方向)、縦の自由度(z方向)を有する。図7Aに示した実施形態の一部である分配アームアセンブリ1190は、図7Bに図示した分配アームシステム1170の一部ではないため、コータチャンバ60Aの複雑性が低減する。一実施形態では、ノズル、保持特徴部1184は、ノズル移送特徴部1183内部に位置決めされた際に、放出ノズル1024を掴持または保持するように適合されている。図7Bはさらに、放出ノズル1024を保持および移送するのに有効なノズル保持特徴1184の別の使用可能な構成を図示する。動作時に、シャトルアーム1182がホームポジション(図7B中の符号「A」)からキャリアアセンブリ1160の上の位置へ回転し、続いてノズル取り上げ位置(図示せず)に到達するまで縦に移動する。次に、キャリアアセンブリ1160が、放出ノズル1024がノズル移送特徴部1183と係合できるように回転する(符号「B」を参照)。続いて、シャトルアーム1182が縦に移動することで、放出ノズル1024がキャリアアセンブリ1160と別々になり、その後、放出ノズル1024が基板の表面の上の所望の位置上に位置決めされるまで回転する。シャトルアーム1182が、基板の表面の上の所望の位置(符号「W」を参照)に到達するまで縦に移動すると、処理ステップの開始が可能になる。放出ノズル1024を除去するために、ステップが逆周りに続く。   [00196] FIG. 7B illustrates another embodiment of a dispensing arm system 1170 in which the dispensing arm assembly 1190 has two degrees of freedom, such as two degrees of freedom, or one degree of linear freedom (x-direction). ), And has a vertical degree of freedom (z direction). The dispensing arm assembly 1190 that is part of the embodiment shown in FIG. 7A is not part of the dispensing arm system 1170 shown in FIG. 7B, thus reducing the complexity of the coater chamber 60A. In one embodiment, the nozzle, retention feature 1184 is adapted to grip or hold the discharge nozzle 1024 when positioned within the nozzle transfer feature 1183. FIG. 7B further illustrates another usable configuration of a nozzle retention feature 1184 useful for holding and transporting the discharge nozzle 1024. In operation, the shuttle arm 1182 rotates from the home position (reference “A” in FIG. 7B) to a position above the carrier assembly 1160 and then moves vertically until it reaches a nozzle pick-up position (not shown). The carrier assembly 1160 then rotates so that the discharge nozzle 1024 can engage the nozzle transfer feature 1183 (see reference “B”). Subsequently, the shuttle arm 1182 moves vertically to cause the discharge nozzle 1024 to become separate from the carrier assembly 1160 and then rotate until the discharge nozzle 1024 is positioned over a desired position on the surface of the substrate. Once the shuttle arm 1182 has moved vertically until it reaches the desired position on the surface of the substrate (see reference “W”), the processing step can begin. To remove the discharge nozzle 1024, the steps continue in the reverse direction.

[00197]一実施形態では、キャリアアセンブリ1160は、ノズル本体1024Aと供給管1026内の処理流体とを、これらがシャトルアセンブリ1180へ移送され、基板の表面の上へ運ばれるのを待っている間、一貫した温度に確かに維持されるように温度制御された複数の封入容器アセンブリ1096(図7A〜図7Bには図示していない(図6A〜図6Bを参照))を包含している。   [00197] In one embodiment, the carrier assembly 1160 waits for the nozzle body 1024A and processing fluid in the supply tube 1026 to be transferred to the shuttle assembly 1180 and carried over the surface of the substrate. A plurality of enclosure assemblies 1096 (not shown in FIGS. 7A-7B (see FIGS. 6A-6B)) that are temperature controlled to ensure that they are maintained at a consistent temperature.

デベロッパチャンバ
[00198]図5Dを参照すると、例えば現像ステップ550と、SAFIER(商標)コートステップ551を実行するように適合できるデベロッパチャンバ60Bの一実施形態の側面図である。一実施形態では、デベロッパチャンバ60Bは、一般的にコータチャンバ60A内に包含された全てのコンポーネントを包含しているため、デベロッパチャンバ60B(これは「デベロッパチャンバ60A」では?)を参照して説明したものと同一または類似のデベロッパチャンバ60Bのいくつかのコンポーネントは同一の数字を有する。
Developer chamber
[00198] Referring to FIG. 5D, there is a side view of one embodiment of a developer chamber 60B that can be adapted to perform, for example, a development step 550 and a SAFIER ™ coat step 551. In one embodiment, developer chamber 60B generally includes all components contained within coater chamber 60A, so that reference is made to developer chamber 60B (which is "developer chamber 60A"?). Some components of the developer chamber 60B that are the same or similar to those described have the same number.

[00199]一実施形態では、現像処理中に、上述の流体分布装置1070を包含するデベロッパチャンバ60Bが、デベロッパ処理流体の均等な流れを基板の表面へ送出するように適合されている。一実施形態では、孔のサイズ、孔の個数、複数の孔1072Fの分布は、基板と流体分布装置1070の底面の間に形成された処理領域1071へ処理流体を均等に送出するように設計されている。別の実施形態では、孔のサイズ、孔の個数、複数の孔1072Fの分布は、基板と流体分布装置1070の底面の間に形成された処理領域1071へ、デベロッパ処理流体の不均等な分布を送出するように設計されている。   [00199] In one embodiment, a developer chamber 60B containing the fluid distribution device 1070 described above is adapted to deliver an even flow of developer processing fluid to the surface of the substrate during development processing. In one embodiment, the size of the holes, the number of holes, and the distribution of the plurality of holes 1072F are designed to deliver the processing fluid evenly to the processing region 1071 formed between the substrate and the bottom surface of the fluid distribution device 1070. ing. In another embodiment, the size of the holes, the number of holes, and the distribution of the plurality of holes 1072F may result in an unequal distribution of developer processing fluid to the processing region 1071 formed between the substrate and the bottom surface of the fluid distribution device 1070. Designed to send out.

デベロッパエンドポイント検出機構
[00200]図8Aは、デベロッパエンドポイント検出器アセンブリ1400を包含するデベロッパチャンバ60Bの一実施形態の側面図である。デベロッパエンドポイント検出器アセンブリ1400は、現像ステップ550のエンドポイントを決定するために、レーザと1つ以上の検出器を使用して、光波散乱計測タイプの技術を実行する。一実施形態では、レーザ1401から出射された放射線またはビーム(符号「A」を参照)の単一波長が、露光されたフォトレジスト層が上に堆積した基板の表面上に、基板の表面に対して直角未満の角度で衝突する。ビーム「A」は基板の表面で反射され、反射された放射線「B」の強度を検出1410が検出する。一実施形態では、検出器1410は、基板の表面からの一次反射を受容するように方位付けされているので、したがって入射ビームと整列している(例えば、表面に対して同じ角度および同じ方向に整列している)。露光ステップ538中に衝突ビームとフォトレジスト内に形成されたパターンとの間の干渉のために、現像ステップ550中において、デベロッパにフォトレジストの可溶性部分が溶解する際に、検出された放射線の強度にばらつきが作成され、これにより「格子」タイプパターンが出現し、これが衝突ビームとますます干渉するようになる。そのため、フォトレジストパターンとの干渉によって衝突ビームの散乱が生じて、検出される主要反射が低減する。一実施形態では、エンドポイントの検出は、検出器1410で測定された反射強度が漸近的にゼロに近づく。
Developer endpoint detection mechanism
[00200] FIG. 8A is a side view of one embodiment of a developer chamber 60B that includes a developer endpoint detector assembly 1400. FIG. Developer endpoint detector assembly 1400 performs a light scatterometry type technique using a laser and one or more detectors to determine the endpoint of development step 550. In one embodiment, a single wavelength of radiation or beam (see reference “A”) emitted from laser 1401 is applied to the surface of the substrate on which the exposed photoresist layer is deposited. Collide at an angle less than a right angle. Beam “A” is reflected off the surface of the substrate, and detection 1410 detects the intensity of the reflected radiation “B”. In one embodiment, the detector 1410 is oriented to receive the primary reflection from the surface of the substrate and is therefore aligned with the incident beam (eg, at the same angle and in the same direction relative to the surface). Aligned). The intensity of the detected radiation as the soluble portion of the photoresist dissolves in the developer during the development step 550 due to interference between the impinging beam and the pattern formed in the photoresist during the exposure step 538 This creates a “grating” type pattern that more and more interferes with the collision beam. Therefore, the collision beam is scattered by the interference with the photoresist pattern, and the detected main reflection is reduced. In one embodiment, endpoint detection is asymptotically approaching zero with the reflected intensity measured at detector 1410.

[00201]レーザ1401から出射されたビームが投射される基板の表面上の範囲は、検出範囲と定義される。一実施形態では、この検出範囲のサイズを、検出した信号に包含されたノイズの量を最小化するよう変更または制御する。検出した信号内のノイズは、処理中に検出範囲のそばに見られるパターントポロジーのばらつきが原因で生成される。   [00201] The range on the surface of the substrate onto which the beam emitted from laser 1401 is projected is defined as the detection range. In one embodiment, the size of this detection range is changed or controlled to minimize the amount of noise included in the detected signal. Noise in the detected signal is generated due to variations in pattern topology seen near the detection range during processing.

[00202]一実施形態では、現像処理の進行に伴い、フォトレジストパターンのシャープさの変化をより容易に検出するために、単一波長レーザの代わりに同調可能レーザを使用する。干渉の量は、形成された「格子」と入射放射線の波長によって異なる。別の実施形態では、一次反射と、散乱放射線の量とを検出できる複数の検出器(符号1410〜1412を参照)が現像エンドポイントの決定の支援を行う。別の実施形態では、CCD(電荷結合装置)アレイを使用して、反射した放射線の強度の散乱とシフトを行う。一実施形態では、処理中に基板の表面上に保持された処理流体より出射された反射からノイズが生成されることを防止し、また、スリットを使用することで、反射が検出器に到達することを防止することができる。   [00202] In one embodiment, a tunable laser is used instead of a single wavelength laser to more easily detect changes in photoresist pattern sharpness as the development process proceeds. The amount of interference depends on the “grating” formed and the wavelength of the incident radiation. In another embodiment, a plurality of detectors (see 1410-1412) that can detect primary reflections and the amount of scattered radiation assist in determining the development endpoint. In another embodiment, a CCD (Charge Coupled Device) array is used to scatter and shift the intensity of the reflected radiation. In one embodiment, noise is prevented from being generated from reflections emitted from the processing fluid held on the surface of the substrate during processing, and the reflections reach the detector by using slits. This can be prevented.

[00203]典型的に基板の表面上に既にパターンが存在している製品基板の場合には、図8Bに示すステップを使用できる。この処理ステップは、現像ステップ550の実行以前における、散乱した放射線の初期強度の測定を含む(符号1480)。次に、現像処理中に強度を測定してこれを初期データと比較することで、基板の表面上に存在するパターンからの寄与が得られる(符号1482)。この方法は、フォトレジストプロフィールが望ましい場合のみに必要となる場合がある。現像処理期間にわたる強度変更が全て望ましいものである場合には、単一波長の使用は全て必要なものであるため、その下にある散乱に関連した情報は一般的には不要である。   [00203] For a product substrate that typically already has a pattern on the surface of the substrate, the steps shown in FIG. 8B can be used. This processing step includes a measurement of the initial intensity of the scattered radiation prior to the execution of the development step 550 (1480). Next, the contribution from the pattern present on the surface of the substrate is obtained by measuring the intensity during the development process and comparing it with the initial data (reference numeral 1482). This method may only be necessary if a photoresist profile is desired. Where all intensity changes over the development period are desirable, the use of a single wavelength is all that is necessary, so the information related to the underlying scattering is generally unnecessary.

[00204]パターンの詳細な知識が必要な場合には、デベロッパ表面にて、恐らく可変屈折のアクティブな修正(図8Cの符号1484)が必要である。アクティブ修正部は、外部のばらつきによってデベロッパ流体表面内のばらつきを調整し、また、角度の変化を補正するべく適所にて調整を行う複数の小型の鏡(符号1425−27)を有することで働く。さらに図8Cはこのような鏡の1つを図示しており、この鏡は、垂直ビーム(符号C)からの入力を介して得られた入射ビーム「A」の屈折の変化の知識を用いている。特にデベロッパ流体の表面が平坦かつ無起伏から逸脱するに従い、レーザ1451からのレーザビーム(符号C)の通常の反射が、ビームスプリッタ1452を使用することで、検出器1453内で検出される。この構成では、検出器1453は、ビーム「C」をデベロッパ流体の表面にぶつからせる角度の変化によって生じた反射ビームの角度の変化を感知できるCCDであってもよい。システムコントローラ101は、CCDアレイに関連してCCDアレイ上のピーク強度の位置の変化を検出でき、したがって反射角度の変化量を知ることができる。この変化量を知ることで、アクティブミラー1425〜1427の角度を調整し、反射ビーム「B」の位置を1つ以上の検出器1410〜1412へ送ることができる。この反射の空間位置における一瞬の逸脱は、デベロッパ流体表面における逸脱と上手く相関する必要がある。そのため、適切な制御システムを使用して、検出された反射ビームの位置のばらつきを能動的に位置決めした鏡(符号1425〜1427)を使用して、反射ビームに対する空間相関を作ることができる。   [00204] If detailed knowledge of the pattern is required, an active modification of variable refraction (reference 1484 in FIG. 8C) is probably required at the developer surface. The active correction unit works by having a plurality of small mirrors (reference numerals 1425-27) that adjust variations in the developer fluid surface by external variations and adjust in place to correct for angular changes. . Further, FIG. 8C illustrates one such mirror, which uses knowledge of the change in refraction of the incident beam “A” obtained via input from the vertical beam (reference C). Yes. In particular, as the developer fluid surface deviates from flatness and undulation, the normal reflection of the laser beam (reference C) from the laser 1451 is detected in the detector 1453 using the beam splitter 1452. In this configuration, the detector 1453 may be a CCD that can sense the change in angle of the reflected beam caused by the change in angle that causes the beam “C” to strike the surface of the developer fluid. The system controller 101 can detect a change in the position of the peak intensity on the CCD array in relation to the CCD array, and thus know the amount of change in the reflection angle. Knowing this amount of change, the angle of the active mirrors 1425-1427 can be adjusted and the position of the reflected beam “B” can be sent to one or more detectors 1410-1412. This instantaneous deviation in the spatial position of the reflection needs to correlate well with the deviation at the developer fluid surface. Therefore, using a suitable control system, a spatial correlation for the reflected beam can be created using a mirror (reference numerals 1425-1427) that actively positions the detected reflected beam position variations.

[00205]アクティブミラー1425〜1427は、TI社(テキサス州ダラス)から販売されているマイクロミラーチップ上に使用されているような(符号1425〜1427)小型かつコンパクトなものであってもよい。これらは、明瞭に図8Cにおいてより広く離れて示されている。アクティブミラーは、上述したようなビーム逸脱を引き起こすデベロッパ表面のばらつきを補正するように設計されている。   [00205] The active mirrors 1425-1427 may be small and compact, such as those used on micromirror chips sold by TI (Dallas, TX) (reference numerals 1425-1427). These are clearly shown more widely apart in FIG. 8C. Active mirrors are designed to correct for developer surface variations that cause beam divergence as described above.

ツインコータおよびデベロッパチャンバ
[00206]図9A〜図9Bは、2つの別々の処理チャンバ370と中央領域395を包含するツインコータ/デベロッパチャンバ350の一実施形態の平面図である。この構成は、2つのチャンバ内のいくつかの普通のコンポーネントを共有できるようにし、これによりシステムの信頼性を増加させ、システムコストおよびクラスタツールの複雑性とフットプリントを低減することができるため有利である。一実施形態では、処理チャンバ370は一般的にコータチャンバ60Aまたはデベロッパチャンバ60Bに関連して上述した処理コンポーネントを全て包含している。但し、この場合は2つのチャンバは流体分配システム1025を共有するように適合されている。中央領域395は、シャッタ380と複数のノズル391を包含しており、これらはノズルホルダアセンブリ390に包含されている。上述したように、コータまたはデベロッパチャンバ内で使用されている流体分配システム1025は、1つ以上の処理流体をスピンチャック1033に搭載された基板の表面へ送出する1つ以上の流体源アセンブリ1023を包含している。流体源アセンブリ1023内に包含されている各ノズル391は、典型的に供給管1026、ポンプ1022、フィルタ1021、吸引戻し弁1020、流体源1019に接続しており、1タイプの処理流体を放出するように適合されている。これにより、各流体源アセンブリ1023を左または右のいずれの処理チャンバ370にも使用できるため、各処理チャンバに必要な冗長性が低減する。図9A〜図9Bは、ノズルホルダアセンブリ390が5本のノズル391を包含する構成を図示しているが、別の実施形態では、ノズルホルダアセンブリ390が、本発明の基本範囲を変えることなく、5本よりも少ないまたは多い本数のノズルを包含することができる。
Twin coater and developer chamber
[00206] FIGS. 9A-9B are top views of one embodiment of a twin coater / developer chamber 350 that includes two separate processing chambers 370 and a central region 395. FIG. This configuration is advantageous because it allows several common components in the two chambers to be shared, thereby increasing system reliability and reducing system cost and cluster tool complexity and footprint. It is. In one embodiment, processing chamber 370 generally includes all of the processing components described above in connection with coater chamber 60A or developer chamber 60B. In this case, however, the two chambers are adapted to share a fluid distribution system 1025. Central region 395 includes shutter 380 and a plurality of nozzles 391 that are included in nozzle holder assembly 390. As described above, the fluid distribution system 1025 used in the coater or developer chamber includes one or more fluid source assemblies 1023 that deliver one or more processing fluids to the surface of the substrate mounted on the spin chuck 1033. Is included. Each nozzle 391 included in the fluid source assembly 1023 is typically connected to a supply tube 1026, a pump 1022, a filter 1021, a suction return valve 1020, a fluid source 1019 and emits one type of processing fluid. Has been adapted to. This allows each fluid source assembly 1023 to be used in either the left or right process chamber 370, thus reducing the redundancy required for each process chamber. 9A-9B illustrate a configuration in which the nozzle holder assembly 390 includes five nozzles 391, but in another embodiment, the nozzle holder assembly 390 does not change the basic scope of the present invention. Less than or more than five nozzles can be included.

[00207]図9Aはノズルアームアセンブリ360を右の処理チャンバ370よりも上に位置決めして、スピンチャック1033上に保持された基板「W」上に処理流体を分配するツインコータ/デベロッパチャンバ350の平面図である。ノズルアームアセンブリ360は、アーム362とノズル保持機構364を包含している。ノズルアームアセンブリ360は、ノズルアームアセンブリ360を移送し、案内機構361に沿った任意の位置に位置付けるように適合されたアクチュエータ363に取り付けられている。一実施形態では、アクチュエータは、ノズルアームアセンブリ360を垂直に移動するように適合されているため、処理中にノズル391を基板よりも上に正確に位置決めし、ノズル保持機構364がノズルホルダアセンブリ390からノズル391を取り上げ、下ろすことができる。このシステムコントローラ101は、ノズル保持機構364がノズル391をノズルホルダアセンブリ390から取り上げ、下ろすことができるようにノズルアームアセンブリ360の位置を制御できるように適合されている。処理中に基板が相互汚染してしまうことを防止するために、シャッタ380が、1つの処理チャンバ、そしてさらに別の処理チャンバ370を閉鎖し、中央領域395から隔離するべく垂直に移動させるように適合されている。1つの態様では、シャッタ380は、処理中に1つの処理チャンバ370、さらに別の処理チャンバ370を中央領域395から封止可能に隔離するように適合されている。従来のOリングおよび/または別のリップ封止部を使用することで、シャッタに、2つの処理チャンバを封止可能に隔離させることが可能である。   [00207] FIG. 9A illustrates a twin coater / developer chamber 350 that positions the nozzle arm assembly 360 above the right processing chamber 370 and distributes processing fluid onto the substrate "W" held on the spin chuck 1033. It is a top view. The nozzle arm assembly 360 includes an arm 362 and a nozzle holding mechanism 364. The nozzle arm assembly 360 is attached to an actuator 363 adapted to transport the nozzle arm assembly 360 and position it at any position along the guide mechanism 361. In one embodiment, the actuator is adapted to move the nozzle arm assembly 360 vertically, so that the nozzle 391 is accurately positioned above the substrate during processing, and the nozzle holding mechanism 364 causes the nozzle holder assembly 390 to move. The nozzle 391 can be taken up and lowered. The system controller 101 is adapted to control the position of the nozzle arm assembly 360 so that the nozzle holding mechanism 364 can pick up and lower the nozzle 391 from the nozzle holder assembly 390. To prevent cross-contamination of the substrate during processing, a shutter 380 is closed so that one processing chamber and yet another processing chamber 370 is closed and moved vertically to isolate it from the central region 395. Have been adapted. In one aspect, the shutter 380 is adapted to sealably isolate one processing chamber 370 and yet another processing chamber 370 from the central region 395 during processing. By using a conventional O-ring and / or another lip seal, it is possible to have the shutter separably isolate the two processing chambers.

[00208]図9Bは、スピンチャック1033上に保持された基板上に処理流体を分配するために、ノズルアームアセンブリ360を左処理チャンバ370よりも上に位置決めしたツインコータ/デベロッパチャンバ350の平面図である。   [00208] FIG. 9B is a plan view of a twin coater / developer chamber 350 with the nozzle arm assembly 360 positioned above the left processing chamber 370 to distribute the processing fluid onto the substrate held on the spin chuck 1033. FIG. It is.

[00209]一実施形態では、図示にはないツインコータ/デベロッパチャンバ350が、2つのノズルアームアセンブリ360を包含している。このノズルアームアセンブリ360は、中央領域395内のノズル391にアクセスして、1本のノズルを基板の表面よりも上に位置決めするように適合されている。この構成では、各処理チャンバは、ポンプを共有し、2本の異なるノズル391から分配を行うことで、同じ処理流体を使用して2枚の基板を処理したり、または各チャンバ内で2種の異なる処理流体を分配することができる。   [00209] In one embodiment, a twin coater / developer chamber 350, not shown, includes two nozzle arm assemblies 360. The nozzle arm assembly 360 is adapted to access the nozzles 391 in the central region 395 to position one nozzle above the surface of the substrate. In this configuration, each processing chamber shares a pump and distributes from two different nozzles 391 to process two substrates using the same processing fluid, or two types within each chamber. Different processing fluids can be dispensed.

冷蔵チャンバ
[00210]図10Aは、BARC後冷蔵ステップ514、フォトレジスト冷蔵後のステップ524、トップコート後の冷蔵ステップ534、PEB後の冷蔵ステップ542、および/または現像後冷蔵ステップ554を実行するように適合可能な冷蔵チャンバ80の1つの実施形態を図示する垂直断面図である。一般的に、冷蔵チャンバ80はエンクロージャ86、冷蔵板アセンブリ83、支持板84、リフトアセンブリ87を包含している。エンクロージャ86は、冷蔵チャンバ80内で実行された処理を、これを囲む環境から隔離して処理領域86Aを形成するための複数の壁(符号86B〜D、符号85)によって形成されている。本発明の1つの態様では、冷蔵チャンバ80内における大気汚染の可能性を熱的に隔離し、最小化するように適合されている。
Refrigerated chamber
[00210] FIG. 10A is adapted to perform a post-BARC refrigeration step 514, a photoresist refrigeration step 524, a topcoat refrigeration step 534, a PEB refrigeration step 542, and / or a post-development refrigeration step 554. 2 is a vertical cross-sectional view illustrating one embodiment of a possible refrigeration chamber 80. FIG. In general, the refrigeration chamber 80 includes an enclosure 86, a refrigeration plate assembly 83, a support plate 84, and a lift assembly 87. The enclosure 86 is formed by a plurality of walls (reference numerals 86B to 86, reference numeral 85) for forming the processing region 86A by isolating the processing executed in the refrigeration chamber 80 from the surrounding environment. In one aspect of the invention, it is adapted to thermally isolate and minimize the possibility of air pollution in the refrigeration chamber 80.

[00211]一般的に、冷蔵板アセンブリ83は熱交換装置83Aと冷蔵板ブロック83Bを包含している。冷蔵板ブロック83Bは、上述の様々な冷蔵処理(例えば、BARC前冷蔵ステップ509、BARC後冷蔵ステップ514、フォトレジスト後の冷蔵ステップ524など)を実行するために熱交換装置83Aによって冷蔵される材料から成る熱伝導ブロックである。冷蔵板ブロック83Bは、処理中における温度均等性を向上させるために熱伝導性になっている。一実施形態では、冷蔵板ブロック83Bはアルミニウム、グラファイト、窒化アルミニウム、またはこれ以外の熱伝導性材料から作られてもよい。一実施形態では、冷蔵板ブロック83Bの、基板「W」と接触している表面がテフロン飽和陽極アルミニウム、炭化ケイ素、またはこれ以外の、基板の裏面が冷蔵板ブロック83Bと接触した際に基板裏面における粒子の生成を最小化する材料でコートされている。一実施形態では、基板「W」は、冷蔵板ブロック83Bの表面に埋め込まれたピン(図示せず)の上に静止しているため、基板と冷蔵板ブロック83Bの間の隙間が小さくなり粒子生成が低減される。図10Aに示す別の実施形態では、熱交換装置83Aは、冷蔵板ブロック83Bの表面に形成され、チャネル83C内に継続的に流れる熱交換流体を使用して温度制御される、複数のチャンネル83Cで構成されている。流体温度コントローラ(図示せず)は熱交換流体、さらに冷蔵板ブロック83Bの温度を制御するように適合されている。熱交換流体は、約5〜20℃に温度制御される、例えばパーフルオロポリエーテル(例えばGalden(登録商標))であってもよい。熱交換流体は約5〜20℃の所望の温度で送出される冷蔵水であってもよい。さらに、熱交換流体は、アルゴンや窒素といった温度制御されたガスであってもよい。   [00211] Generally, the refrigeration plate assembly 83 includes a heat exchange device 83A and a refrigeration plate block 83B. The refrigeration plate block 83B is a material that is refrigerated by the heat exchange device 83A to perform the various refrigeration processes described above (for example, the pre-BARC refrigeration step 509, the BARC refrigeration step 514, the post-photoresist refrigeration step 524, etc.). Is a heat conduction block. The refrigeration plate block 83B is thermally conductive in order to improve temperature uniformity during processing. In one embodiment, the refrigeration plate block 83B may be made from aluminum, graphite, aluminum nitride, or other thermally conductive material. In one embodiment, the surface of the refrigeration plate block 83B that is in contact with the substrate “W” is Teflon-saturated anode aluminum, silicon carbide, or other substrate back surface when the back surface of the substrate is in contact with the refrigeration plate block 83B. Coated with a material that minimizes the formation of particles in In one embodiment, the substrate “W” is stationary on pins (not shown) embedded in the surface of the refrigeration plate block 83B, so that the gap between the substrate and the refrigeration plate block 83B is reduced. Production is reduced. In another embodiment shown in FIG. 10A, the heat exchange device 83A is formed on the surface of the refrigeration plate block 83B and is temperature controlled using a heat exchange fluid that flows continuously in the channel 83C. It consists of A fluid temperature controller (not shown) is adapted to control the temperature of the heat exchange fluid as well as the refrigeration plate block 83B. The heat exchange fluid may be, for example, a perfluoropolyether (eg, Galden®) that is temperature controlled to about 5-20 ° C. The heat exchange fluid may be refrigerated water delivered at a desired temperature of about 5-20 ° C. Further, the heat exchange fluid may be a temperature controlled gas such as argon or nitrogen.

[00212]冷蔵板の一実施形態では、熱交換装置83Aは、冷蔵板ブロック83Bの表面上で静止している基板を加熱および冷却するように適合されている。この構成は、所望の処理瀬低ポイント温度の達成に必要な時間が、基板と冷蔵板ブロック83Bの間の温度差に依存するため有利である。したがって、冷蔵板ブロック83Bが固定温度に設定される場合、また、基板をこの固定温度にまで冷却することが望ましい場合には、基板と冷蔵板ブロック83Bの間の小さい温度差により、固定温度に達するまでの最後の数度を冷却するために非常に長い時間がかかる。冷蔵板ブロック83Bの温度を能動的に制御することによって、基板温度が所望の設定ポイント温度またはその付近になるまで基板と冷蔵板ブロック83Bの間に大きな温度差が維持され、その後、冷蔵板ブロック83Bの温度が基板の温度内のアンダーシュートまたはオーバシュートの量を最小化するべく調整される場合には、所望の温度を達成するのに要する時間を短縮できる。冷蔵板ブロック83Bの温度は、熱交換装置83Aによって冷蔵板ブロック83Bから除去される、または冷蔵板ブロック83Bへ送出されるエネルギー量を変更する目的でシステムコントローラ101と共に使用される従来の温度感知装置(例えば熱電対(図示せず))を使用して制御される。したがって、この実施形態では、熱交換装置83Aは、冷蔵板ブロック83Bを加熱する能力と冷却する能力の両方を有する。一実施形態では、熱交換装置83Aは、冷蔵板ブロック83Bを冷却するおよび/または加熱するために使用される熱電装置である。一実施形態では、熱交換装置83Aは、以降でPEBチャンバ130に関連して説明する、基板の加熱および冷却を行うように適合された熱管設計である。一実施形態では、冷蔵板ブロック83Bの容量を最小化する、および/または熱伝導性を増加することで、基板温度を制御する能力を向上させることが有利である場合がある。   [00212] In one embodiment of the refrigeration plate, the heat exchange device 83A is adapted to heat and cool a substrate that is stationary on the surface of the refrigeration plate block 83B. This configuration is advantageous because the time required to achieve the desired process low point temperature depends on the temperature difference between the substrate and the refrigeration plate block 83B. Therefore, when the refrigeration plate block 83B is set to a fixed temperature, or when it is desirable to cool the substrate to this fixed temperature, the fixed temperature is brought about by a small temperature difference between the substrate and the refrigeration plate block 83B. It takes a very long time to cool the last few degrees to reach. By actively controlling the temperature of the refrigeration plate block 83B, a large temperature difference is maintained between the substrate and the refrigeration plate block 83B until the substrate temperature reaches or near the desired set point temperature, and then the refrigeration plate block If the 83B temperature is adjusted to minimize the amount of undershoot or overshoot within the substrate temperature, the time required to achieve the desired temperature can be reduced. The temperature of the refrigeration plate block 83B is removed from the refrigeration plate block 83B by the heat exchange device 83A, or a conventional temperature sensing device used with the system controller 101 for the purpose of changing the amount of energy delivered to the refrigeration plate block 83B. (E.g. thermocouple (not shown)). Therefore, in this embodiment, the heat exchange device 83A has both the ability to heat and cool the refrigeration plate block 83B. In one embodiment, the heat exchange device 83A is a thermoelectric device used to cool and / or heat the refrigeration plate block 83B. In one embodiment, the heat exchanging device 83A is a heat tube design adapted to heat and cool the substrate, described below in connection with the PEB chamber 130. In one embodiment, it may be advantageous to improve the ability to control the substrate temperature by minimizing the capacity of the refrigeration plate block 83B and / or increasing the thermal conductivity.

[00213]支持板84は、一般的に、冷蔵板アセンブリ83を支持し、これを基部85から絶縁するための板である。一般的に、支持板84は、外部熱の損失または増加を低減するセラミック材料(例えばジルコニア、アルミナなど)のような熱絶縁材料から成っていてもよい。   [00213] The support plate 84 is generally a plate for supporting the refrigeration plate assembly 83 and insulating it from the base 85. In general, the support plate 84 may be made of a thermally insulating material such as a ceramic material (eg, zirconia, alumina, etc.) that reduces the loss or increase of external heat.

[00214]図10Aを参照すると、リフトアセンブリ87は、一般的に、リフトブラケット87A、アクチュエータ87B、リフトピン板87C、3本またはこれ以上のリフトピン87D(図10Aには2本のみを図示)を包含している。これらは、延出したロボットブレード(図示せず)から離れて基板「W」を昇降させ、さらに、ロボットブレードが引き込まれると基板を冷蔵板ブロック83Bの表面上に設置するように適合されている。ロボットブレード(図示せず)は、エンクロージャ86の側壁86Dに設けられた開口部88から冷蔵チャンバ80に入るように適合されている。基板をチャンバ内に誤整列することにより、基板処理のばらつきと、基板への損傷を防止するために、典型的に、ロボットがリフトピンどうしの間の中心点と整列した移送位置から基板を取り上げ、下ろすように較正されている。一実施形態では、基部85と、支持板84と、冷蔵板アセンブリ83とに設けられたリフトピン孔89を通って移動する3本のリフトピンが、アクチュエータ87Bの使用により、基板を昇降させるように適合されている。このアクチュエータは、空気シリンダ、または従来の使用可能な基板昇降手段であってもよい。   [00214] Referring to FIG. 10A, the lift assembly 87 generally includes a lift bracket 87A, an actuator 87B, a lift pin plate 87C, three or more lift pins 87D (only two are shown in FIG. 10A). is doing. These are adapted to lift and lower the substrate “W” away from the extended robot blade (not shown) and to place the substrate on the surface of the refrigeration plate block 83B when the robot blade is retracted. . A robot blade (not shown) is adapted to enter the refrigeration chamber 80 through an opening 88 provided in the side wall 86D of the enclosure 86. In order to prevent substrate processing variability and damage to the substrate by misaligning the substrate within the chamber, the robot typically picks up the substrate from a transfer position aligned with the center point between the lift pins, Calibrated to lower. In one embodiment, three lift pins moving through lift pin holes 89 provided in base 85, support plate 84, and refrigeration plate assembly 83 are adapted to raise and lower the substrate by use of actuator 87B. Has been. This actuator may be an air cylinder or a conventional usable substrate lifting means.

ベークチャンバ
[00215]図10Bは、ベークチャンバ90の一実施形態を図示している。このベークチャンバ90は、BARC後ベークステップ512、フォトレジストコート後のベークステップ522、トップコート後のベークステップ532、および/または、現像後ベークステップ552を実行するように適合されていてもよい。一般的に、ベークチャンバ90はエンクロージャ96、ベーク板アセンブリ93、支持板94、リフトアセンブリ97を包含している。エンクロージャ96は、一般的に、処理領域96Aを形成するために、ベークチャンバ90内で実行される処理を包囲する環境から隔離する傾向にある複数の壁(符号96B〜D、および要素95)を包含している。本発明の1つの態様では、エンクロージャは熱的に隔離し、包囲する環境によるベークチャンバ90の汚染を最小化するように適合されている。
Bake chamber
[00215] FIG. 10B illustrates one embodiment of a bake chamber 90. As shown in FIG. The bake chamber 90 may be adapted to perform a post BARC bake step 512, a post photoresist coat bake step 522, a post top coat bake step 532, and / or a post development bake step 552. In general, the bake chamber 90 includes an enclosure 96, a bake plate assembly 93, a support plate 94, and a lift assembly 97. The enclosure 96 generally includes a plurality of walls (reference numerals 96B-D and elements 95) that tend to isolate the environment performed within the bake chamber 90 from surrounding environments to form a processing region 96A. Is included. In one aspect of the invention, the enclosure is thermally isolated and adapted to minimize contamination of the bake chamber 90 by the surrounding environment.

[00216]ベーク板アセンブリ93は、一般的に、熱交換装置93Aとベーク板ブロック93Bを包含している。ベーク板ブロック93Bは、上述した様々なベーク処理(例えば、BARC後のベークステップ512、フォトレジストコート後ベークステップ522)を実行するために熱交換装置93Aによって加熱される材料で出来た熱伝導性ブロックである。ベーク板93Bは、処理中における温度の均等性を向上させるために熱伝導性のものである。一実施形態では、ベーク板ブロック93Bはアルミニウム、グラファイト、窒化アルミニウム、またはその他の熱伝導性材料から成る。一実施形態では、ベーク板ブロック93Bの、基板「W」と接触している表面は、テフロン飽和陽極アルミニウム、炭化ケイ素、またはこれ以外の、基板の裏面がベーク板ブロック93Bと接触した際に基板裏面における粒子の生成を最小化する材料でコートされている。一実施形態では、基板「W」は、ベーク板ブロック93Bの表面に埋め込まれたピン(図示せず)の上に静止しているため、基板とベーク板ブロック93Bの間の隙間が小さくなり粒子生成が低減される。一実施形態では、熱交換装置93Aは、ベーク板ブロック93Bを使用するために使用される熱電装置である。図10Bに示す別の実施形態では、熱交換装置93Aは、ベーク板ブロック93Bの表面に形成され、チャネル93C内に継続的に流れる熱交換流体を使用して温度制御される、複数のチャンネル93Cで構成されている。流体温度コントローラ(図示せず)は熱交換流体、さらにベーク板ブロック93Bの温度を制御するように適合されている。熱交換流体は、温度約30〜250℃に温度制御される、例えばパーフルオロポリエーテル(例えばGalden(登録商標))であってもよい。また熱交換流体は、アルゴンや窒素といった温度制御されたガスであってもよい。   [00216] The bake plate assembly 93 generally includes a heat exchange device 93A and a bake plate block 93B. The baking plate block 93B is made of a material that is heated by the heat exchange device 93A to perform the various baking processes described above (for example, baking step 512 after BARC, baking step 522 after photoresist coating). It is a block. The baking plate 93B is thermally conductive in order to improve temperature uniformity during processing. In one embodiment, the bake plate block 93B is made of aluminum, graphite, aluminum nitride, or other thermally conductive material. In one embodiment, the surface of the bake plate block 93B that is in contact with the substrate “W” is the substrate when the back side of the substrate contacts the bake plate block 93B, such as Teflon saturated anodic aluminum, silicon carbide, or otherwise. Coated with a material that minimizes the formation of particles on the backside. In one embodiment, the substrate “W” rests on pins (not shown) embedded in the surface of the bake plate block 93B, thus reducing the gap between the substrate and the bake plate block 93B. Production is reduced. In one embodiment, the heat exchange device 93A is a thermoelectric device used to use the bake plate block 93B. In another embodiment shown in FIG. 10B, the heat exchanging device 93A is formed on the surface of the bake plate block 93B and is temperature controlled using a heat exchanging fluid that flows continuously in the channel 93C. It consists of A fluid temperature controller (not shown) is adapted to control the temperature of the heat exchange fluid as well as the bake plate block 93B. The heat exchange fluid may be, for example, a perfluoropolyether (eg, Galden®) that is temperature controlled to a temperature of about 30-250 ° C. The heat exchange fluid may be a temperature-controlled gas such as argon or nitrogen.

[00217]支持板94は、一般的に、ベーク板アセンブリ93を支持し、これを基部95から絶縁するための板である。一般的に、支持板94は、外部熱の損失を低減するセラミック材料(例えばジルコニア、アルミナなど)のような熱絶縁材料から成っていてもよい。   [00217] The support plate 94 is generally a plate for supporting the bake plate assembly 93 and insulating it from the base 95. In general, the support plate 94 may be made of a thermally insulating material such as a ceramic material (eg, zirconia, alumina, etc.) that reduces external heat loss.

[00218]図10Bを参照すると、リフトアセンブリ97は、一般的に、リフトブラケット97A、アクチュエータ97B、リフトピン板97C、3本またはこれ以上のリフトピン97D(図10Bには2本のみを図示)を包含している。これらは、延出したロボットブレード(図示せず)から離れて基板「W」を昇降させ、さらに、ロボットブレードが引き込まれると基板をベーク板ブロック9s3Bの表面上に設置するように適合されている。一実施形態では、基部95と、支持板94と、ベーク板アセンブリ93とに設けたリフトピン孔99を通って移動する3本のリフトピンが、アクチュエータ97Bの使用によって基板を昇降させるように適合されている。アクチュエータは空気シリンダか、またはこれ以外の、従来より使用可能な基板昇降手段であってもよい。ロボットブレード(図示せず)は、エンクロージャ96の側壁96Dに設けられた開口部98からベークチャンバ90に入るように適合されている。   [00218] Referring to FIG. 10B, the lift assembly 97 generally includes a lift bracket 97A, an actuator 97B, a lift pin plate 97C, three or more lift pins 97D (only two are shown in FIG. 10B). is doing. These are adapted to lift and lower the substrate “W” away from the extended robot blade (not shown) and to place the substrate on the surface of the bake plate block 9s3B when the robot blade is retracted. . In one embodiment, three lift pins moving through lift pin holes 99 provided in base 95, support plate 94, and bake plate assembly 93 are adapted to raise and lower the substrate by use of actuator 97B. Yes. The actuator may be an air cylinder or other conventionally usable substrate lifting means. A robot blade (not shown) is adapted to enter the bake chamber 90 through an opening 98 provided in the side wall 96D of the enclosure 96.

HMDSチャンバ
[00219]図10Cは、HMDS処理ステップ511を実行するように適合されたHMDS処理チャンバ70の一実施形態を図示する側面図である。図10Cに示す一実施形態では、HMDS処理チャンバ70は、図10Bに示したベークチャンバ90内に包含されているコンポーネントのいくつかを包含しているため、HMDS処理チャンバ70のいくつかのコンポーネントは、上述したベークチャンバ790を参照して説明したコンポーネントと同一または類似している。したがって、適宜同一の番号を使用している。
HMDS chamber
[00219] FIG. 10C is a side view illustrating one embodiment of an HMDS processing chamber 70 adapted to perform HMDS processing step 511. As shown in FIG. In one embodiment shown in FIG. 10C, the HMDS processing chamber 70 includes some of the components included in the bake chamber 90 shown in FIG. 10B, so that some components of the HMDS processing chamber 70 are , The same or similar to the components described with reference to the bake chamber 790 described above. Therefore, the same numbers are used as appropriate.

[00220]またHMDS処理チャンバ70は、封止された処理領域76の形成に使用される蓋アセンブリ75を包含している。この封止された処理領域76内では、処理ガスが、HMDSベーク板アセンブリ73で加熱された基板「W」へ送出される。一般的にHMDSベーク板アセンブリ73は、熱交換装置73AとHMDSベーク板ブロック73Bを包含している。HMDSベーク板ブロック73Bは、上述の様々なHMDS処理ステップを実行するために熱交換装置73Aによって加熱される材料で構成された熱伝導ブロックである。HMDSベーク板ブロック73Bは、処理中における温度均等性を向上させるために熱伝導性になっている。一実施形態では、HMDSベーク板ブロック73Bはアルミニウム、グラファイト、窒化アルミニウム、またはこれ以外の熱伝導性材料から作られてもよい。一実施形態では、HMDSベーク板ブロック73Bの、基板「W」と接触している表面が、テフロン飽和陽極アルミニウム、炭化ケイ素、またはこれ以外の、基板の裏面がHMDSベーク板ブロック73Bと接触した際に基板裏面における粒子の生成を最小化する材料でコートされている。一実施形態では、基板「W」は、HMDSベーク板ブロック73Bの表面に埋め込まれたピン(図示せず)の上に静止しているため、基板とHMDSベーク板ブロック73Bの間の隙間が小さくなり粒子生成が低減される。一実施形態では、熱交換装置73Aは、HMDSベーク板ブロック73Bを加熱するために使用される熱電装置である。図10Cに示す別の実施形態では、熱交換装置73Aは、HMDSベーク板ブロック73Bの表面に形成された複数のチャネル73Cで構成されている。このチャネル73Cは、内部を継続的に流れる熱交換流体の使用によって温度制御される。流体温度コントローラ(図示せず)は熱交換流体、さらにHMDSベーク板ブロック73Bの温度を制御するように適合されている。熱交換流体は、温度約30〜250℃に温度制御される、例えばパーフルオロポリエーテル(例えばGalden(登録商標))であってもよい。また、熱交換流体はアルゴンや窒素といった温度制御されたガスであってもよい。   [00220] The HMDS processing chamber 70 also includes a lid assembly 75 that is used to form a sealed processing region 76. Within this sealed process region 76, process gas is delivered to the substrate “W” heated by the HMDS bake plate assembly 73. Generally, the HMDS bake plate assembly 73 includes a heat exchange device 73A and a HMDS bake plate block 73B. The HMDS bake plate block 73B is a heat conduction block made of a material that is heated by the heat exchange device 73A to perform the various HMDS processing steps described above. The HMDS bake board block 73B is thermally conductive in order to improve temperature uniformity during processing. In one embodiment, the HMDS bake plate block 73B may be made from aluminum, graphite, aluminum nitride, or other thermally conductive material. In one embodiment, the surface of the HMDS bake plate block 73B that is in contact with the substrate “W” is Teflon-saturated anodic aluminum, silicon carbide, or other, when the back surface of the substrate is in contact with the HMDS bake plate block 73B. Coated with a material that minimizes the formation of particles on the backside of the substrate. In one embodiment, the substrate “W” is stationary on pins (not shown) embedded in the surface of the HMDS bake plate block 73B, so that the gap between the substrate and the HMDS bake plate block 73B is small. Particle generation is reduced. In one embodiment, the heat exchange device 73A is a thermoelectric device used to heat the HMDS bake plate block 73B. In another embodiment shown in FIG. 10C, the heat exchange device 73A is composed of a plurality of channels 73C formed on the surface of the HMDS bake plate block 73B. The temperature of the channel 73C is controlled by using a heat exchange fluid that continuously flows inside. A fluid temperature controller (not shown) is adapted to control the temperature of the heat exchange fluid as well as the HMDS bake plate block 73B. The heat exchange fluid may be, for example, a perfluoropolyether (eg, Galden®) that is temperature controlled to a temperature of about 30-250 ° C. The heat exchange fluid may be a temperature-controlled gas such as argon or nitrogen.

[00221]一般的に、蓋アセンブリ75は、蓋72A、1つ以上のOリング封止部72C、アクチュエータアセンブリ72を包含している。アクチュエータアセンブリ72は、一般的にアクチュエータ72BとOリング封止部72Dを包含している。Oリング封止部72Dは、HMDS処理領域77をHMDS処理チャンバ70外部の環境から隔離するように設計されている。アクチュエータ72Bは、一般的に、基板をリフトアセンブリ97内でリフトピン97Dへ、またはリフトピン97Dから移送するために、蓋72Aを昇降させるように適合されている。処理領域76を形成するため、また、HMDS処理ステップ511中に使用される処理ガスがHMDS処理領域77内へ逃げることを防止するために、蓋72Aは、蓋72A内(またはHMDS基部74上)に保持されたOリング封止部72Dの使用により、HMDS基部74間に封止部を形成するように適合されている。   [00221] In general, the lid assembly 75 includes a lid 72A, one or more O-ring seals 72C, and an actuator assembly 72. The actuator assembly 72 generally includes an actuator 72B and an O-ring seal 72D. The O-ring seal 72D is designed to isolate the HMDS processing region 77 from the environment outside the HMDS processing chamber 70. The actuator 72B is generally adapted to raise and lower the lid 72A to transfer the substrate to or from the lift pins 97D within the lift assembly 97. In order to form the processing region 76 and to prevent the processing gas used during the HMDS processing step 511 from escaping into the HMDS processing region 77, the lid 72A is within the lid 72A (or on the HMDS base 74). Is adapted to form a seal between the HMDS bases 74 by the use of an O-ring seal 72D held in place.

[00222]処理中に、アクチュエータ72Bが蓋72Aを低下させることで、蓋72A、Oリング封止部72C、HMDS基部74の間に耐漏液性の封止部を形成する。処理ガス送出システム71は、HMDS処理ステップ511を実行するために処理ガス(1つ以上)を処理領域76へ送出する。処理ガス(1つ以上)を送出するために、HMDS気化システム71Aが、HMDS蒸気とキャリアガスを基板の表面にわたり、隔離弁71Bと、HMDS基部74に形成された入口71Fとを介して処理領域へ送出し、さらに、HMDS基部74に形成された出口71Gからスクラバ71Eへ出す。一実施形態では、処理ガスを包含するHMDS蒸気が処理領域へ送出された後に、浄化ガスが浄化ガス源71Cから処理領域76へ送出することで、残余したHMDS蒸気を全て除去する。浄化ガス源71Cは、隔離弁71の使用によってDHMDS気化システム71Aから隔離されている。一実施形態では、従来のガス熱交換手段(図示せず)のうちの1つを使用することで、浄化ガス源71Cから送出された浄化ガスを加熱または冷却して、注入された浄化ガスの温度制御を行う。   [00222] During processing, the actuator 72B lowers the lid 72A, thereby forming a leak-proof seal between the lid 72A, the O-ring seal 72C, and the HMDS base 74. Process gas delivery system 71 delivers process gas (s) to process region 76 to perform HMDS process step 511. In order to deliver process gas (s), the HMDS vaporization system 71A passes HMDS vapor and carrier gas over the surface of the substrate, through the isolation valve 71B and the inlet 71F formed in the HMDS base 74. To the scrubber 71E from the outlet 71G formed in the HMDS base 74. In one embodiment, after the HMDS vapor containing the processing gas is sent to the processing region, the purified gas is sent from the purified gas source 71 </ b> C to the processing region 76 to remove any remaining HMDS vapor. The purified gas source 71C is isolated from the DHMDS vaporization system 71A by the use of an isolation valve 71. In one embodiment, one of the conventional gas heat exchange means (not shown) is used to heat or cool the purified gas delivered from the purified gas source 71C to Perform temperature control.

露光後のベークチャンバ
[00223]ポジティブフォトレジストを使用した露光処理の最中に、非可溶性フォトレジスト材料が可溶性材料内に移送される。露光処理中に、光酸生成器(またはPAG)を包含するフォトレジスト中の成分が、フォトレジストの露光されていない範囲を攻撃し、露光処理中にフォトレジスト層に形成されたパターンのシャープさに影響する有機フォトアシッドを生成する。したがって、未露光フォトレジストの攻撃は、拡散優勢処理である、生成されたフォトアシッドの移動の影響を受ける。形成されたパターンのフォトアシッド攻撃は拡散優勢処理であるため、攻撃速度は関連する2つの変数、時間、温度に依存する。そのため、微小寸法(CD)の均等性を受容可能なものにし、これを全ての基板を通じて一貫させるようにする際に、これらの変数を制御することが重要となる。
Bake chamber after exposure
[00223] During an exposure process using a positive photoresist, a non-soluble photoresist material is transferred into the soluble material. During the exposure process, the components in the photoresist, including the photoacid generator (or PAG) attack the unexposed areas of the photoresist, and the sharpness of the pattern formed in the photoresist layer during the exposure process Generate organic photoacids that affect Thus, the unexposed photoresist attack is affected by the movement of the generated photoacid, which is a diffusion dominant process. Since the photoacid attack of the formed pattern is a diffusion dominant process, the attack speed depends on two related variables, time and temperature. Therefore, it is important to control these variables in order to make micro-dimension (CD) uniformity acceptable and to make it consistent across all substrates.

[00224]一実施形態では、PEBステップ540が、ベークチャンバ90内で図10Bに示すとおりに実行される。別の実施形態では、温度制御されたガスが浄化ガス源71Cから処理領域76へ送出されるHMDS処理チャンバ70内においてPEBステップ540を実行することで、HMDSベーク板アセンブリ73上に保持された基板を加熱または冷却する。   [00224] In one embodiment, the PEB step 540 is performed in the bake chamber 90 as shown in FIG. 10B. In another embodiment, a substrate held on the HMDS bake plate assembly 73 by performing PEB step 540 in the HMDS processing chamber 70 where temperature controlled gas is delivered from the purified gas source 71C to the processing region 76. Heat or cool.

[00225]別の実施形態では、PEBチャンバ130内でPEBステップ540を実行する。図10DはPEBチャンバ130の側面図を図示している。このPEBチャンバ130の内部で処理領域138とPEB板アセンブリ133の質量が最適化されることで、熱均等性が向上し、温度の高速変化が可能になり、および/または処理繰り返し可能性が向上する。一実施形態では、PEB板アセンブリは、低温質量PEB板アセンブリ133と熱交換源143を利用して、PEB板アセンブリ133の頂面133Fと連通した基板の高速加熱および/または冷却を行う。この構成においてPEB板アセンブリ133は、基板が上で静止する頂面133Fを有する基板支持領域133Bと、熱交換領域133Aと、基部領域133Cとを包含している。温度感知装置(図示せず)を使用して、基板支持領域133Bの温度を制御する。この温度感知装置は、システムコントローラ101と共に使用して、熱交換領域133AからPEB板アセンブリ133へ送出されるエネルギー量を変更する。   [00225] In another embodiment, the PEB step 540 is performed in the PEB chamber 130. FIG. 10D illustrates a side view of the PEB chamber 130. By optimizing the mass of the processing region 138 and the PEB plate assembly 133 inside the PEB chamber 130, thermal uniformity is improved, temperature can be changed at high speed, and / or processing repeatability is improved. To do. In one embodiment, the PEB plate assembly utilizes a low temperature PEB plate assembly 133 and a heat exchange source 143 to provide rapid heating and / or cooling of the substrate in communication with the top surface 133F of the PEB plate assembly 133. In this configuration, the PEB plate assembly 133 includes a substrate support region 133B having a top surface 133F on which the substrate rests, a heat exchange region 133A, and a base region 133C. A temperature sensing device (not shown) is used to control the temperature of the substrate support region 133B. This temperature sensing device is used in conjunction with the system controller 101 to change the amount of energy delivered from the heat exchange area 133A to the PEB plate assembly 133.

[00226]熱交換領域133Aは、基板支持領域133B、基部領域133C、側壁133Gの間でこれらによって包囲された領域である。熱交換領域133Aはさらに、1つ以上の入口ポート133Dと、1つ以上の出口ポート133Eを介して、熱交換源143と連通している。また熱交換領域133Aは、頂面133Fと熱連通している基板を加熱および冷却するために、熱交換源143から送出された様々な熱交換流体を受け入れるように適合されている。本発明の1つの態様では、頂面133Fの材料の厚さ(即ち、熱交換領域133Aと頂面133Fの間の距離)、したがって頂面133Fの質量を最小化することで、基板の高速加熱および冷却を可能にしている。   [00226] The heat exchange region 133A is a region surrounded by the substrate support region 133B, the base region 133C, and the side wall 133G. The heat exchange area 133A further communicates with the heat exchange source 143 via one or more inlet ports 133D and one or more outlet ports 133E. The heat exchange region 133A is also adapted to receive various heat exchange fluids delivered from the heat exchange source 143 to heat and cool the substrate in thermal communication with the top surface 133F. In one aspect of the present invention, the material thickness of the top surface 133F (ie, the distance between the heat exchange region 133A and the top surface 133F), and thus the mass of the top surface 133F, is minimized, thereby rapidly heating the substrate. And allows cooling.

[00227]一実施形態では、熱交換領域133Aは、基板の温度を制御するために抵抗性の加熱器または熱電装置を包含していてもよい。別の実施形態では、熱交換領域133Aは、例えば基板支持領域133Bの下に搭載されたハロゲンランプのような放射線熱移送方法を使用して、PEB板アセンブリ133の温度を制御するように適合されている。   [00227] In one embodiment, the heat exchange region 133A may include a resistive heater or thermoelectric device to control the temperature of the substrate. In another embodiment, the heat exchange area 133A is adapted to control the temperature of the PEB plate assembly 133 using a radiation heat transfer method such as a halogen lamp mounted under the substrate support area 133B, for example. ing.

[00228]PEB板アセンブリ133は、1つの単一材料で、従来の手段(例えば機械工作、溶接、ろう付けなど)を使用して、あるいは最適なPEB板アセンブリ133を形成するために各材料の熱伝導性、熱膨張、熱衝撃特性を最大限に使用する複合材料(例えば、多くの異なった材料を包含する材料)によって形成できる。一実施形態では、PEB板アセンブリ133は、アルミニウム、銅、グラファイト、窒化アルミニウム、炭化ボロン、および/または別の材料のような熱伝導から作られている。   [00228] The PEB plate assembly 133 is made of one single material, using conventional means (eg, machining, welding, brazing, etc.) or to form an optimal PEB plate assembly 133 for each material. It can be formed from a composite material (eg, a material that includes many different materials) that maximizes thermal conductivity, thermal expansion, and thermal shock properties. In one embodiment, the PEB plate assembly 133 is made from thermal conduction such as aluminum, copper, graphite, aluminum nitride, boron carbide, and / or another material.

[00229]一般的に、熱交換源143は、熱交換流体を熱交換領域133Aへ送出するように適合された少なくとも1つの熱交換流体送出システムを包含している。図10Dに示す一実施形態では、熱交換源143は、熱源131と冷却源142である2つの熱交換流体送出システムを包含している。   [00229] Generally, the heat exchange source 143 includes at least one heat exchange fluid delivery system adapted to deliver heat exchange fluid to the heat exchange region 133A. In one embodiment shown in FIG. 10D, the heat exchange source 143 includes two heat exchange fluid delivery systems that are a heat source 131 and a cooling source 142.

[00230]一実施形態では、熱源131は、基板を加熱するために使用される従来型の熱パイプである。一般に、熱パイプは、典型的に断面が円形をした内容物を排出された容器であり、熱源131から熱シンク(例えば基板支持領域133Bおよび基板)へ熱を移送する少量の作業流体によって充填し戻すことができる。熱の移送は、熱源131内における作業流体の気化と、熱交換領域133Aにおける作業流体の凝縮によって実行される。動作時に、熱交換領域133Aは真空ポンプ(図示せず)によって内容物が排出され、その後、熱源131内に保持されている作業流体にエネルギーが追加されて、熱源131と熱交換領域133Aの間に圧力勾配が作成される。この圧力勾配が蒸気をより低温な部分へ強制的に流し、その場所で蒸気が凝縮することで、潜在する気化熱によってエネルギーが断たれる。次に、重力、毛管作用によって、作業流体が出口ポート133Eと出口ライン131Bを介して熱源131へ戻る。温度感知装置(図示せず)をシステムコントローラ101と共に使用し、熱交換領域133Aへ送出されたエネルギー量(例えば作業流体の流れ)を変更することで、基板支持領域133Bの温度が制御される。   [00230] In one embodiment, the heat source 131 is a conventional heat pipe used to heat the substrate. In general, a heat pipe is a container that has been evacuated of contents that are typically circular in cross-section and filled with a small amount of working fluid that transfers heat from a heat source 131 to a heat sink (eg, substrate support region 133B and substrate). Can be returned. The heat transfer is performed by vaporizing the working fluid in the heat source 131 and condensing the working fluid in the heat exchange region 133A. During operation, the heat exchange area 133A is evacuated by a vacuum pump (not shown), and then energy is added to the working fluid held in the heat source 131, so that the heat exchange area 133A has a gap between the heat source 131 and the heat exchange area 133A. A pressure gradient is created. This pressure gradient forces the steam to flow to the cooler part where it condenses and the energy is cut off by the latent heat of vaporization. Next, the working fluid returns to the heat source 131 through the outlet port 133E and the outlet line 131B by gravity and capillary action. The temperature of the substrate support region 133B is controlled by using a temperature sensing device (not shown) together with the system controller 101 and changing the amount of energy delivered to the heat exchange region 133A (for example, the flow of working fluid).

[00231]別の実施形態では、対流熱移送タイプの処理によって熱を基板へ移送するために、加熱されたガス、蒸気、または液体が熱源131によって流体源(図示せず)から熱交換領域133Aへ送出される。この構成では、加熱されたガス、蒸気、または液体が、出口ポート133Eを介して熱交換領域133Aへ送出され、次に廃物収集源142Aaへ送出される。廃物収集源142Aは、スクラバまたは典型的な排出システムであってもよい。   [00231] In another embodiment, heated gas, vapor, or liquid is transferred from a fluid source (not shown) by a heat source 131 to a heat exchange region 133A to transfer heat to the substrate by a convective heat transfer type process. Is sent to. In this configuration, heated gas, vapor, or liquid is delivered to the heat exchange region 133A via the outlet port 133E and then to the waste collection source 142Aa. The waste collection source 142A may be a scrubber or a typical discharge system.

[00232]図10Dに示す一実施形態では、熱交換源143も、基板を所望の温度へ冷却するように適合された冷却源142Aを包含している。冷却源142の一実施形態では、基板支持領域133Bから、さらに基板から熱を除去するために、冷却源が液体窒素を熱交換領域133Aに送出する。冷却源142の別の実施形態では、冷却源が液体窒素を熱交換領域133Aへ送出することにより、基板支持領域133B、さらに基板から熱を除去する。別の実施形態では、冷却源は冷蔵されたガス、液体、蒸気を熱交換領域133Aへ送出することで基板の冷却を行う。本発明の1つの態様では、冷却源は、基板を周囲温度付近にまで冷却するために使用される。   [00232] In one embodiment shown in FIG. 10D, the heat exchange source 143 also includes a cooling source 142A adapted to cool the substrate to a desired temperature. In one embodiment of the cooling source 142, the cooling source delivers liquid nitrogen to the heat exchange area 133A to remove heat from the substrate support area 133B and further from the substrate. In another embodiment of the cooling source 142, the cooling source delivers liquid nitrogen to the heat exchange region 133A to remove heat from the substrate support region 133B and the substrate. In another embodiment, the cooling source cools the substrate by delivering refrigerated gas, liquid, and vapor to the heat exchange region 133A. In one aspect of the invention, the cooling source is used to cool the substrate to near ambient temperature.

[00233]PEB板アセンブリ133の別の実施形態では、PEB板アセンブリ133を加熱または冷却するために、熱交換装置134が基部領域133C上に設置されている。本発明の1つの態様では、熱交換装置134は、複数の熱伝導ピラー133H(2つのみを図示)を介して基板支持領域133Cと熱接触している基部領域133Cを冷却する目的で使用される。この構成では、熱源131から高温の流体を注入することで基板を加熱し、熱交換装置134を使用してこれを冷却する。この構成では、基板を冷却するための冷却源142は不要である。複数の熱伝導ピラー133Hは、基板支持領域133Bから基部領域133Cへ、またはこの反対へ熱を移送できる領域である。伝導ピラー133Hはあらゆるパターン、サイズ、密度(例えば、ユニット範囲毎のピラー133Hの数)にて配列することができるので、熱を熱交換装置134へ、または熱交換装置134から均等に流すことができ、さらに、流体を熱源から均等に送出して基板支持領域133Bと連通させることができる。   [00233] In another embodiment of the PEB plate assembly 133, a heat exchange device 134 is installed on the base region 133C to heat or cool the PEB plate assembly 133. In one aspect of the invention, the heat exchanging device 134 is used to cool the base region 133C that is in thermal contact with the substrate support region 133C via a plurality of thermally conductive pillars 133H (only two shown). The In this configuration, the substrate is heated by injecting a high-temperature fluid from the heat source 131 and is cooled using the heat exchange device 134. In this configuration, the cooling source 142 for cooling the substrate is not necessary. The plurality of heat conducting pillars 133H are regions where heat can be transferred from the substrate support region 133B to the base region 133C or vice versa. Conductive pillars 133H can be arranged in any pattern, size and density (eg, the number of pillars 133H per unit range) so that heat can flow evenly into or out of heat exchanger 134. In addition, the fluid can be evenly delivered from the heat source to communicate with the substrate support region 133B.

[00234]図10Dを参照すると、本発明の1つの態様では、基板の周囲に制御された環境を形成するために、蓋アセンブリ137が、基板「W」よりも上に、PEB位置アセンブリ133の頂面133Fと接触して配置されている。一般的に、蓋アセンブリは蓋137Aと蓋アクチュエータ139を包含している。蓋アクチュエータ139は蓋137Aを昇降させるように適合されているので、リフトアセンブリ140によって基板をクラスタツールロボット(図示せず)および頂面133Fへ、またはこれらから移送することができる装置である。一実施形態では、蓋アクチュエータ139は空気シリンダである。蓋は、図10Dに示す処理位置にある場合には頂面133Fと接触しているため、基板を包囲して制御された熱環境を作成する処理領域138が形成される。   [00234] Referring to FIG. 10D, in one aspect of the present invention, the lid assembly 137 is positioned above the substrate “W” to form a controlled environment around the substrate. Arranged in contact with top surface 133F. Generally, the lid assembly includes a lid 137A and a lid actuator 139. The lid actuator 139 is a device that can transfer the substrate to and from the cluster tool robot (not shown) and the top surface 133F by the lift assembly 140 because it is adapted to raise and lower the lid 137A. In one embodiment, the lid actuator 139 is an air cylinder. Since the lid is in contact with the top surface 133F when in the processing position shown in FIG. 10D, a processing region 138 is formed that surrounds the substrate and creates a controlled thermal environment.

[00235]一実施形態では、蓋137Aの温度を制御して、基板の周囲に等角環境を形成することで、処理中の基板にわたる熱均等性を向上させるために、蓋アセンブリ137が熱交換装置137Bを包含していてもよい。この構成では、熱交換装置137Bは、蓋アセンブリ137を迅速に加熱および冷却するために、上述した様式と類似の様式で熱パイプとして作用するように適合されている。一実施形態では、熱交換装置137Bと熱交換領域133Aの両方は、基板の温度を迅速かつ均等に制御するために、熱パイプとして作用するように適合されている。別の実施形態では、熱交換装置137Bは、放射式(例えば熱ランプ)または対流式の熱移送手段(上述)を使用して、蓋アセンブリ137の温度を制御するように適合されている。   [00235] In one embodiment, the lid assembly 137 exchanges heat to improve the thermal uniformity across the substrate being processed by controlling the temperature of the lid 137A to create a conformal environment around the substrate. Device 137B may be included. In this configuration, the heat exchange device 137B is adapted to act as a heat pipe in a manner similar to that described above to quickly heat and cool the lid assembly 137. In one embodiment, both the heat exchanging device 137B and the heat exchanging region 133A are adapted to act as heat pipes to control the temperature of the substrate quickly and evenly. In another embodiment, the heat exchange device 137B is adapted to control the temperature of the lid assembly 137 using radiant (eg, heat lamps) or convective heat transfer means (described above).

[00236]蓋アセンブリ137の別の実施形態では、温度制御された処理流体を基板の表面に流し、さらに蓋出口ポート137Dから出て廃物収集装置141Bへと送出させるために、加熱された流体源141は蓋入口ポート137Cを介して処理領域138に接続している。一般的に、加熱された流体源141は流体源141A、流体加熱器141C、廃物収集装置141B(例えば、典型的には排出システムまたはスクラバ)を包含している。流体源141Aは、処理中に基板の温度を制御するためにガスまたは液体を送出できる。本発明の1つの態様では、流体源141Aは、例えばアルゴン、窒素、ヘリウムのような不活性ガスを送出する。   [00236] In another embodiment of the lid assembly 137, a heated fluid source for flowing a temperature-controlled process fluid over the surface of the substrate and further out of the lid outlet port 137D to the waste collection device 141B. 141 is connected to the processing region 138 via a lid inlet port 137C. Generally, the heated fluid source 141 includes a fluid source 141A, a fluid heater 141C, and a waste collection device 141B (eg, typically a discharge system or scrubber). The fluid source 141A can deliver a gas or liquid to control the temperature of the substrate during processing. In one aspect of the invention, fluid source 141A delivers an inert gas such as argon, nitrogen, helium, for example.

[00237]図10Dを参照すると、PEBチャンバ130は一般的にエンクロージャ136、PEB板アセンブリ133、リフトアセンブリ140を包含している。一般的に、エンクロージャ136は、PEBチャンバ130内で実行された処理を包囲する環境から隔離する複数の壁(符号136B〜D、符号135)を包含する。本発明の1つの態様では、エンクロージャは、PEBチャンバ130を包囲する環境から熱的に隔離して、汚染を最小化するように適合されている。一般的に、リフトアセンブリ147はリフトブラケット140A、アクチュエータ140B、リフトピン板140C、3本またはこれ以上のリフトピン140D(図10Dには2本のみを示す)を包含しており、これらは、延出したロボットブレード(図示せず)から離れて基板「W」を昇降させ、さらに、ロボットブレードが引き込まれると基板をPEB板アセンブリ133の表面上に設置するように適合されている。リフトピン孔132は、PEB板アセンブリ133を表面から昇降させるために、リフトピン140Dが基板にアクセスできるように構成されている。アクチュエータ140Bは、空気シリンダまたはその他の従来の使用可能な基板昇降手段であってもよい。ロボットブレード(図示せず)は、エンクロージャ136の側壁136Dに設けられた開口部136Eからエンクロージャ136に入るように適合されている。   [00237] Referring to FIG. 10D, the PEB chamber 130 generally includes an enclosure 136, a PEB plate assembly 133, and a lift assembly 140. Generally, the enclosure 136 includes a plurality of walls (reference numerals 136B-D, reference numeral 135) that are isolated from the environment surrounding the processing performed in the PEB chamber 130. In one aspect of the invention, the enclosure is adapted to be thermally isolated from the environment surrounding the PEB chamber 130 to minimize contamination. Generally, the lift assembly 147 includes a lift bracket 140A, an actuator 140B, a lift pin plate 140C, three or more lift pins 140D (only two are shown in FIG. 10D), which are extended. It is adapted to raise and lower the substrate “W” away from the robot blade (not shown) and to place the substrate on the surface of the PEB plate assembly 133 when the robot blade is retracted. The lift pin holes 132 are configured to allow the lift pins 140D to access the substrate in order to raise and lower the PEB plate assembly 133 from the surface. Actuator 140B may be an air cylinder or other conventionally usable substrate lifting means. A robot blade (not shown) is adapted to enter the enclosure 136 through an opening 136E provided in the side wall 136D of the enclosure 136.

可変熱移送弁
[00238]図11Aは、基板を迅速に加熱および冷却するために使用できる板アセンブリの一実施形態を図示する側面図である。これ以降で使用する用語「板アセンブリ」とは、一般的に、この構成から利益を得るように適合することが可能なPEB板アセンブリ133、冷蔵板アセンブリ83、ベーク板アセンブリ93、またはHMDSベーク板アセンブリ73の実施形態を説明することを意図する。図11Aを参照すると、一実施形態において、板アセンブリ250は、処理中に基板「W」と熱的に連通するブロック表面254Aを有する伝導ブロック254、冷却領域253、伝導ブロック254と冷却領域253の間に形成された隙間259、入口領域257、出口領域258、流体送出システム275を包含している。
Variable heat transfer valve
[00238] FIG. 11A is a side view illustrating one embodiment of a plate assembly that can be used to rapidly heat and cool a substrate. As used hereinafter, the term “plate assembly” generally refers to a PEB plate assembly 133, refrigeration plate assembly 83, bake plate assembly 93, or HMDS bake plate that can be adapted to benefit from this configuration. It is intended to describe an embodiment of assembly 73. Referring to FIG. 11A, in one embodiment, plate assembly 250 includes conductive block 254, cooling region 253, conductive block 254, and cooling region 253 having a block surface 254A that is in thermal communication with substrate “W” during processing. It includes a gap 259 formed therebetween, an inlet region 257, an outlet region 258, and a fluid delivery system 275.

[00239]伝導ブロック254は基板を支持するために使用されており、ブロック表面254Aと熱的に連通している基板を加熱するように適合された加熱板255を包含する。伝導ブロック254は熱伝導材料、例えばアルミニウム、銅、グラファイト、窒化アルミニウム、窒化ボロン、および/または他の材料から作られてもよい。加熱装置255は、伝導ブロック254を加熱するために使用される抵抗性加熱器または熱電装置であってもよい。別の実施形態では、加熱装置255は、伝導ブロック254(図示せず)の表面に形成された複数のチャネルで構成されており、これらのチャネルは内部に継続的に流れる熱交換流体を使用して温度制御される。流体温度コントローラ(図示せず)は熱交換流体、さらに伝導ブロック254の温度を制御するように適合されている。熱交換流体は、例えば、温度約30〜250℃に温度制御されたパーフルオロポリエーテル(例えばGalden(登録商標))であってもよい。さらに、熱交換流体は、アルゴンや窒素といった温度制御されたガスであってもよい。   [00239] Conductive block 254 is used to support the substrate and includes a heating plate 255 adapted to heat the substrate in thermal communication with block surface 254A. Conductive block 254 may be made from a thermally conductive material, such as aluminum, copper, graphite, aluminum nitride, boron nitride, and / or other materials. The heating device 255 may be a resistive heater or thermoelectric device that is used to heat the conductive block 254. In another embodiment, the heating device 255 is comprised of a plurality of channels formed in the surface of the conduction block 254 (not shown), which use a heat exchange fluid that flows continuously therein. Temperature controlled. A fluid temperature controller (not shown) is adapted to control the temperature of the heat exchange fluid as well as the conduction block 254. The heat exchange fluid may be, for example, a perfluoropolyether (eg, Galden®) temperature controlled at a temperature of about 30-250 ° C. Further, the heat exchange fluid may be a temperature controlled gas such as argon or nitrogen.

[00240]冷却領域253は、板アセンブリ250の或る範囲であり、この範囲は、伝導作業流体が流体送出システム275によって隙間259へ送出された際に伝導ブロック254を冷却するために、隙間259によって伝導ブロック254から隔離され、低温に維持される。冷却領域253は、板アセンブリ250のこの範囲を冷却するために使用される冷却装置265を包含している。冷却領域253は熱伝導材料、例えばアルミニウム、銅、グラファイト、窒化アルミニウム、窒化ボロン、および/または他の材料から作られてもよい。冷却装置265は、冷却領域253を冷却するために使用される熱電素子であってもよい。別の実施形態では、冷却装置265は、冷却領域253の表面に形成された複数のチャネル(図示せず)で構成されており、これらのチャネルは内部に継続的に流れる熱交換流体を使用して温度制御される。流体温度コントローラ(図示せず)は熱交換流体、さらに冷却領域253の温度を制御するように適合されている。熱交換流体は、例えば約5〜20℃に温度制御されたパーフルオロポリエーテル(例えばGalden(登録商標))であってもよい。さらに、熱交換流体は、温度制御されたアルゴンや窒素といったガスであってもよい。   [00240] The cooling region 253 is an area of the plate assembly 250 that is used to cool the conduction block 254 as the conductive working fluid is delivered to the gap 259 by the fluid delivery system 275. Is isolated from the conduction block 254 and maintained at a low temperature. The cooling region 253 includes a cooling device 265 that is used to cool this area of the plate assembly 250. The cooling region 253 may be made from a thermally conductive material, such as aluminum, copper, graphite, aluminum nitride, boron nitride, and / or other materials. The cooling device 265 may be a thermoelectric element that is used to cool the cooling region 253. In another embodiment, the cooling device 265 is composed of a plurality of channels (not shown) formed in the surface of the cooling region 253, which use a heat exchange fluid that flows continuously therein. Temperature controlled. A fluid temperature controller (not shown) is adapted to control the temperature of the heat exchange fluid as well as the cooling zone 253. The heat exchange fluid may be, for example, a perfluoropolyether (eg, Galden®) temperature controlled at about 5-20 ° C. Further, the heat exchange fluid may be a temperature controlled gas such as argon or nitrogen.

[00241]流体送出システム275は、一般的に、伝導ブロック254と冷却領域253の間に形成された隙間259へ伝導作業流体を送出するように適合された流体送出源270を包含する。流体送出システム275は、伝導作業流体を流体送出システム275から入口領域257を介して隙間259へ流し、次に出口領域258から排出させる。排出された伝導作業流体は流体送出システム275へ戻る。そのため、伝導作業流体は、処理内の異なる段階の最中に、基板の加熱および冷却を行うべく、冷却領域253と伝導ブロック254の間の熱電対を増加させる目的で使用される。伝導作業流体は、伝導ブロック254と冷却領域253の間の熱電対を増加させることが可能な液体、蒸気、ガスであってもよい。一実施形態では、伝導作業流体は、ガリウム、インジウム、錫(例えばガリンスタン)の液体金属合金;水銀(HG);ガルデン;ポリエチレングリコールといった液体である。別の実施形態では、伝導作業流体はヘリウム、アルゴン、二酸化炭素(CO)のようなガスである。 [00241] The fluid delivery system 275 generally includes a fluid delivery source 270 adapted to deliver a conductive working fluid to a gap 259 formed between the conductive block 254 and the cooling region 253. The fluid delivery system 275 causes the conductive working fluid to flow from the fluid delivery system 275 via the inlet region 257 to the gap 259 and then drain from the outlet region 258. The discharged conductive working fluid returns to the fluid delivery system 275. As such, the conductive working fluid is used to increase the thermocouple between the cooling region 253 and the conductive block 254 to heat and cool the substrate during different stages in the process. The conductive working fluid may be a liquid, vapor, or gas that can increase the thermocouple between the conductive block 254 and the cooling region 253. In one embodiment, the conductive working fluid is a liquid metal alloy of gallium, indium, tin (eg, galinstan); mercury (HG); galden; polyethylene glycol. In another embodiment, the conductive working fluid is a gas such as helium, argon, carbon dioxide (CO 2 ).

[00242]一実施形態では、板アセンブリ250は、PEBステップ540を実行するために、例えばPEBチャンバ内で基板をベークするべく使用される。この構成では、最初に、伝導作業流体が隙間259を流れている間に基板がブロック表面254Aへ送出され、これにより冷却領域253が伝導ブロック254と連通することで、ブロック表面が低温に維持される。基板がブロック表面254Aと接触すると、伝導作業流体がその流れを停止され、隙間259から除去される。これにより、冷却領域253が伝導ブロック254から分断される。一実施形態では、ガス源272を使用して、残余している伝導作業流体を流体移送システム275へ強制的に戻す。次に、加熱装置255から送出されたエネルギーによって、伝導ブロック254をその所望の処理温度に達するまで加熱する。所望の処理温度を一定時間だけ維持した後に、伝導ブロック254と冷却領域253の間の熱電対によって伝導ブロック254を冷却するために、加熱装置255が遮断され、伝導作業流体が隙間259へ送出される。基板は所望の温度に達すると処理チャンバから除去される。   [00242] In one embodiment, the plate assembly 250 is used to perform a PEB step 540, for example, to bake a substrate in a PEB chamber. In this configuration, first, the substrate is delivered to the block surface 254A while the conductive working fluid flows through the gap 259, whereby the cooling region 253 communicates with the conductive block 254, thereby maintaining the block surface at a low temperature. The When the substrate contacts the block surface 254A, the conductive working fluid stops its flow and is removed from the gap 259. Thereby, the cooling region 253 is separated from the conductive block 254. In one embodiment, gas source 272 is used to force the remaining conductive working fluid back to fluid transfer system 275. Next, the conduction block 254 is heated by the energy delivered from the heating device 255 until it reaches its desired processing temperature. After maintaining the desired processing temperature for a certain period of time, the heating device 255 is shut off and the conductive working fluid is delivered to the gap 259 to cool the conductive block 254 by a thermocouple between the conductive block 254 and the cooling region 253. The The substrate is removed from the processing chamber when the desired temperature is reached.

[00243]図11Aに示す板アセンブリ250の一実施形態では、ビードブラスティング、ローレット切りなどの機械工作処理のような機械製作処理を使用して、ブロック表面256を粗くすることで、伝導ブロック254材料が熱衝撃によって損傷する機会を低減し、冷却領域253が伝導ブロック254に結合する表面範囲を拡大している。   [00243] In one embodiment of the plate assembly 250 shown in FIG. 11A, a conductive block 254 is made by roughening the block surface 256 using a machining process such as a bead blasting, knurling, or other machining process. This reduces the chance that the material will be damaged by thermal shock and expands the surface area where the cooling region 253 is bonded to the conductive block 254.

PEB処理エンドポイント検出システム
[00244]ベークチャンバ、PEBチャンバおよび/またはHMDS処理チャンバ内での処理時間を短縮し、処理結果の繰り返し可能性を向上させる試みにおいては、エンドポイント検出器をチャンバ内に統合することで、処理が完了、またはほぼ完了したので次の冷蔵チャンバ80への移送が可能である旨をシステムコントローラ101に通知することができる。この設計により、処理を必要以上に長く実行し続けたり、「オーバーベーク」する必要が最小化する上に、チャンバ処理が完了したことを確認することも可能になる。この処理は、露光中に生成された有機酸がフォトレジストの露光されていない部分を攻撃することを防止する上で、PEBチャンバにおいて特に重要な処理である。
PEB processing endpoint detection system
[00244] In an attempt to reduce processing time in the bake chamber, PEB chamber and / or HMDS processing chamber and improve repeatability of processing results, the endpoint detector is integrated into the chamber to Can be notified to the system controller 101 that the transfer to the next refrigeration chamber 80 is possible. This design minimizes the need to keep the process running longer than necessary, or “overbake”, and also allows confirmation that the chamber process is complete. This process is particularly important in PEB chambers in preventing organic acids produced during exposure from attacking unexposed portions of the photoresist.

[00245]この問題を解決するために、一実施形態では、先に識別された先に堆積または露光されたフォトレジスト層の表面上にあるガスおよび蒸気中のPEB、HMDS、ベークチャンバ反応副次物の濃度を測定することにより、処理エンドポイントを決定する。図12Aは、基板「W」のフォトレジスト層表面(図示せず)から拡散された副次物の濃度の変化を検出するよう適合されたエンドポイント検出システム190の一実施形態を図示する。この構成では、レーザ191がビーム(符号「A」を参照)を放出する。このビームは、検出器192が受信した信号の強度を、処理ステップ中にフォトレジスト表面上のガスまたは蒸気中に拡散される副次物との相互作用によって低減させるように波長を調整してから放出される。また、レーザの波長と強度は、レーザが潜在的にフォトレジストをそれ以上露光しないようにする形にも調整されている。一般に、典型的なフォトレジスト処理副次物とは、例えば、炭化水素を包含する材料および二酸化炭素(CO2)である。フォトレジストから生じたCO2または他の有機分解生成物の濃度の変化によって生じた強度のばらつきから、エンドポイントを推測できる。レーザで放出される1つ以上の波長は約500〜4000nmであってもよい。一実施形態では、二酸化炭素の凝縮が検出された場合、レーザの波長は約1960nmであり、この波長は従来のレーザダイオード容易に達成することができる。別の実施形態では、レーザで放出されるビームの波長は4230nmである。   [00245] To solve this problem, in one embodiment, PEB, HMDS, bake chamber reaction by-products in gases and vapors on the surface of previously identified or previously-deposited photoresist layers. The treatment endpoint is determined by measuring the concentration of the product. FIG. 12A illustrates one embodiment of an endpoint detection system 190 adapted to detect changes in the concentration of by-products diffused from the photoresist layer surface (not shown) of the substrate “W”. In this configuration, laser 191 emits a beam (see reference “A”). The beam is tuned to reduce the intensity of the signal received by detector 192 by interaction with by-products that are diffused into the gas or vapor on the photoresist surface during the processing step. Released. The wavelength and intensity of the laser are also adjusted to prevent the laser from potentially exposing the photoresist further. In general, typical photoresist processing by-products are, for example, materials including hydrocarbons and carbon dioxide (CO2). The endpoint can be inferred from intensity variations caused by changes in the concentration of CO2 or other organic degradation products generated from the photoresist. The one or more wavelengths emitted by the laser may be about 500-4000 nm. In one embodiment, if carbon dioxide condensation is detected, the wavelength of the laser is about 1960 nm, which can be easily achieved with conventional laser diodes. In another embodiment, the wavelength of the beam emitted by the laser is 4230 nm.

[00246]図12Aは、ベークチャンバ、PEBチャンバ、HMDS処理チャンバ(要素199を参照)の側面図である。これらの処理チャンバは、基板の表面上に包含されたフォトレジストの表面のすぐ上を交差するビームを放出するレーザ191を包含している。この構成では、レーザ191と検出器192は、放出されたビームが板アセンブリ193上に保持された基板「W」の表面のフォトレジスト層と平行し、このフォトレジスト層にごく接近して走行できる形で搭載されている。板アセンブリ193は、例えば、上述したベーク、PEB、HMDS処理ステップ中に基板の処理に使用されるPEB板アセンブリ133またはベーク板アセンブリ93であってもよい。生じた副次物の濃度はフォトレジストの表面のすぐ上において最も高いため、この構成では、一般的にエンドポイント検出システム190は、ガスまたは蒸気中の副次物の濃度の変化に最も良く反応する。この構成の利点は、フォトレジストの表面にビーム投射を行うことで、検出される強度の変化が、ビームの全長にかけてこれを通る副次物量の合計によって表されることである。この方法は、より低い信号対雑音比を提供し、さらにこの処理の異なる段階の最中に処理のばらつきを修正する。   [00246] FIG. 12A is a side view of a bake chamber, PEB chamber, HMDS processing chamber (see element 199). These processing chambers include a laser 191 that emits a beam that intersects just above the surface of the photoresist included on the surface of the substrate. In this configuration, the laser 191 and the detector 192 can travel in close proximity to the photoresist layer on the surface of the substrate “W” on which the emitted beam is held on the plate assembly 193. It is mounted in the form. The plate assembly 193 may be, for example, a PEB plate assembly 133 or a bake plate assembly 93 that is used to process substrates during the bake, PEB, and HMDS processing steps described above. In this configuration, the endpoint detection system 190 generally reacts best to changes in the concentration of by-products in the gas or vapor because the concentration of by-products produced is highest just above the surface of the photoresist. To do. The advantage of this configuration is that by projecting the beam onto the surface of the photoresist, the detected intensity change is represented by the total amount of by-products that pass through the entire length of the beam. This method provides a lower signal-to-noise ratio and corrects for process variations during the different stages of the process.

[00247]エンドポイント検出器の別の実施形態では、レーザを使用してフォトレジスト層の厚さを決定し、および/または、処理のエンドポイントを決定するためにフォトレジスト層の反射指数の変化を感知する。図12Bは、フォトレジスト層の厚さの測定、および/またはフォトレジスト層の反射指数の変化の感知に使用できるエンドポイント検出システム198の一実施形態を図示している。一般的に、エンドポイント検出システム198は、レーザ194、ビームスプリッタ195、検出器196を包含する。図12Bに示す一実施形態では、エンドポイント検出システム198は光ファイバケーブル197をさらに包含している。この光ファイバケーブル197により、レーザ194、ビームスプリッタ195、検出器196を基板の表面の上の処理領域199Aから望ましい距離に位置決めすることが可能になる。   [00247] In another embodiment of the endpoint detector, a laser is used to determine the thickness of the photoresist layer and / or the change in the reflectance index of the photoresist layer to determine the processing endpoint. Sense. FIG. 12B illustrates one embodiment of an endpoint detection system 198 that can be used to measure the thickness of the photoresist layer and / or sense changes in the reflectance index of the photoresist layer. In general, the endpoint detection system 198 includes a laser 194, a beam splitter 195, and a detector 196. In one embodiment shown in FIG. 12B, endpoint detection system 198 further includes a fiber optic cable 197. This fiber optic cable 197 allows the laser 194, beam splitter 195, and detector 196 to be positioned at a desired distance from the processing region 199A on the surface of the substrate.

[00248]エンドポイント検出処理の一実施形態では、処理中にフォトレジストの厚さおよび/または反射指数の変化を監視できるよう、レーザが複数の波長を放出するように設計されている。フォトレジストの厚さは、処理中にフォトレジストの厚さと反射指数の変化として変化するマルチ波長干渉パターンの変化を検出することで測定される。エンドポイント検出処理の一実施形態では、レーザ194がビームスプリッタ195に放射線を放出すると、レーザ194から放出された一定の割合の放射線がビームスプリッタ195を直接通り光ファイバケーブル197に到達する。次に、光ファイバケーブル197が、放出されたエネルギーを基板の表面に向ける。すると、放出された放射線がフォトレジスト層(符号「P」)の表面および/または基板の表面にて反射、散乱または吸収される。次に、反射された放射線のうち一定の割合が再び光ファイバケーブル197へ戻り、ここで放射線がビームスプリッタ195に向けられる。ビームスプリッタ195は反射された放射線のうち一定の割合を検出器196へ反射させ、ここで入射放射線が検出される。   [00248] In one embodiment of the endpoint detection process, the laser is designed to emit multiple wavelengths so that changes in photoresist thickness and / or reflection index can be monitored during the process. Photoresist thickness is measured by detecting changes in the multi-wavelength interference pattern that change as changes in photoresist thickness and reflection index during processing. In one embodiment of the endpoint detection process, when the laser 194 emits radiation to the beam splitter 195, a certain percentage of the radiation emitted from the laser 194 passes directly through the beam splitter 195 and reaches the fiber optic cable 197. A fiber optic cable 197 then directs the released energy to the surface of the substrate. The emitted radiation is then reflected, scattered or absorbed at the surface of the photoresist layer (reference “P”) and / or the surface of the substrate. Next, a certain percentage of the reflected radiation is returned to the fiber optic cable 197 where the radiation is directed to the beam splitter 195. The beam splitter 195 reflects a certain proportion of the reflected radiation to the detector 196, where incident radiation is detected.

[00249]上述した実施形態のいずれかを使用して、処理のエンドポイントがいつ発生したかを検出するために、検出された信号を、先に処理された基板から収集した信号またはデータと比較してもよい。一実施形態では、確信できるエンドポイントの検出を行うには、その前に処理後測定値を得ておく必要がある。図12Cは、先に処理したウェーハから収集されたデータを使用して、エンドポイント検出処理を最適化する方法を図示している。この方法では、2枚以上の基板からのエンドポイント信号を参照のため記録する、あるいは、システムコントローラ101のメモリに記憶する必要がある(符号Aを参照)。次に、エンドポイント信号を理想的な処理と比較する方法を決定するために、2枚以上の基板が十分に処理され、検査される(符号Bを参照)。その後、この検査データを使用して、理想的な処理時間と実際のエンドポイント信号とが決定され、今度はこれをチャンバ内で処理される後続の基板に使用して、実際の処理の最後が決定される(符合Cを参照)。   [00249] Using any of the embodiments described above, the detected signal is compared with the signal or data collected from the previously processed substrate to detect when the processing endpoint has occurred. May be. In one embodiment, post-process measurements need to be obtained before confident endpoint detection can occur. FIG. 12C illustrates a method for optimizing the endpoint detection process using data collected from previously processed wafers. In this method, endpoint signals from two or more substrates need to be recorded for reference or stored in the memory of the system controller 101 (see reference A). Next, two or more substrates are fully processed and inspected (see reference B) to determine how to compare the endpoint signal with ideal processing. This inspection data is then used to determine the ideal processing time and actual endpoint signal, which in turn is used for subsequent substrates that are processed in the chamber, Determined (see symbol C).

最小の接触での熱移送設計の向上
[00250]冷蔵チャンバ、ベークチャンバ、PEBチャンバ、および/またはHMDS処理チャンバの処理時間を短縮することによってシステムスループットを増加するために、様々な方法を採用して基板と熱交換装置の熱電対を増加させる。基板の表面と板アセンブリの表面(例えばPEB板アセンブリ133、冷蔵板アセンブリ83など)との接触を増加することで、熱電対が増加し、基板が所望の処理温度に達するまでの時間が短縮される。しかしその一方で、基板の裏面で生成される粒子の数を増加させることにより、露光処理結果さらに装置の生産性に影響するという理由から、接触の増加は望ましくないことが多い。
Improved heat transfer design with minimal contact
[00250] In order to increase the system throughput by reducing the processing time of the refrigeration chamber, bake chamber, PEB chamber, and / or HMDS processing chamber, various methods are employed to couple the thermocouples of the substrate and heat exchanger. increase. Increasing contact between the surface of the substrate and the surface of the plate assembly (eg, PEB plate assembly 133, refrigerated plate assembly 83, etc.) increases the thermocouple and reduces the time for the substrate to reach the desired processing temperature. The However, on the other hand, increasing contact is often undesirable because increasing the number of particles produced on the backside of the substrate affects the result of the exposure process and further the productivity of the apparatus.

[00251]基板の裏面における粒子の生成を低減するためには、基板を板アセンブリの表面から離間させる一列の突起を使用して、基板と板アセンブリの表面との接触を最小化することができる。突起は、生成される粒子の数を低減する一方で、基板と板アセンブリの間の熱電対を低減する傾向にある。そのため、多くの場合、板アセンブリの表面からの突起の高さを最小化して熱電対を向上させる一方で、さらに、基板が板アセンブリの表面に触れないようにすることが望ましい。従来技術の用途は、典型的には、板アセンブリの表面に機械工作した孔の中に押し込むまたは設置されたサファイアの球体を、突起として作用させるべく使用するものであった。サファイアと板アセンブリの表面の間に十分に優れた高さ制御を機械工作によって達成することは困難である場合が多い。それは、この技術では、基板が板アセンブリの表面と接触しないようこれを非常に平坦にする必要があるためである。これらの問題は、球体またはピンを維持する表面特徴を形成するのに必要な機械工作動作の全てが何らかの基準データを参照し、板アセンブリの表面トポロジーのばらつきを考慮しないために起こる。この問題は、板アセンブリの表面から突起の高さが約30マイクロメートルである場合に特に重要となる。   [00251] To reduce the production of particles on the backside of the substrate, a row of protrusions that separate the substrate from the surface of the plate assembly can be used to minimize contact between the substrate and the surface of the plate assembly. . The protrusions tend to reduce the thermocouple between the substrate and plate assembly while reducing the number of particles produced. Thus, in many cases, it is desirable to minimize the height of the protrusions from the surface of the plate assembly to improve the thermocouple while still preventing the substrate from touching the surface of the plate assembly. Prior art applications have typically used sapphire spheres that are pushed or placed into holes machined in the surface of the plate assembly to act as protrusions. It is often difficult to achieve sufficiently good height control between the surface of the sapphire and the plate assembly by machining. This is because this technique requires that the substrate be very flat so that it does not contact the surface of the plate assembly. These problems occur because all of the machining operations required to create the surface features that maintain the spheres or pins refer to some reference data and do not take into account variations in the surface topology of the plate assembly. This problem becomes particularly important when the height of the protrusion is about 30 micrometers from the surface of the plate assembly.

[00252]図13Aを参照すると、これらの競合する問題を解決するために、一実施形態では、板アセンブリ170の表面上に一列の精密に制御された小さな接触範囲突起171を形成し、また、基板を板アセンブリの方へ付勢することで基板と板アセンブリの間の熱電対を向上させている。基板の付勢は、真空チャック装置、静電チャック装置、またはこれ以外の、基板を板アセンブリに対して強制的に押圧する従来の方法を使用して行える。CVDおよび/またはPVD堆積処理を使用して、1列の精密に制御された小さな接触範囲突起171を形成することができる。また、CVDおよび/またはPVD堆積処理を使用して、制御されたサイズの薄膜材料を板アセンブリの表面上に所望の高さで均等に堆積させることができる。板アセンブリ170の表面上に突起171を形成するべく堆積する材料は、酸化シリコン(SiO)、シリコン(Si)、金属(例えばニッケル、チタン、窒化チタン、モリブデン、タングステンなど)、セラミック材料、重合体材料(例えばポリイミド、テフロンなど)であるか、またはこれ以外の、ほぼ変形することなく付勢力に耐え得る十分な硬性を有し、基板の裏面(例えばダイヤモンド、ダイヤモンド状硬質炭素、窒化ボロン)との相互作用によって簡単に摩削しない材料であってもよい。このアプローチは、板アセンブリ表面よりも上に位置する突起の高さを、最新構造の突起高さの約十分の一(例えば1/10)の高さに制御できるため有利である。突起の高さを低減することで、熱移送速度を増すことが可能であるため、ウェーハを遥かに高速に加熱できるようになり、これにより、ウェーハが最終温度に遷移するまでにかかる時間が短縮され、拡散および化学反応のばらつきを低減できる。さらに、ウェーハと加熱気の間の熱電対がより接近することで、他のチャンバの不均等性の熱衝撃が低減する。このアプローチの別の利点は、より多くの突起171を使用することで、基板バウの大きさを低減できる。これは、基板に外部圧力が印加された際に基板バウが突起間の距離の4番目の力に反比例するためである。各突起171の板アセンブリの表面からの高さが公称的に同一であり、基板が、突起間に最小のバウを設けた状態で、アセンブリの表面よりも上の位置に均等に持着されているため、板アセンブリから基板までの熱移送が均等になる。したがってこの設計は、基板の温度を高速かつ均等に目標温度にする一方で、普通の真空チャックに固有の基板裏面における粒子生成を最小化する。 [00252] Referring to FIG. 13A, to solve these competing problems, in one embodiment, a row of precisely controlled small contact area projections 171 is formed on the surface of the plate assembly 170, and Biasing the substrate toward the plate assembly improves the thermocouple between the substrate and the plate assembly. The substrate can be biased using a vacuum chuck device, an electrostatic chuck device, or other conventional method of forcing the substrate against the plate assembly. A row of precisely controlled small contact area protrusions 171 can be formed using CVD and / or PVD deposition processes. Also, CVD and / or PVD deposition processes can be used to deposit a controlled size thin film material evenly at the desired height on the surface of the plate assembly. The materials to be deposited to form the protrusions 171 on the surface of the plate assembly 170 are silicon oxide (SiO 2 ), silicon (Si), metal (eg, nickel, titanium, titanium nitride, molybdenum, tungsten, etc.), ceramic material, heavy It is a coalesced material (for example, polyimide, Teflon, etc.) or has sufficient hardness that can withstand the urging force with almost no deformation, and the back surface of the substrate (for example, diamond, diamond-like hard carbon, boron nitride) It may be a material that is not easily abraded by the interaction with the. This approach is advantageous because the height of the protrusions located above the plate assembly surface can be controlled to a height that is approximately one tenth (eg, 1/10) of the protrusion height of the current structure. By reducing the height of the protrusions, the heat transfer rate can be increased, allowing the wafer to be heated much faster, which reduces the time it takes for the wafer to transition to the final temperature. And dispersion of chemical diffusion and chemical reaction can be reduced. Furthermore, the closer thermocouple between the wafer and the heating air reduces the non-uniform thermal shock of other chambers. Another advantage of this approach is that the substrate bow size can be reduced by using more protrusions 171. This is because the substrate bow is inversely proportional to the fourth force of the distance between the protrusions when an external pressure is applied to the substrate. The height of each protrusion 171 from the surface of the plate assembly is nominally the same, and the substrate is evenly held at a position above the surface of the assembly with a minimum bow between the protrusions. Therefore, the heat transfer from the plate assembly to the substrate becomes uniform. This design thus minimizes particle generation on the backside of the substrate that is typical of ordinary vacuum chucks, while the substrate temperature is quickly and evenly targeted.

[00253]一実施形態では、板アセンブリの表面よりも上の位置にマスク(図示せず)を設置することで突起171を形成する。これにより、マスクに形成された特徴部または孔を使用して、画成された特定の範囲上にCVDまたはPVD材料を堆積させられるようになる。こうすることで、マスクに形成された特徴と突起の高さによりサイズが制御され、従来のPVDまたはCVD処理堆積速度を使用して板アセンブリの表面上に特定量の材料を堆積させるようにすることで、突起の高さを制御できる。一実施形態では、PVDまたはCVD処理によって堆積させた突起171の厚さは約100マイクロメートルである。   [00253] In one embodiment, the protrusion 171 is formed by placing a mask (not shown) at a position above the surface of the plate assembly. This allows CVD or PVD material to be deposited over a specific defined area using features or holes formed in the mask. This allows the size to be controlled by the features formed on the mask and the height of the protrusions so that a specific amount of material is deposited on the surface of the plate assembly using conventional PVD or CVD process deposition rates. Thus, the height of the protrusion can be controlled. In one embodiment, the protrusion 171 deposited by PVD or CVD process has a thickness of about 100 micrometers.

[00254]図13C、図13Dは、選択的なCVD堆積処理を使用して所望の高さの突起を堆積させる実施形態を図示している。この構成では、例えば、テフロンコートしたアルミニウムで作成した板アセンブリ170の板アセンブリ表面170A内に、二酸化シリコンまたはダイヤモンドシード結晶182A層を埋め込んでいる。この構成では、従来のCVD処理を、二酸化シリコンまたはダイヤモンドの膜182Bをシード結晶182A上に選択的に堆積させるように適合することができる。この実施形態では、シード結晶182Aを、その頂面が板アセンブリ表面170Aとほぼ同一平面を成すように板アセンブリ170A内に埋め込むことができる。本発明の1つの態様では、挿入ツールを使用して、シード結晶182Aを繰り返し据付け、これが板アセンブリの表面170Aと同一平面を成すようにしている。この挿入ツールは、比較的圧縮され難く、平坦で、研磨した面を有する材料で作成されるべきである。また、挿入ツールは、少なくともシード結晶182Aの材料と同じ硬さを有する板アセンブリ内へ挿入される最中に、シード結晶と接触する作業面(図示せず)を有している必要がある。   [00254] FIGS. 13C and 13D illustrate an embodiment in which a selective CVD deposition process is used to deposit protrusions of a desired height. In this configuration, for example, a silicon dioxide or diamond seed crystal 182A layer is embedded in the plate assembly surface 170A of a plate assembly 170 made of Teflon-coated aluminum. In this configuration, a conventional CVD process can be adapted to selectively deposit a silicon dioxide or diamond film 182B on the seed crystal 182A. In this embodiment, seed crystal 182A may be embedded in plate assembly 170A such that its top surface is substantially flush with plate assembly surface 170A. In one aspect of the present invention, an insertion tool is used to repeatedly install the seed crystal 182A so that it is flush with the surface 170A of the plate assembly. The insertion tool should be made of a material that is relatively uncompressed, has a flat, polished surface. The insertion tool should also have a working surface (not shown) that contacts the seed crystal during insertion into a plate assembly having at least the same hardness as the material of the seed crystal 182A.

[00255]図13Aは、冷蔵チャンバ80、ベークチャンバ90、PEBチャンバ130、および/またはHMDS処理チャンバ70内で使用できる加熱/冷却アセンブリ180の一実施形態を図示している。一実施形態では、加熱/冷却アセンブリ180は板アセンブリ170と真空源175を包含し、これらは処理チャンバ186内に搭載されている。一般的に板アセンブリ170は、板170B、板アセンブリ表面170A、突起171、真空源ポートアセンブリ172を包含している。この構成では、真空源175が真空ポートプレナム172B内に負の圧力を作成して、板アセンブリ170の表面に形成された複数の真空ポート172A内に空気を流動させる。これにより、基板の表面で作成される圧力が低減し、基板が突起171の表面へと付勢される。板170Bは、アルミニウム、銅、グラファイト、窒化アルミニウム、窒化ボロン、および/または他の材料のような熱伝導材料から作ることが可能であり、また、熱交換装置183Aと連通している。図13Aは、上述の冷蔵チャンバ80、ベークチャンバ90、PEBチャンバ130、および/またはHMDS処理チャンバ70の図面に示したものとは形状の異なる熱交換装置183Aを図示している。この実施形態は、上述した全ての特徴を組み込むことを意図する。   [00255] FIG. 13A illustrates one embodiment of a heating / cooling assembly 180 that may be used in the refrigeration chamber 80, bake chamber 90, PEB chamber 130, and / or HMDS processing chamber 70. In one embodiment, the heating / cooling assembly 180 includes a plate assembly 170 and a vacuum source 175 that are mounted within the processing chamber 186. In general, the plate assembly 170 includes a plate 170B, a plate assembly surface 170A, a protrusion 171 and a vacuum source port assembly 172. In this configuration, the vacuum source 175 creates a negative pressure in the vacuum port plenum 172B, causing air to flow into the plurality of vacuum ports 172A formed on the surface of the plate assembly 170. This reduces the pressure created on the surface of the substrate and biases the substrate toward the surface of the protrusion 171. Plate 170B can be made from a thermally conductive material, such as aluminum, copper, graphite, aluminum nitride, boron nitride, and / or other materials, and is in communication with heat exchanger 183A. FIG. 13A illustrates a heat exchanging device 183A having a different shape from that of the refrigeration chamber 80, bake chamber 90, PEB chamber 130, and / or HMDS processing chamber 70 described above. This embodiment is intended to incorporate all the features described above.

[00256]一実施形態では、板アセンブリ170はさらにガス源ポートアセンブリ173とガス源174を包含しており、処理中に基板の端を浄化することによって、基板の後ろで生成される圧力が低減したことにより、気化した溶液蒸気が板アセンブリ表面170Aまたは基板の裏面に堆積することを防止する。(例えば、真空チャック構成)この構成では、ガス源174を使用して、ガスポートプレナム173B内に正の圧力を作成し、板アセンブリ170の表面に形成された複数のガスポート173Aからガスを流出させている。一実施形態では、ガス源174は、アルゴン、キセノン、ヘリウム、窒素、および/またはクリプトンのような不活性ガスを基板の端へ送出するように適合されている。一実施形態では、またガス源174は、基板の端へ流体を送出するようにも適合されている。   [00256] In one embodiment, the plate assembly 170 further includes a gas source port assembly 173 and a gas source 174 to reduce the pressure generated behind the substrate by cleaning the edge of the substrate during processing. This prevents vaporized solution vapor from depositing on the plate assembly surface 170A or the back surface of the substrate. (Eg, vacuum chuck configuration) In this configuration, gas source 174 is used to create a positive pressure in gas port plenum 173B and outflow gas from a plurality of gas ports 173A formed on the surface of plate assembly 170. I am letting. In one embodiment, the gas source 174 is adapted to deliver an inert gas such as argon, xenon, helium, nitrogen, and / or krypton to the edge of the substrate. In one embodiment, the gas source 174 is also adapted to deliver fluid to the edge of the substrate.

[00257]図13Bは、突起171の頂部に基板が載っていない状態にある板アセンブリ170の表面の平面図を図示することで、突起171(33個を図示)、真空ポート172A(最大367を図示)、ガスポート173A(最大360を図示)の1つの使用可能な構成を図示している。一般に、接触範囲を最小化し、基板と板アセンブリ表面170Aの間の隙間をほぼ均等化するために、板アセンブリ170の表面にかけて複数の突起171どうしが離間している。複数の真空ポート172Aは板アセンブリ170の表面にかけて、かつその周囲にわたって離間しているので、基板を板アセンブリ170へ付勢し、それにより基板と板アセンブリ表面170Aの間の隙間をほぼ均等にすることができる。図13Bに示す一実施形態では、真空ポートの内部アレイ172A(符号「A」)は、ガスポートの外部アレイ173A(符号「B」)と鏡象になっている。この場合、内部アレイ「A」の直径は基板直径よりも小さく、外部アレイ「B」の直径は基板直径と等しいか、あるいはこれよりも大きい。一実施形態では、突起171の形成に使用される堆積させたCVDまたはPVD材料の小さな隆起部を(図示せず)、真空ポートの内部アレイ172Aとガスポートの外部アレイ173Aの間に設置していることで、板の縁を浄化するのに必要なガスの量が最小化される。図13A〜図13Bはまた、リフトアセンブリ87と、基板を板アセンブリ表面170Aから持ち上げるために板アセンブリ表面170Aにかけて延びているリフトピン孔189とを有する構成を図示している。   [00257] FIG. 13B illustrates a plan view of the surface of the plate assembly 170 with no substrate resting on top of the protrusions 171 so that protrusions 171 (33 shown), vacuum ports 172A (up to 367 are shown). Illustrated), one possible configuration of a gas port 173A (maximum 360 illustrated) is illustrated. In general, the plurality of protrusions 171 are spaced apart from the surface of the plate assembly 170 to minimize the contact area and substantially equalize the gap between the substrate and the plate assembly surface 170A. The plurality of vacuum ports 172A are spaced across and around the surface of the plate assembly 170, thereby biasing the substrate toward the plate assembly 170, thereby substantially equalizing the gap between the substrate and the plate assembly surface 170A. be able to. In one embodiment shown in FIG. 13B, the vacuum port internal array 172A (symbol “A”) is mirrored with the gas port external array 173A (symbol “B”). In this case, the inner array “A” has a diameter smaller than the substrate diameter, and the outer array “B” has a diameter equal to or larger than the substrate diameter. In one embodiment, a small ridge (not shown) of deposited CVD or PVD material used to form the protrusion 171 is placed between the internal array 172A of vacuum ports and the external array 173A of gas ports. This minimizes the amount of gas required to clean the edges of the plate. 13A-13B also illustrate a configuration having a lift assembly 87 and lift pin holes 189 extending to the plate assembly surface 170A to lift the substrate from the plate assembly surface 170A.

[00258]一実施形態では、処理中に基板の縁が冷却されることを防止するために、ガス源174から送出されたガスが、ガスポート173Aを出る前に加熱される。別の実施形態では、板アセンブリ170内のガスポートプレナム173Bの長さは、ガスポートプレナム内に注入されたガスが、ガスポート173Aを出る前に板の温度にほぼ達するのに十分な時間だけガスポートプレナム内に存在するように設計されている。   [00258] In one embodiment, the gas delivered from gas source 174 is heated prior to exiting gas port 173A to prevent the edges of the substrate from being cooled during processing. In another embodiment, the length of the gas port plenum 173B in the plate assembly 170 is long enough for the gas injected into the gas port plenum to approximately reach the plate temperature before exiting the gas port 173A. Designed to exist within a gas port plenum.

支持チャンバ
[00259]支持チャンバ65(図4C、図4F、図4H)を使用して、コンテナ、ポンプ、弁、フィルタ、さらにこれ以外の、クラスタツール10内で処理シーケンスを完了するために使用される支持コンポーネントを収納することができる。
Support chamber
[00259] Support chamber 65 (FIGS. 4C, 4F, 4H) is used to support containers, pumps, valves, filters, and more, other than that used to complete the processing sequence within cluster tool 10. Components can be stored.

[00260]一実施形態では、処理済みの基板内の欠陥を検出するため、統計的な処理制御を実行するため、および/またはシステムが、入ってくる基板の品質のばらつきを補正できるようにするために、支持チャンバ65が粒子測定ツール、OCD分光解析装置、分光反射計、および多様なスキャタロメトリ装置のような様々な度量衡ツールを包含している。1つのケースでは、非接触型の可視および/またはDUV反射計技術を使用して、クラスタツール内の基板上の膜の厚さと、膜の均等の性の測定を実行することができる。反射計ツールは、Nanometrics Incorporated(カリフォルニア州ミルピタス)から購入することができる。   [00260] In one embodiment, to detect defects in the processed substrate, to perform statistical process control, and / or to allow the system to correct for variations in incoming substrate quality. To this end, support chamber 65 includes various metrology tools such as particle measurement tools, OCD spectroscopic analyzers, spectroreflectometers, and various scatterometry instruments. In one case, non-contact visible and / or DUV reflectometer techniques can be used to perform measurements of film thickness and film uniformity on a substrate in a cluster tool. The reflectometer tool can be purchased from Nanometrics Incorporated (Milpitas, Calif.).

[00261]統合型OCD分光解析ツールを使用すれば、ウェーハをスタンドアロン型の度量衡ツールへ移動することなく、リソグラフ処理中の膜特徴および閉鎖ループ制御を完了することができるため、移送時間が節約でき、また潜在的な取り扱いによる汚染と損傷を排除することができる。様々な制御度量衡機能をクラスタツール内に直接統合することによって、CD制御とCoOの向上が支援される。OCD分光解析ツールは、Nanometrics Incorporated(カリフォルニア州ミルピタス)から購入することができる。   [00261] Integrated OCD spectroscopic tools can be used to complete film features and closed-loop control during lithographic processing without moving the wafer to a stand-alone metrology tool, thus saving transfer time In addition, contamination and damage due to potential handling can be eliminated. Various control measures and functions are integrated directly into the cluster tool to help improve CD control and CoO. The OCD spectroscopic analysis tool can be purchased from Nanometrics Incorporated (Milpitas, Calif.).

ウェーハシーケンシング/並行処理
[00262]電子装置製造業者は、市場において競争力を持つため、またCoOw低減するための努力として、多くの場合、クラスタツールアーキテクチャの制限とチャンバ処理時間を仮定した場合の最大の基板スループットを達成しようと、多大な時間を費やして処理シーケンスおよびチャンバ処理時間の最適化を試みている。トラックリソグラフィタイプのクラスタツールでは、チャンバ処理時間がかなり短くなりがちであり(例えば処理完了までに約1分間)、典型的なトラックシステム処理を完了するために要する処理ステップの数が多いことから、1枚の基板の処理にかかる時間の著しい部分が、クラスタツール内の様々な処理チャンバ間における基板移送処理に費やされてしまう。そこで、クラスタツール10の一実施形態では複数の基板をまとめてグループ化し、2つ以上のグループ毎に移送および処理することでCoOの低減を行っている。この並行処理形式では、システムスループットを増加し、ロボットが処理チャンバ間で基板バッチを移送するためにとる動作数を低減しているので、ロボットの疲労が低減されてシステムの信頼性が増加する。
Wafer sequencing / parallel processing
[00262] Electronic device manufacturers are often competitive in the market and, in an effort to reduce CoOw, often achieve maximum substrate throughput assuming cluster tool architecture limitations and chamber processing times Attempts have been made to optimize the processing sequence and chamber processing time by spending a great deal of time. With track lithography type cluster tools, the chamber processing time tends to be quite short (eg, about 1 minute to complete the process) and the number of processing steps required to complete a typical track system process is high. A significant portion of the time taken to process a single substrate is spent on substrate transfer processing between the various processing chambers in the cluster tool. Therefore, in one embodiment of the cluster tool 10, a plurality of substrates are grouped together, and CoO is reduced by transporting and processing each of two or more groups. This parallel processing format increases system throughput and reduces the number of operations that the robot takes to transfer substrate batches between processing chambers, thus reducing robot fatigue and increasing system reliability.

[00263]本発明の1つの態様では、トラックアーキテクチャは、ポッドアセンブリ105A〜D内に搭載したカセット106から基板が1枚ずつ離れ、第1処理ステーションでの処理が終了した後に再び2枚以上の基板のグループにまとめてグループ化されるように設計されている。例えば、図3Aに示す処理シーケンスを使用する場合には、基板はBARCコートステップ5120の終了後にグループ化される。この構成では、カセット106に対応し、各基板を第1処理ステーション内に設置するロボットには1枚ブレードロボットを使用できる。しかし、第1処理ステーションから基板を取り上げ、後続の基板処理ステーションへ設置するロボット(例えば中央ロボット107)には、グループ化する基板と同じ枚数の基板保持装置(例えばロボットブレード)を包含するロボットが使用される。例えば、図16Aに示すように、2枚の基板をまとめてグループ化する場合には2枚ブレードタイプの中央ロボット107を使用できる。本発明の別の態様では、基板は、ステッパ/スキャナ5内へ移送される以前にグループ解除され、PEBステップ540の実行後に再びグループ化される。基板はさらに、最終の処理ステーションで前端ロボット108によって取り上げられる前に再びグループ解除される。   [00263] In one aspect of the present invention, the track architecture is such that two or more substrates are again removed from the cassette 106 mounted in the pod assemblies 105A-D one by one and after processing at the first processing station is completed. Designed to be grouped together into groups of substrates. For example, if the processing sequence shown in FIG. 3A is used, the substrates are grouped after the BARC coat step 5120 is completed. In this configuration, a single blade robot can be used as a robot corresponding to the cassette 106 and installing each substrate in the first processing station. However, a robot that picks up a substrate from the first processing station and installs it in a subsequent substrate processing station (for example, the central robot 107) includes a robot including the same number of substrate holding devices (for example, robot blades) as the substrates to be grouped. used. For example, as shown in FIG. 16A, when two substrates are grouped together, a two-blade type central robot 107 can be used. In another aspect of the invention, the substrates are ungrouped before being transferred into the stepper / scanner 5 and regrouped after execution of PEB step 540. The substrates are further ungrouped before being picked up by the front end robot 108 at the final processing station.

[00264]本発明の1つの態様では、基板はポッドアセンブリ105にてまとめてグループ化され、複数のブレードタイプの前端ロボット108、中央ロボット107、後部ロボット109を使用し、クラスタツールを介してグループ毎に移送される。図16A〜図16Dは、複数のブレードロボットの一実施形態を図示している。この場合、前端ロボット108の各ブレードに基板が搭載されると、クラスタツールを介した全ての移送処理がグループ毎に完了する。ステッパ/スキャナ5では基板のグループ解除がなされるべき、即ち基板は1枚ずつ移送されるべきであると気付くだろう。   [00264] In one aspect of the invention, the substrates are grouped together in a pod assembly 105, using multiple blade type front end robot 108, central robot 107, rear robot 109 and grouping via a cluster tool. It is transferred every time. 16A-16D illustrate one embodiment of a plurality of blade robots. In this case, when a substrate is mounted on each blade of the front end robot 108, all transfer processing via the cluster tool is completed for each group. It will be noted that the stepper / scanner 5 should ungroup the substrates, i.e. the substrates should be transported one by one.

[00265]一実施形態では、基板は対単位でグループ化される。そのため移送処理は、第1処理チャンバ内への1枚基板移送のグループ化ステップ、システムを通過する2枚基板移送のグループ化ステップ、次にステッパ/スキャナ5との間を往復する1枚基板移送のグループ化ステップ、そしてシステムを通過する2枚基板移送のグループ化ステップ、さらに最終チャンバからカセットへの1枚基板移送のグループ化ステップを含む。一実施形態では、図16A〜図16Bの下部に示す中央ロボット107は、基板を2枚1グループで移送するため2枚ブレードアセンブリ705を包含している。この2枚ブレードアセンブリ705は、第1ブレードアセンブリ715A上に載っている少なくとも1枚のロボットブレード711Aと、第2ブレードアセンブリ715B上に載っている少なくとも1枚のロボットブレード711Bとを包含している。この構成では、第1ブレードアセンブリ715Aと第2ブレードアセンブリ715Bは、基板のグループ化を内部で行う2つのチャンバの垂直空間に対して固定距離で離間している。例えば、図4Aに示す前端処理ラック52のCD1、CD2内でBARCコートステップ510を実行した後に基板を対にてグループ化する場合には、基板を第1中央処理ラック152内のC12冷蔵チャンバ、C9冷蔵チャンバ、あるいはB5ベークチャンバ、B2ベークチャンバへ移送できるようにCD1チャンバ、CD2チャンバ内における移送位置の空間を構成する。これにより、BARC後の冷蔵ステップ514の完了後に、中央ロボット107が基板の対を、第2中央処理ラック154内に保持されたコータ/デベロッパチャンバ60の1つの対、例えばCD1とCD2、CD2とCD3、CD3とCD4へ移送することができる。   [00265] In one embodiment, the substrates are grouped in pairs. Therefore, the transfer process consists of a grouping step of transferring one substrate into the first processing chamber, a grouping step of transferring two substrates through the system, and then transferring one substrate back and forth between the stepper / scanner 5. And a grouping step of transferring two substrates through the system, and a grouping step of transferring one substrate from the final chamber to the cassette. In one embodiment, the central robot 107 shown at the bottom of FIGS. 16A-16B includes a two blade assembly 705 for transferring substrates in groups of two. The two-blade assembly 705 includes at least one robot blade 711A resting on the first blade assembly 715A and at least one robot blade 711B resting on the second blade assembly 715B. . In this configuration, the first blade assembly 715A and the second blade assembly 715B are spaced apart by a fixed distance from the vertical space of the two chambers that internally group the substrates. For example, if the substrates are grouped in pairs after performing the BARC coat step 510 in CD1, CD2 of the front processing rack 52 shown in FIG. 4A, the C12 refrigeration chamber in the first central processing rack 152, The space of the transfer position in the CD1 chamber and the CD2 chamber is configured so that it can be transferred to the C9 refrigerator chamber, or the B5 bake chamber and B2 bake chamber. Thus, after completion of the post-BARC refrigeration step 514, the central robot 107 can convert the substrate pair into one pair of coater / developer chambers 60 held in the second central processing rack 154, eg, CD1 and CD2, CD2. Can be transferred to CD3, CD3 and CD4.

[00266]2枚ブレードアセンブリ705の一実施形態では、第2ブレードアセンブリ715Bに対する第1ブレードアセンブリ715Aの水平空間は固定距離で離間しており、これは内部において基板のグループ化が行われる2つのチャンバの水平空間に対応している。この構成では、第1ブレードアセンブリ715Aと第2ブレードアセンブリ715Bは、水平平面上に、2枚ブレードアセンブリ705が水平に離間したチャンバにアクセスすることができる形で整列されている。   [00266] In one embodiment of the two-blade assembly 705, the horizontal space of the first blade assembly 715A relative to the second blade assembly 715B is spaced apart by a fixed distance, which is the two groups within which the substrate grouping takes place. It corresponds to the horizontal space of the chamber. In this configuration, the first blade assembly 715A and the second blade assembly 715B are aligned on a horizontal plane such that the two-blade assembly 705 can access a horizontally spaced chamber.

[00267]図16Dを参照すると、別の実施形態では、第1ブレードアセンブリ715Aと第2ブレードアセンブリ715Bは、2枚ブレードアセンブリ705上に搭載されたアクチュエータ722を使用して可変距離で離間している。一般に、アクチュエータ722は、様々な数のグループ化された基板どうしの空間を、グループ化した基板が移送されるチャンバの所望の空間と一致するべく変更するように適合されている。1つの態様では、アクチュエータ722は支持部720上に搭載されており、第2表面720Bに取り付けられた第2ブレードアセンブリ715Bを位置決めするように適合されている。この構成では、アクチュエータ722は、第2表面720Bを方向「B」へ位置決めすることで、第1ブレードアセンブリ715Aと第2ブレードアセンブリ715Bの間の空間「A」を変更できる。一実施形態では、アクチュエータ722は直接駆動式の無ブラシリニアサーボモータであり、このサーボモータは、Danaher Motion(イリノイ州ウッドデール)、またはAerotech,Inc.(ペンシルベニア州ピッツバーグ)から購入することができる。   [00267] Referring to FIG. 16D, in another embodiment, the first blade assembly 715A and the second blade assembly 715B are separated by a variable distance using an actuator 722 mounted on the two-blade assembly 705. Yes. In general, the actuator 722 is adapted to change the space between various numbers of grouped substrates to match the desired space of the chamber in which the grouped substrates are transferred. In one aspect, the actuator 722 is mounted on the support 720 and is adapted to position the second blade assembly 715B attached to the second surface 720B. In this configuration, the actuator 722 can change the space “A” between the first blade assembly 715A and the second blade assembly 715B by positioning the second surface 720B in the direction “B”. In one embodiment, the actuator 722 is a direct drive brushless linear servomotor that can be used with Danaher Motion (Wooddale, Ill.), Or Aerotech, Inc. (Pittsburgh, Pennsylvania).

[00268]一実施形態では、基板にバッチ現像処理を施すことが可能である。この場合、基板はグループ化されて移送され、現像処理を実行するためにグループ解除され、その後、再びグループ化されてグループ移送される。   [00268] In one embodiment, the substrate can be subjected to a batch development process. In this case, the substrates are grouped and transported, ungrouped to perform the development process, and then grouped again and group transported.

バッファステーションのないシーケンシング
[00269]本発明の1つの態様では、基板処理シーケンスとクラスタツールは、処理シーケンス中に実行される基板移送ステップが、処理シーケンスの次の処理ステップを実行するチャンバに対して実行され完了する形で設計されている。従来技術のクラスタツール構成では、普通、処理シーケンス中に仮ステーションまたはバッファチャンバを据付けることで、基板を下ろしたロボットが他の移送ステップを完了できる、および/または、他のロボットが待ち状態の基板を取り上げてシステム内の別の所望の位置へ移送できるようになっている。次の処理ステップが実行されないないチャンバ内に基板を設置するステップは時間の無駄であり、これによりロボット(1つ以上)の使用可能性が低下し、クラスタツール内の空間が無駄になり、ロボット(1つ以上)の疲労が増加する。バッファリングステップを追加することで基板ハンドオフの数が増加し、さらに裏面の粒子汚染量が増加するため、装置の生産性に悪影響が及ぶ。また、バッファチャンバ内で費やされる時間を各基板毎に制御しない限り、バッファステップを包含する基板処理シーケンスが異なる基板ウェーハ履歴を固有に有することになる。バッファリング時間を制御すれば、処理変数が追加されることでシステムの複雑性が増加し、これにより達成可能な最大基板スループットが打撃を受け易くなる。ロボットがシステムスループットを制限する場合には、クラスタツールの最大基板スループットが、処理シーケンスを完了するためにロボットが行う動作の総数と、ロボットを動作させるためにかかる時間とによって統制される。通例、ロボットに所望の動作を行わせるのにかかる時間は、ロボットのハードウェア、処理チャンバ間の距離、基板の清潔性の問題、システム制御といった制限によって制限される。典型的に、ロボット動作時間はロボット毎にそれほど異なるわけではなく、業界全体にわたってほとんど一貫している。そのため、処理シーケンスを完了するためのロボット動作数が他よりも固有に少ないクラスタツールのシステムスループットは、処理シーケンスを完了するまでにより多くの動作を要する他のクラスタツール、例えば複数のバッファリングステップを包含するクラスタツールのシステムスループットよりも高い。
Sequencing without buffer station
[00269] In one aspect of the invention, the substrate processing sequence and the cluster tool are configured such that a substrate transfer step performed during the processing sequence is performed and completed for a chamber that performs the next processing step of the processing sequence. Designed with. In prior art cluster tool configurations, a temporary station or buffer chamber is usually installed during the processing sequence so that the robot that lowered the substrate can complete other transfer steps and / or other robots are waiting. The substrate can be picked up and transferred to another desired location in the system. Placing a substrate in a chamber where the next processing step is not performed is a waste of time, which reduces the availability of the robot (s) and wastes space in the cluster tool, (One or more) fatigue increases. Adding a buffering step increases the number of substrate handoffs and increases the amount of backside particle contamination, which adversely affects device productivity. Further, unless the time spent in the buffer chamber is controlled for each substrate, the substrate processing sequence including the buffer step inherently has different substrate wafer histories. Controlling the buffering time increases the complexity of the system by adding processing variables, thereby making it easier to hit the maximum substrate throughput that can be achieved. If the robot limits system throughput, the maximum substrate throughput of the cluster tool is governed by the total number of operations that the robot performs to complete the processing sequence and the time it takes to operate the robot. Typically, the time it takes for a robot to perform a desired action is limited by limitations such as robot hardware, distance between processing chambers, substrate cleanliness issues, and system control. Typically, robot operating times are not very different from robot to robot and are almost consistent throughout the industry. As a result, the system throughput of a cluster tool that has an inherently lower number of robot operations to complete the processing sequence is less than other cluster tools that require more operations to complete the processing sequence, such as multiple buffering steps. It is higher than the system throughput of the containing cluster tool.

[00270]図2A〜図2G、図14A〜図14Bに示したクラスタツールの様々な実施形態は、システムにかけて基板を移送するのに必要な動作とロボット数が少ないため、従来技術の構成にはない特定の利点を有する。その一例は、カセット(1つ以上)106にアクセスし、第1処理チャンバ(例えばコータチャンバ60A)内に基板を直接設置し、第1処理チャンバ内での処理完了後に基板を次の処理チャンバ(例えばベークチャンバ90)へ送出する前端ロボット108の能力である。従来技術の構成では、カセット、処理チャンバ、および/またはステッパ/スキャナの間の複数の仮ステーションと、クラスタツールを介して処理シーケンスを完了するための複数のロボットとを使用する必要がある。いくつかの従来技術の構成では、例えば、第1ロボットが基板を第1位置に設置し、この位置で第2ロボットが基板を取り上げ、処理チャンバ内の第2位置に設置することが普通である。処理チャンバ内での処理が終了した基板が次に第2ロボットによって再び第1位置へ戻され、ここで第1ロボットまたは第3ロボットによって取り上げられ、システム内の別の位置へ移送される。この移送処理あるいは移送経路は、第1位置と第2位置の間の移送を完了するために別々のロボットを必要とし、基板を移送するために2つの無付加価値動作が必要であることから無駄である。さらなるロボットの追加、および/または無付加価値動作の増加は、低下した基板スループットによってコスト高の原因となり、クラスタツールの信頼性を低下させる。この態様の重要性は、連続シーケンスの信頼性がシーケンスにおける各コンポーネントの製品の信頼性に比例すると知ることによってより理解できる。したがって、99%の起動時間を有する1つのロボットは、99%の起動時間を有する2つのロボットよりも常に優れているということになるが、これは、それぞれが99%の起動時間を有する連続した2つのロボットの起動時間は98.01%にしかならないためである。トラックリソグラフィチャンバの処理時間はかなり短くなる傾向にあり、また、典型的な処理シーケンスを完了するために必要な処理ステップ数が多いため、システムの信頼性、ウェーハハンドオフの数、ロボットの無付加価値動作がシステムスループットに大幅に影響してしまう。   [00270] Because the various embodiments of the cluster tool shown in FIGS. 2A-2G and 14A-14B require fewer operations and robots to transfer substrates across the system, prior art configurations include: There are no specific advantages. One example is accessing the cassette (s) 106, placing the substrate directly in a first processing chamber (eg, coater chamber 60A), and after processing in the first processing chamber, the substrate is placed in the next processing chamber ( For example, it is the ability of the front end robot 108 to send to the bake chamber 90). Prior art configurations require the use of multiple temporary stations between cassettes, processing chambers, and / or steppers / scanners, and multiple robots for completing the processing sequence via the cluster tool. In some prior art configurations, for example, it is common for a first robot to place a substrate in a first position, where the second robot picks up the substrate and places it in a second position within the processing chamber. . The substrate that has been processed in the processing chamber is then returned again to the first position by the second robot, where it is picked up by the first or third robot and transferred to another position in the system. This transfer process or transfer path is wasteful because it requires a separate robot to complete the transfer between the first position and the second position, and two value-free operations are required to transfer the substrate. It is. The addition of additional robots and / or increased value-added operations can be costly due to reduced substrate throughput, reducing the reliability of the cluster tool. The importance of this aspect can be better understood by knowing that the reliability of the continuous sequence is proportional to the product reliability of each component in the sequence. Thus, one robot with 99% start-up time will always be better than two robots with 99% start-up time, which is a continuous with 99% start-up time each This is because the startup time of the two robots is only 98.01%. Track lithography chamber processing times tend to be much shorter, and more processing steps are required to complete a typical processing sequence, resulting in system reliability, number of wafer handoffs, and robot added value. Operation greatly affects system throughput.

[00271]本明細書で説明したクラスタツール構成の1つの利点は、2つ以上のロボットが異なる主要モジュール(例えば前端モジュール306、中央モジュール310など)内の処理チャンバ(例えば冷蔵チャンバ80、ベークチャンバ90など)にアクセスできる能力である。例えば、図2Fに示す実施形態では、前端ロボット108は第1中央処理ラック312および第2中央処理ラック314内の処理チャンバにアクセスでき、中央ロボット107は第1処理ラック308および第2処理ラック309内の処理チャンバにアクセスできる。利用中のロボットしかシステムスループットを制限しているロボットを補助できないため、ロボットが別の主要のモジュール内の処理チャンバにアクセスできる能力、または「ロボットの重なり」は、システムロボット移送に伴う障害を防止する上で重要な態様である。したがって、基板シーケンスの最中に各ロボットが行う負荷平衡化作用によって、基板スループットを増加でき、基板のウェーハ履歴をさらに繰り返し可能にでき、システムの信頼性を向上させることができる。1つの態様において、システムコントローラ101は、最適化したスループットに基づいて基板移送経路を調整するように、または動作不能となった処理チャンバの周囲で作業するように適合されている。スループットの最適化を可能にするシステムコントローラ101の特徴は論理スケジューラとして知られている。論理スケジューラは、ユーザからの入力、およびクラスタツール全体にかけて分布している様々なセンサからの入力に基づいて、タスクおよび基板動作の優先順位を決定する。論理スケジューラは、様々なロボット(例えば前端ロボット108、中央ロボット107、後部ロボット109、1つ以上のシャトルロボット110など)の各々に要求された特徴タスクのリストをレビューすることで、様々なロボットのそれぞれに付加された負荷平衡化を補助するように適合される。この特徴タスクは、システムコントローラのメモリ内に保持されている。CoOを向上させるべく、クラスタツールアーキテクチャおよびシステムコントローラ101を共に使用してクラスタツールの利用を最大化することで、ウェーハ履歴の繰り返し可能性が高まり、システムの信頼性も向上する。   [00271] One advantage of the cluster tool configuration described herein is that two or more robots have processing chambers (eg, refrigeration chamber 80, bake chambers) in different main modules (eg, front end module 306, central module 310, etc.). 90). For example, in the embodiment shown in FIG. 2F, the front end robot 108 can access the processing chambers in the first central processing rack 312 and the second central processing rack 314, and the central robot 107 can access the first processing rack 308 and the second processing rack 309. Access to the processing chamber inside. The ability of a robot to access a processing chamber in another major module, or “robot overlap”, prevents the obstacles associated with system robot transfer because only the robot in use can assist a robot that limits system throughput This is an important aspect. Therefore, the load balancing action performed by each robot during the substrate sequence can increase the substrate throughput, further repeat the wafer history of the substrate, and improve the system reliability. In one aspect, the system controller 101 is adapted to adjust the substrate transfer path based on optimized throughput or to work around a processing chamber that has become inoperable. The feature of the system controller 101 that enables throughput optimization is known as a logical scheduler. The logical scheduler determines priorities for tasks and board operations based on input from users and input from various sensors distributed throughout the cluster tool. The logical scheduler reviews the list of feature tasks required for each of the various robots (eg, front end robot 108, central robot 107, rear robot 109, one or more shuttle robots 110, etc.), thereby Adapted to assist in load balancing added to each. This feature task is held in the memory of the system controller. By using the cluster tool architecture and system controller 101 together to improve CoO, maximizing the use of the cluster tool increases the repeatability of the wafer history and improves the system reliability.

[00272]1つの態様では、ロボットが同時に動作できるようにして、ロボット間の衝突を回避し、システムスループットを向上させるために、システムコントローラ101が、システム(例えば2枚ブレードアセンブリ705(図16A〜図16C)、ブレードアセンブリ706(図16F〜図16G)など)内に設けた全てのロボットの終端効果器の動作を監視および制御するようにさらにプログラムされる。いわゆる「衝突回避システム」は複数の方法で実現できるが、一般的には、衝突回避の目的でロボット上、あるいはクラスタツール内に位置決めされた様々なセンサを使用して、システムコントローラ101が移送処理中に各ロボットの位置を監視する。1つの態様では、システムコントローラは、各ロボットの動作および/または軌道を能動的に変更することで、衝突を回避し、移送経路の長さを最短化するように適合されている。一実施形態では、「ゾーン回避」システムを使用して、複数のロボット間の衝突を防止している。ゾーン回避システムの1つの態様では、システムコントローラが自体のハードウェアおよびソフトウェアコンポーネントを使用して、各ロボット周囲の「オープン」な、もしくは内部で安全に動作できる領域を継続的に監視、更新、および画成することができる。したがって、画成された「オープン」または安全な領域とは、ロボットが別のロボットと衝突することなく侵入あるいは横切ることができる領域のことである。衝突回避システムの別の実施形態では、システムコントローラは、クラスタツールメインフレームの周囲とロボット(1つ以上)上に分布している複数のセンサ(例えば様々なロボット軸上のエンコーダ、位置センサなど)およびエミッタを、クラスタツール内の各ロボットの実位置を継続的に追跡し、2つ以上のロボットの動作が同じ空間内に入って衝突しないように監視および制御を行うように適合されている。1つの態様では、センサは、各ロボットの位置を監視するためにクラスタツール内の様々な垂直および/または水平方位に位置決めされた光センサである。別の態様では、感知システムを使用して、各ロボットおよびそのコンポーネントが監視される。この感知システムは、様々なロボットコンポーネント上にメインフレーム内で複数のセンサに対して位置決めされたエミッタを使用することで様々なロボットコンポーネントの各々の位置を三角測量することができる。1つの態様では、感知システムはRF送信機および受信機であるエミッタとセンサを包含している。   [00272] In one aspect, the system controller 101 may be configured with a system (eg, a two-blade assembly 705 (FIGS. 16A- 16A) to allow robots to operate simultaneously, avoid collisions between robots, and improve system throughput. FIG. 16C), further programmed to monitor and control the operation of the end effectors of all robots provided in blade assembly 706 (FIGS. 16F-16G, etc.). A so-called “collision avoidance system” can be realized by a plurality of methods. Generally, the system controller 101 uses various sensors positioned on the robot or in the cluster tool for the purpose of collision avoidance. Monitor the position of each robot inside. In one aspect, the system controller is adapted to actively change the motion and / or trajectory of each robot to avoid collisions and minimize the length of the transfer path. In one embodiment, a “zone avoidance” system is used to prevent collisions between multiple robots. In one aspect of the zone avoidance system, the system controller uses its own hardware and software components to continuously monitor, update, and “open” or internal safe areas around each robot, and Can be defined. Thus, a defined “open” or safe area is an area where a robot can enter or traverse without colliding with another robot. In another embodiment of the collision avoidance system, the system controller includes a plurality of sensors (eg, encoders, position sensors, etc. on various robot axes) distributed around the cluster tool mainframe and on the robot (s). And the emitter are adapted to continuously track the actual position of each robot in the cluster tool and to monitor and control the movement of two or more robots from entering and colliding in the same space. In one aspect, the sensors are optical sensors positioned in various vertical and / or horizontal orientations within the cluster tool to monitor the position of each robot. In another aspect, a sensing system is used to monitor each robot and its components. The sensing system can triangulate the position of each of the various robot components by using emitters positioned relative to multiple sensors in the main frame on the various robot components. In one aspect, the sensing system includes an emitter and a sensor that are RF transmitters and receivers.

[00273]図14Aは、クラスタツール10内を流れる基板の一例を図示するために、基板移送経路を概略的に図示す。この例では、バッファステップの数を最小化または完全に排除している。一般的に移送経路は、様々な処理レシピステップを基板(1枚以上)に実行できるようにするために、基板が、1つの位置から別の位置へ移動する際に移動する経路の略図を図示している。図14Aは、図3Aで説明した処理シーケンスの後の基板の移送経路を図示している。この実施形態では、基板が前端ロボット108によってポッドアセンブリ105(符号105A)から除去され、移送路A1を追随してコータチャンバ60A(例えばCD1、CD2など(図4A))へ移送されることで、基板にBARCコートステップ510を完了できる。BARC処理が一旦完了すると、中央ロボット107が移送経路A2を追随し、基板をベークチャンバ90(例えば、B1、B3など)(図4B))へ移送する。ここで基板にBARC後のベークステップ512を完了できる。BARC後のベークステップ512の完了後、シャトルロボット110が移送経路A3を追随し、基板をBARC後冷蔵ステップ514(例えばC1、C2など(図4B))へ移送する。BARC後冷蔵ステップ514の実行後、中央ロボット107が移送経路A4を追随し、基板をコータチャンバ60A(例えばCD1、CD2など(図4C))へ移送する。ここで基板にフォトレジストコートステップ520が実行される。フォトレジストコートステップ520の実行後、次に中央ロボット107が移送経路A5を追随し、基板をベークチャンバ90(例えばB2、B4など(図4B))へ移送する。ここで基板にフォトレジスト後のベークステップ522が実行される。フォトレジストコート後のベークステップ522の実行後、シャトルロボット110が移送経路A6を追随し、基板を冷蔵チャンバ80(例えばC1、C2など(図4B))へ移送する。ここで基板にフォトレジスト後の冷蔵ステップ524が実行される。フォトレジスト後の冷蔵ステップ524の実行後、中央ロボット107が移送経路A7を追随し、基板をOEBRチャンバ62(例えばOEBR1など(図14Aには図示していない。図4Dを参照))へ移送する。ここで基板にOEBRステップ536が実行される。次に、後部ロボット109が移送路A8を追随し、基板をステッパ/スキャナ5へ移送する。露光ステップ538の完了後、後部ロボット109が移送経路A9を追随し、基板をPEBチャンバ130(図4D)へ移送する。PEBステップ540の実行後、シャトルロボット110が移送経路A10を追随し、基板を冷蔵チャンバ80へ移送する。ここで基板にPEB後の冷蔵ステップ542が実行される。PEB後の冷蔵ステップ542の実行後、後部ロボット109(または中央ロボット107)が移送経路A11を追随し、基板をデベロッパチャンバ60Bへ移送する。ここで基板に現像ステップ550が実行される。現像ステップ550の実行後、中央ロボット107が移送経路A12を追随し、基板を冷蔵チャンバ80へ移送する。ここで前端ロボット108が基板を取り上げて移送経路A13を追随し、ポッドアセンブリ105へ移送する。   [00273] FIG. 14A schematically illustrates a substrate transfer path to illustrate an example of a substrate flowing through the cluster tool 10. FIG. In this example, the number of buffer steps is minimized or completely eliminated. In general, the transfer path is a schematic representation of the path traveled when a substrate moves from one position to another so that various processing recipe steps can be performed on the substrate (one or more). Show. FIG. 14A illustrates a substrate transfer path after the processing sequence described in FIG. 3A. In this embodiment, the substrate is removed from the pod assembly 105 (reference numeral 105A) by the front end robot 108 and transferred to the coater chamber 60A (eg, CD1, CD2, etc. (FIG. 4A)) following the transfer path A1. The BARC coat step 510 can be completed on the substrate. Once the BARC process is complete, the central robot 107 follows the transfer path A2 and transfers the substrate to the bake chamber 90 (eg, B1, B3, etc.) (FIG. 4B). Here, the baking step 512 after BARC on the substrate can be completed. After completion of the post-BARC bake step 512, the shuttle robot 110 follows the transfer path A3 and transfers the substrate to the post-BARC refrigeration step 514 (eg, C1, C2, etc. (FIG. 4B)). After execution of the post-BARC refrigeration step 514, the central robot 107 follows the transfer path A4 and transfers the substrate to the coater chamber 60A (eg, CD1, CD2, etc. (FIG. 4C)). Here, a photoresist coating step 520 is performed on the substrate. After execution of the photoresist coating step 520, the central robot 107 follows the transfer path A5 and transfers the substrate to the bake chamber 90 (for example, B2, B4, etc. (FIG. 4B)). Here, a post-photoresist baking step 522 is performed on the substrate. After execution of the baking step 522 after the photoresist coating, the shuttle robot 110 follows the transfer path A6 and transfers the substrate to the refrigeration chamber 80 (for example, C1, C2, etc. (FIG. 4B)). Here, a refrigeration step 524 after photoresist is performed on the substrate. After execution of the refrigeration step 524 after photoresist, the central robot 107 follows the transfer path A7 and transfers the substrate to the OEBR chamber 62 (for example, OEBR1 or the like (not shown in FIG. 14A, see FIG. 4D)). . Here, OEBR step 536 is performed on the substrate. Next, the rear robot 109 follows the transfer path A <b> 8 and transfers the substrate to the stepper / scanner 5. After completion of the exposure step 538, the rear robot 109 follows the transfer path A9 and transfers the substrate to the PEB chamber 130 (FIG. 4D). After execution of the PEB step 540, the shuttle robot 110 follows the transfer path A10 and transfers the substrate to the refrigeration chamber 80. Here, a refrigeration step 542 after PEB is performed on the substrate. After execution of the refrigeration step 542 after PEB, the rear robot 109 (or the central robot 107) follows the transfer path A11 and transfers the substrate to the developer chamber 60B. Here, a development step 550 is performed on the substrate. After executing the developing step 550, the central robot 107 follows the transfer path A12 and transfers the substrate to the refrigeration chamber 80. Here, the front end robot 108 picks up the substrate, follows the transfer path A <b> 13, and transfers it to the pod assembly 105.

[00274]図14Aに図示するクラスタツール10の1つの態様では、基板を2枚以上ずつのグループで移送するために、グループ化した基板を1グループとして、移送経路A1〜A7、A10〜A12を介して移動させている。上述したように、この平行処理形式によって、システムスループットが増加し、処理チャンバ間で基板バッチを移送するロボットの動作数が低減し、その結果、ロボットの疲労が低減し、システムの信頼性が増加する。   [00274] In one embodiment of the cluster tool 10 illustrated in FIG. 14A, in order to transfer substrates in groups of two or more, grouped substrates are grouped into one group and transfer paths A1-A7, A10-A12 are defined. Have moved through. As mentioned above, this parallel processing format increases system throughput and reduces the number of robot operations that transfer substrate batches between processing chambers, resulting in reduced robot fatigue and increased system reliability. To do.

[00275]図14Aに図示したクラスタ10の1つの態様では、移送経路A3、A6、および/またはA10は中央ロボット107によって完了される。一実施形態では、移送経路A11は、冷蔵チャンバ80とデベロッパチャンバ60Bの間で基板を移送するように適合されたシャトルロボット110によって完了される。   [00275] In one embodiment of the cluster 10 illustrated in FIG. 14A, the transfer paths A3, A6, and / or A10 are completed by the central robot 107. In one embodiment, the transfer path A11 is completed by a shuttle robot 110 adapted to transfer substrates between the refrigeration chamber 80 and the developer chamber 60B.

[00276]図14Bは、バッファステップ数を最小化または完全に排除できる、図2Fのクラスタツール10の構成を通過する基板移送経路の一例を概略的に図示する。図14Bは、図13Aに図示した処理手順に追随する基板移送経路を図示する。本実施形態では、図3前端ロボット108がポッドアセンブリ105(符号105C)から基板を除去し、移送経路A1を追随してコータチャンバ60Aへ移送する。これにより、基板上でBARCコートステップ510を完了できる。BARC処理が完了すると、次に前端ロボット108が移送経路A2を追随して基板をベークチャンバ90(例えばB1、B2、B3など(図4G))へ移送する。基板上でBARC後のベークステップ512が完了される。BARC後のベークステップ512の完了後、シャトルロボット514が移送経路A3を追随して基板をBARC後の冷蔵ステップ514(例えばC1、C2など(図4G))へ移送する。BARC後の冷蔵ステップ514を実行した後、前端ロボット108または中央ロボット107が移送経路A4を追随して基板をコータチャンバ60A(例えばCD1、CD2、CD3など(図4J))として構成された処理チャンバ370へ移送し、この処理チャンバ370で基板にフォトレジストコートステップ520が実行される。フォトレジストコートステップ520の実行後、中央ロボット107が移送経路A5を追随して基板をベークチャンバ90(例えばB2、B4など(図4I))へ移送し、ここでフォトレジストコート後のベークステップ522が実行される。フォトレジストコート後のベークステップ522を実行した後、シャトルロボット110が移送経路A6を追随して基板を冷蔵チャンバ80(例えばC1、C2など(図4I))へ移送し、ここでフォトレジスト後の冷蔵ステップ524が実行される。フォトレジスト後の冷蔵ステップ524を実行した後に、中央ロボット107が移送経路A7を追随して基板をOEBRチャンバ62(例えばOEBR1など(図4I))へ移送し、ここでOEBRステップ536が実行される。次に、中央ロボット107が移送経路A8を追随して基板をステッパ/スキャナ5へ移送する。露光ステップ538の完了後、中央ロボット107が移送経路A9を追随して基板をPEBチャンバ130へ移送する。PEBステップ540の実行後、シャトルロボット110が移送経路A10を追随して基板を冷蔵チャンバ80へ移送し、ここでPEB後の冷蔵ステップ542が実行される。PEB後の冷蔵ステップ542の実行後、中央ロボット107が移送経路A11を追随して基板をデベロッパチャンバ60B(例えばCD1、CD2、CD3など(図4J))として構成された処理チャンバ370へ移送し、ここで現像ステップ550が実行される。現像ステップ550の実行後に、前端ロボット108が移送経路A12を追随して基板をポッドアセンブリ105へ移送する。1つの態様では、移送経路A12は、中央ロボット107を使用してデベロッパチャンバ60Bから基板を取り上げ、前端ロボット108へ移送し、その後ポッドアセンブリ105へ移送することで完了する。   [00276] FIG. 14B schematically illustrates an example of a substrate transfer path through the configuration of the cluster tool 10 of FIG. 2F that can minimize or eliminate the number of buffer steps. FIG. 14B illustrates a substrate transfer path following the processing procedure illustrated in FIG. 13A. In the present embodiment, the front end robot 108 in FIG. 3 removes the substrate from the pod assembly 105 (reference numeral 105C), and transfers the substrate to the coater chamber 60A following the transfer path A1. This completes the BARC coating step 510 on the substrate. When the BARC process is completed, the front end robot 108 follows the transfer path A2 and transfers the substrate to the bake chamber 90 (for example, B1, B2, B3, etc. (FIG. 4G)). The post-BARC bake step 512 is completed on the substrate. After completion of the post-BARC bake step 512, the shuttle robot 514 follows the transfer path A3 and transfers the substrate to the post-BARC refrigeration step 514 (eg, C1, C2, etc. (FIG. 4G)). After performing the refrigeration step 514 after BARC, the front end robot 108 or the central robot 107 follows the transfer path A4 and the substrate is configured as a coater chamber 60A (eg, CD1, CD2, CD3, etc. (FIG. 4J)). In step 370, a photoresist coating step 520 is performed on the substrate in the processing chamber 370. After execution of the photoresist coating step 520, the central robot 107 follows the transfer path A5 and transfers the substrate to the baking chamber 90 (for example, B2, B4, etc. (FIG. 4I)), where the baking step 522 after the photoresist coating is performed. Is executed. After performing the photoresist coating bake step 522, the shuttle robot 110 follows the transfer path A6 and transfers the substrate to the refrigeration chamber 80 (eg, C1, C2, etc. (FIG. 4I)), where A refrigeration step 524 is performed. After performing the post-photoresist refrigeration step 524, the central robot 107 follows the transfer path A7 to transfer the substrate to the OEBR chamber 62 (eg, OEBR1 etc. (FIG. 4I)), where the OEBR step 536 is executed. . Next, the central robot 107 follows the transfer path A8 and transfers the substrate to the stepper / scanner 5. After completion of the exposure step 538, the central robot 107 follows the transfer path A9 and transfers the substrate to the PEB chamber 130. After execution of PEB step 540, shuttle robot 110 follows transfer path A10 to transfer the substrate to refrigeration chamber 80, where refrigeration step 542 after PEB is executed. After execution of the refrigeration step 542 after PEB, the central robot 107 follows the transfer path A11 and transfers the substrate to a processing chamber 370 configured as a developer chamber 60B (eg, CD1, CD2, CD3, etc. (FIG. 4J)), Here, the developing step 550 is executed. After executing the developing step 550, the front end robot 108 follows the transfer path A12 and transfers the substrate to the pod assembly 105. In one aspect, the transfer path A12 is completed by using the central robot 107 to pick up the substrate from the developer chamber 60B, transfer it to the front end robot 108, and then transfer it to the pod assembly 105.

[00277]1つの態様では、移送経路A12は、中央ロボット107が基板を第1処理ラック308内の冷蔵チャンバ80へ移送し、その後、前端ロボット108を使用して基板をカセットへ移送するという2つのステップ(図示せず)に分割される。この構成では、冷蔵チャンバ80は、基板が、ウェーハ履歴と処理基板上の汚染量とに影響を及ぼす可能性のある熱エネルギーまたは処理流体に露出されることなく常駐できる「安全」位置として作用する。「安全」位置は、上昇したリフトピン87D(図10Aの下方位置に示す)上における基板の持着、または冷却板ブロック83B(図10A)上における基板の保持と一致する。   [00277] In one aspect, the transfer path A12 is such that the central robot 107 transfers the substrate to the refrigeration chamber 80 in the first processing rack 308, and then uses the front end robot 108 to transfer the substrate to the cassette. It is divided into one step (not shown). In this configuration, the refrigeration chamber 80 acts as a “safe” position where the substrate can reside without being exposed to thermal energy or processing fluid that can affect the wafer history and the amount of contamination on the processing substrate. . The “safe” position coincides with the holding of the substrate on the raised lift pins 87D (shown in the lower position of FIG. 10A) or the holding of the substrate on the cold plate block 83B (FIG. 10A).

[00278]1つの態様では、移送経路A12は、中央ロボット107がデベロッパチャンバ60Bから基板を取り上げ、ポッドアセンブリ105へ移送することで完了する。この構成では、中央ロボット107は、スライドアセンブリ(図示せず)と平行移動アクチュエータ(例えばリニアサーボモータなど(図示せず))を使用してクラスタツール10の全長距離を平行移動することで、カセットまでの所望の到達可能範囲を得られるように適合されている。   [00278] In one aspect, transfer path A12 is completed by central robot 107 picking up a substrate from developer chamber 60B and transferring it to pod assembly 105. In this configuration, the central robot 107 translates the full length distance of the cluster tool 10 using a slide assembly (not shown) and a translation actuator (for example, a linear servo motor (not shown)) to Up to the desired reachable range.

[00279]図14Bに図示したクラスタ10の1つの態様では、移送経路A3、A6、および/またはA10は、中央ロボット107または前端ロボット108によって完了される。図14Bに図示したクラスタツール10の別の態様では、グループ化した基板を1つのグループとして移送経路A1〜A7、A10〜A12に沿って移動するために、基板が2枚以上ずつグループ化され移送される。   [00279] In one aspect of cluster 10 illustrated in FIG. 14B, transfer paths A3, A6, and / or A10 are completed by central robot 107 or front end robot 108. In another aspect of the cluster tool 10 shown in FIG. 14B, in order to move the grouped substrates as a group along the transfer paths A1 to A7 and A10 to A12, two or more substrates are grouped and transferred. Is done.

クラスタロボット設計
A. 垂直レールロボット設計
[00280]図15Aは、中央ロボット107の一実施形態を図示したクラスタツール10の等角図である。この中央ロボット107の実施形態は、前端処理ラック52、第1中央処理ラック152、第2中央処理ラック154、および/または後部処理ラック202に包含されている様々な処理チャンバの間で基板を移送するように適合された、フロッグレッグ型ロボット(以降、FLRまたはFL型ロボット)アセンブリ602を包含している。この実施形態に包含されたコンポーネントを強調および明瞭化する目的で、図15Aから第2中央処理ラック154を除去している。図15A〜図15Dを参照すると、一般的に、FLRアセンブリ602は上方フロッグレッグ(FL)型ロボットアセンブリ610、下方フロックレッグ(FL)型ロボットアセンブリ620、リフトレールアセンブリ626を包含している。一般的に、リフトレールアセンブリ626は正面レール614と裏面レール612を包含する。したがって、この構成は、上方FL型ロボットアセンブリ610と下方FL型ロボットアセンブリ620の2つのロボットアセンブリを包含し、これらは垂直面と水平面の両方で相互から独立して動作するように適合されている。この実施形態では、独立上方FL型ロボットアセンブリ610または独立下方FL型ロボットアセンブリ620の各々は、垂直面で(即ち、リフトレールアセンブリ626に沿って)移動でき、また、FL型ロボット625をシステムコントローラ101からの命令に従って移動させることで、基板を水平面の任意の位置へ移送することができる。図15A〜図15Dは、上方FL型ロボットアセンブリ610と下方FL型ロボットアセンブリ620の2つのロボットアセンブリを包含する構成を図示する。クラスタツール10の他の実施形態は3以上のロボットアセンブリを包含していてもよい。クラスタツール10の他の実施形態では、1つのFL型ロボットアセンブリを利用して、クラスタツールを通り基板を移送する。
Cluster robot design Vertical rail robot design
[00280] FIG. 15A is an isometric view of the cluster tool 10 illustrating one embodiment of the central robot 107. FIG. This central robot 107 embodiment transfers substrates between various processing chambers included in the front processing rack 52, the first central processing rack 152, the second central processing rack 154, and / or the rear processing rack 202. A frog-leg type robot (hereinafter FLR or FL-type robot) assembly 602 adapted to do so is included. The second central processing rack 154 has been removed from FIG. 15A for the purpose of highlighting and clarifying the components included in this embodiment. Referring to FIGS. 15A-15D, the FLR assembly 602 generally includes an upper frog leg (FL) robot assembly 610, a lower flogg leg (FL) robot assembly 620, and a lift rail assembly 626. In general, lift rail assembly 626 includes a front rail 614 and a back rail 612. Thus, this configuration includes two robot assemblies, an upper FL robot assembly 610 and a lower FL robot assembly 620, which are adapted to operate independently of each other in both vertical and horizontal planes. . In this embodiment, each of the independent upper FL robot assembly 610 or the independent lower FL robot assembly 620 can move in a vertical plane (ie, along the lift rail assembly 626), and the FL robot 625 can be moved to the system controller. By moving according to the command from 101, a board | substrate can be transferred to the arbitrary positions of a horizontal surface. 15A-15D illustrate a configuration that includes two robot assemblies, an upper FL robot assembly 610 and a lower FL robot assembly 620. Other embodiments of the cluster tool 10 may include more than two robot assemblies. In another embodiment of the cluster tool 10, a single FL robot assembly is utilized to transfer the substrate through the cluster tool.

[00281]図15Bはクラスタツール10の平面図であり、その内部では、後方処理ラック202内に包含されている処理チャンバから来た基板が、FL型ロボットアセンブリ602の下方FL型ロボットアセンブリ620によって交換される。   [00281] FIG. 15B is a plan view of the cluster tool 10 in which the substrate from the processing chamber contained within the back processing rack 202 is moved by the lower FL robot assembly 620 of the FL robot assembly 602. Exchanged.

[00282]図15Cは、上方FL型ロボットアセンブリ610および下方FL型ロボットアセンブリ620の様々なコンポーネントを強調した中央ロボット107の等角図である。典型的にリフトレールアセンブリ626は、中央モジュール150の一部である中央モジュールフレーム(図示せず)に搭載されている。図15A〜図15Dは、上方FL型ロボットアセンブリ610または下方FL型ロボットアセンブリ620内のFL型ロボット625が対向している(即ち、上方FL型ロボットが下方に向き、下方FL型ロボットが上方に向いている)構成を図示しているが、これ以外の構成、例えば、本発明の範囲から異ならない範囲内で、上方FL型ロボットアセンブリ610または下方FL型ロボットアセンブリ620が両方とも上方または下方に向いている構成の使用も可能である。   [00282] FIG. 15C is an isometric view of the central robot 107 highlighting various components of the upper FL robot assembly 610 and the lower FL robot assembly 620. FIG. The lift rail assembly 626 is typically mounted on a central module frame (not shown) that is part of the central module 150. 15A to 15D, the FL type robot 625 in the upper FL type robot assembly 610 or the lower FL type robot assembly 620 faces each other (that is, the upper FL type robot faces downward and the lower FL type robot faces upward. The upper FL robot assembly 610 or the lower FL robot assembly 620 are both upward or downward within the scope of the present invention, but not different from the scope of the present invention. A suitable configuration can also be used.

[00283]図15Dは、下方FL型ロボットアセンブリ620の平面図であり、上方FL型ロボットアセンブリ610または下方FL型ロボットアセンブリ620内部に普通に見られる様々なコンポーネントを示すことを目的とする。一般的に、上方FL型ロボットアセンブリ610または下方FL型ロボットアセンブリ620は、FL型ロボット625と支持アセンブリ624を包含している。図15A〜図15Dに示す一実施形態では、FL型ロボット625は、様々な処理ステーション間で基板を移送するように適合された2つの基板運搬装置(すなわち611A、611B)を有するが、しかし、基板運搬装置の数またはフロッグレッグ型構成の使用はここで説明している本発明の様々な態様を制限することを意図したものではないため、この構成も本発明の範囲の制限を意図するものではない。本発明の恩典を得るように適合することができる、2つの基板運搬装置を有する例示的なFL型ロボットの一例が、同一出願による、1994年4月11日付けで提出の米国特許出願第5,447,409号「Robot Assembly」に説明されている。上記の出願の全体は本願明細書に組み込まれる。本発明から恩典を得るように適合できるFL型ロボット設計の例には、これ以外にも、1994年8月30日付けで出願の同一出願人による米国特許出願第5,469,035号「Two−axis magnetically coupled robot」、2000年4月14日付けで出願の米国特許出願第6,379,095号「Robot For Handling Semiconductor Substrates」に説明されている。上記の出願の全体は本願明細書に組み込まれる。   [00283] FIG. 15D is a plan view of the lower FL robot assembly 620 and is intended to illustrate various components commonly found within the upper FL robot assembly 610 or the lower FL robot assembly 620. FIG. In general, the upper FL robot assembly 610 or the lower FL robot assembly 620 includes an FL robot 625 and a support assembly 624. In one embodiment shown in FIGS. 15A-15D, FL-type robot 625 has two substrate transporters (i.e., 611A, 611B) adapted to transfer substrates between various processing stations, however, This configuration is also intended to limit the scope of the invention, as the number of substrate transport devices or the use of a frog-leg type configuration is not intended to limit the various aspects of the invention described herein. is not. An example of an exemplary FL robot having two substrate transport devices that can be adapted to obtain the benefits of the present invention is shown in US patent application Ser. No. 5 filed Apr. 11, 1994, filed on the same application. No. 447, 409 “Robot Assembly”. The entirety of the above application is incorporated herein. Other examples of FL-type robot designs that can be adapted to benefit from the present invention include, but are not limited to, US Patent Application No. 5,469,035 “Two” filed on August 30, 1994 by the same applicant. -Axis magnetically coupled robot ", U.S. Patent Application No. 6,379,095 filed April 14, 2000," Robot For Handling Semiconductor Substrates ". The entirety of the above application is incorporated herein.

[00284]FL型ロボット625が2つの基板運搬装置611A〜611Bを有する一実施形態では、一般的に、FL型ロボット624は2軸モータ615、1次アーム618A〜B、2次アーム619A〜D、リストアセンブリ621A〜B、基板運搬装置611A〜Bを包含する。一般的に、2軸モータ615の様々な軸が動作することで、一次アーム618A〜Bが反対方向へ回転して、基板運搬装置611A〜Bが延出または引き込まれるか、あるいは同方向に回転して、基板運搬装置611A〜Bが所望の位置へ回転される。FL型ロボット625は、ロボットアセンブリ625を支持および保持する支持アセンブリ624の支持部613上に搭載されている。   [00284] In one embodiment, where the FL-type robot 625 has two substrate transporters 611A-611B, the FL-type robot 624 typically includes a two-axis motor 615, primary arms 618A-B, and secondary arms 619A-D. , Wrist assemblies 621A-B, and substrate transport devices 611A-B. In general, as the various axes of the biaxial motor 615 operate, the primary arms 618A-B rotate in the opposite direction and the substrate transporters 611A-B extend or retract or rotate in the same direction. Then, the substrate transport devices 611A-B are rotated to desired positions. The FL type robot 625 is mounted on a support portion 613 of a support assembly 624 that supports and holds the robot assembly 625.

[00285]図15C〜図15Dを参照すると、支持アセンブリ624は一般的に、支持部613、正面レール614と連通しているモータアセンブリ617A、裏面レール612と連通しているモータアセンブリを包含しており、これらは両方とも支持部613に取り付けられている。一般的に、モータアセンブリ617Aとモータアセンブリ617Bはアクチュエータ630と案内機構631を包含している。一実施形態では、アクチュエータ630は直接駆動式の無ブラシリニアサーボモータである。このサーボモータは、リフトレールアセンブリ626コンポーネント上に搭載された基部コンポーネント616A〜B(例えば2次コイルまたは「回転子」部分)との連通を介して、取り付けられたFL型ロボットアセンブリコンポーネント(例えば符号610または620)を独立的に昇降するように適合されている。一実施形態では、制御のコストおよび容易性の観点から、リフトレール(即ち、正面レール614と裏面レール612)の一方だけが1つのアクチュエータ630を有し、もう一方のレールは案内機構631のみを有するようにすることが有利である。直接駆動式の無ブラシリニアサーボモータは、Danaher Motion(イリノイ州ウッドデール)、またはAerotech,Inc.(ペンシルベニア州ピッツバーグ)から購入することができる。別の実施形態では、アクチュエータ630はステッパモータであるか、または、様々なFL型ロボットアセンブリ610または620コンポーネントを昇降するために使用できる、別タイプのアクチュエータであってもよい。   [00285] Referring to FIGS. 15C-15D, the support assembly 624 generally includes a support 613, a motor assembly 617A in communication with the front rail 614, and a motor assembly in communication with the back rail 612. These are both attached to the support 613. In general, the motor assembly 617A and the motor assembly 617B include an actuator 630 and a guide mechanism 631. In one embodiment, actuator 630 is a direct drive brushless linear servomotor. This servomotor is connected to a base robot component 616A-B (eg, a secondary coil or “rotor” portion) mounted on a lift rail assembly 626 component, and is attached to an FL type robot assembly component (eg, symbol 610 or 620) is adapted to move up and down independently. In one embodiment, from a cost and ease of control perspective, only one of the lift rails (ie, front rail 614 and back rail 612) has one actuator 630 and the other rail has only guide mechanism 631. It is advantageous to have it. Direct-drive brushless linear servo motors are available from Danaher Motion (Wooddale, Ill.), Or Aerotech, Inc. (Pittsburgh, Pennsylvania). In another embodiment, actuator 630 may be a stepper motor or another type of actuator that can be used to raise and lower various FL robot assembly 610 or 620 components.

[00286]案内機構631は、FL型ロボットアセンブリ610コンポーネントまたはFL型ロボットアセンブリ620コンポーネントが昇降される際に、これらをリフトレール上に支持し、正確に案内することにより、FL型ロボットアセンブリ610またはFL型ロボットアセンブリ620の動作の位置と正確性が上手く制御され、基板の一貫した動作および移送を行えるように適合されている。一実施形態(図示せず)では、案内機構631は、FL型ロボットアセンブリ610コンポーネントまたはFL型ロボットアセンブリ620コンポーネントを支持および保持するリニア案内部を包含している。リニア案内部は、Danaher Motion(イリノイ州ウッドデール)から購入することができる。図15C〜図15Dに示す別の実施形態では、車輪619が直交構成においてモータアセンブリ617A〜Bに取り付けられており、この車輪619がT字型のレール構造618上を転がると、FL型ロボットアセンブリ610コンポーネントまたはFL型ロボットアセンブリ620コンポーネントの位置決めと正確な制御が行われる。   [00286] The guide mechanism 631 supports the FL robot assembly 610 or the FL robot assembly 610 or the FL robot assembly 620 component by supporting and accurately guiding them on the lift rail when the FL robot assembly 610 component or the FL robot assembly 620 component is raised or lowered. The position and accuracy of the movement of the FL robot assembly 620 is well controlled and adapted for consistent movement and transfer of the substrate. In one embodiment (not shown), the guide mechanism 631 includes a linear guide that supports and holds the FL robot assembly 610 component or the FL robot assembly 620 component. Linear guides can be purchased from Danaher Motion (Wooddale, IL). In another embodiment shown in FIGS. 15C-15D, wheels 619 are attached to motor assemblies 617A-B in an orthogonal configuration, and when the wheels 619 roll over a T-shaped rail structure 618, an FL robot assembly. Positioning and precise control of the 610 component or FL robot assembly 620 component is performed.

[00287]本発明の1つの態様では、FL型ロボットアセンブリ602は、基板のグループ化とグループ移送を行えるように同期された、2つ以上のFL型ロボットアセンブリ(例えば符号610、620)を包含する。この構成は、クラスタツール内の基板スループットを向上させるため有利である。1つの態様では、2つ以上のFL型ロボットアセンブリが物理的に結合しているので、FL型ロボットアセンブリの各ブレードの動作が調和するとグループ化されるようになっている。この場合、ロボットアセンブリ610は、固定距離で離間し、同期して動作する。別の態様では、FL型ロボットアセンブリ(例えば符号610、620)は機械的に結合しているため、固定距離で離間した状態に維持されるが、しかし、それぞれのFL型ロボット625が互いに独立して移動することが可能である(例えば、水平面上で独立して動作する)。   [00287] In one aspect of the invention, FL robot assembly 602 includes two or more FL robot assemblies (eg, 610, 620) synchronized to allow substrate grouping and group transfer. To do. This configuration is advantageous because it improves the substrate throughput within the cluster tool. In one aspect, two or more FL robot assemblies are physically coupled so that the movements of each blade of the FL robot assembly are grouped together. In this case, the robot assembly 610 is separated by a fixed distance and operates synchronously. In another aspect, the FL robot assemblies (eg, 610, 620) are mechanically coupled and are therefore maintained at a fixed distance, but the respective FL robots 625 are independent of each other. (E.g., operate independently on a horizontal plane).

[00288]別の態様では、2つ以上のFL型ロボットアセンブリのそれぞれの動作を制御し、同期させるためにシステムコントローラ101を使用することで、基板を2枚以上のグループにて移送できるようにしている。例えば、中央ロボット107が2つのロボットを包含するFL型ロボットアセンブリ602である場合は、上方FL型ロボットアセンブリ610および下方ロボットアセンブリ620を使用して、コータチャンバ60A(例えばCD1、CD2(図4A))から基板をほぼ同時に取り上げ、次に基板を所望のベークチャンバ90(例えばB1、B5(図4B))内にほぼ同時に下ろすことによって図14Aで説明した移送経路A2を完了することができる。この構成は、グループで移動することによりスループットが向上する上に、さらに、別の望ましいタスクを完了するために必要であれば各ロボットが独立して移動できるようになっているので有利である。   [00288] In another aspect, the system controller 101 is used to control and synchronize the operation of each of the two or more FL robot assemblies so that the substrates can be transferred in groups of two or more. ing. For example, if the central robot 107 is an FL robot assembly 602 that includes two robots, the upper FL robot assembly 610 and the lower robot assembly 620 are used to coat the coater chamber 60A (eg, CD1, CD2 (FIG. 4A)). ), And then lowering the substrate into the desired bake chamber 90 (eg, B1, B5 (FIG. 4B)) approximately simultaneously, the transfer path A2 described in FIG. 14A can be completed. This configuration is advantageous because it improves throughput by moving in groups and allows each robot to move independently if necessary to complete another desired task.

B. 関節ロボット
[00289]図16Aは、関節ロボットアセンブリ702(以降、ARアセンブリ702)を包含する中央ロボット107の一実施形態の等角図である。ARアセンブリ702は、前端処理ラック52、第1中央処理ラック152、第2中央処理ラック154、および/または後部処理ラック202の内部に包含されている様々な処理チャンバ間で基板を移送するように適合されている。この実施形態に包含されたコンポーネントを強調および明瞭化する目的で、図16Aから第2中央処理ラック154を除去している。一般的に、ARアセンブリ702は関節ロボット710と2枚ブレードアセンブリ705を包含している。一般的に、関節ロボット710は6軸関節ロボットであり、Mitsubishi Electric Corporation(日本、東京)、Kawasaki Robotics(USA),Inc.(ミシガン州ウィクソム)、Staubli Corp.(サウスカロライナ州ダンカン)から販売されている。一実施形態では、6軸関節ロボットはStaubli Corp.(サウスカロライナ州ダンカン)から購入できるモデル番号TX90である。関節ロボット710はロボット基部713Aおよび機械インターフェース713Bを有し、これらはロボットをクラスタツールに、また、終端効果器アセンブリ(例えば2枚ブレードアセンブリ705とブレードアセンブリ706など)をロボットに接続する。一般的に、6軸関節ロボットは、その複数の軸および複数の接合設計のために、関節ロボットの到達可能範囲は従来のロボットのものと比べて遥かに卓越しているため有利であり、また、複数関節ロボットの到達可能範囲は、基板(1枚以上)を保持および移送する終端効果器の動作が基板移送中に他のロボットをより効率的に回避できるようにするロボットベース713Aの動作とリンクしていないため、および/または、関節ロボットの信頼性がほとんどの従来型ロボットを超越しているため、より簡単に「重なる」ことが可能である。
B. Joint robot
[00289] FIG. 16A is an isometric view of one embodiment of a central robot 107 that includes an articulated robot assembly 702 (hereinafter AR assembly 702). The AR assembly 702 transfers substrates between various processing chambers contained within the front end processing rack 52, the first central processing rack 152, the second central processing rack 154, and / or the rear processing rack 202. Have been adapted. In order to emphasize and clarify the components included in this embodiment, the second central processing rack 154 has been removed from FIG. 16A. In general, the AR assembly 702 includes an articulated robot 710 and a two-blade assembly 705. In general, the articulated robot 710 is a 6-axis articulated robot, which is described in Mitsubishi Electric Corporation (Tokyo, Japan), Kawasaki Robotics (USA), Inc. (Wixom, Michigan), Staubli Corp. (Duncan, South Carolina). In one embodiment, the 6-axis articulated robot is a Stable Corp. Model number TX90 available from (Duncan, South Carolina). The articulated robot 710 has a robot base 713A and a machine interface 713B that connect the robot to the cluster tool and end effector assemblies (eg, two blade assembly 705 and blade assembly 706) to the robot. In general, a 6-axis articulated robot is advantageous because of its multi-axis and multi-joint design, the reach of the articulated robot is far superior to that of conventional robots, and The reachable range of the multi-joint robot is that the movement of the end effector that holds and transfers the substrate (one or more) can be more efficiently avoided by the robot base 713A during the substrate transfer. It is easier to “overlap” because they are not linked and / or because the reliability of articulated robots exceeds most conventional robots.

[00290]2枚ブレードアセンブリ705は、一般的に、支持部720、2つ以上のブレードアセンブリ715(例えば、第1ブレードアセンブリ715A、第2ブレードアセンブリ715Bなど)を包含している。支持部720は関節ロボット710に取り付けられており、これによって案内されることで、第1ブレードアセンブリ715A内のブレードと、第2ブレードアセンブリ715B内のブレードがそれぞれ基板を取り上げ、これを処理ラック内に保持された2つの異なる処理チャンバ内に設置することができる。ロボットブレード間のピッチ(符号「A」を参照)または距離は、第1支持面720Aと第2支持面720Bの間の距離によって固定されており、また、処理ラック内に保持された処理チャンバ間のピッチと一致するように設計されている。これにより、例えば第1中央処理ラック152内の、符号B1、B4を付したベークチャンバの移送位置の間の距離が、前端処理ラック52内の、符号CD1、CD2を付したコータ/デベロッパチャンバの間のピッチと一致するため、BARCコートステップ510の完了後に、基板を、符号B1、B4を付したベークチャンバへ移送してBARC後のベークステップ512を完了することができる。図16Bを参照すると、ピッチ「A」は、一般的に、ブレード711A〜Bの間の、基板受容面712A〜Bに対して直角方向に向かう距離または空間として定義される。一実施形態では、ピッチ(符号「A」)は約100〜1200mm、好ましくは約300〜700の距離である。2枚ブレードアセンブリ705は、関節ロボットアセンブリ702に関連して図示されているが、これ以外の構成では、本発明の基本範囲を変更することなく、2枚ブレードアセンブリ705を別タイプのロボット上で利用することができる。   [00290] The two-blade assembly 705 generally includes a support 720, two or more blade assemblies 715 (eg, a first blade assembly 715A, a second blade assembly 715B, etc.). The support unit 720 is attached to the joint robot 710, and is guided by the support robot 710. As a result, the blades in the first blade assembly 715A and the blades in the second blade assembly 715B pick up the substrates, respectively, and take them in the processing rack Can be installed in two different processing chambers. The pitch (see “A”) or distance between the robot blades is fixed by the distance between the first support surface 720A and the second support surface 720B, and between the processing chambers held in the processing rack. Designed to match the pitch of Thus, for example, the distance between the transfer positions of the bake chambers labeled B1 and B4 in the first central processing rack 152 is the same as that of the coater / developer chamber labeled CD1 and CD2 in the front end processing rack 52. After completion of the BARC coating step 510, the substrate can be transferred to a baking chamber labeled B1, B4 to complete the post-BARC baking step 512. Referring to FIG. 16B, pitch “A” is generally defined as the distance or space between blades 711A-B in a direction perpendicular to substrate receiving surfaces 712A-B. In one embodiment, the pitch (reference “A”) is a distance of about 100-1200 mm, preferably about 300-700. Although the two-blade assembly 705 is illustrated with respect to the articulated robot assembly 702, other configurations would allow the two-blade assembly 705 to be mounted on another type of robot without changing the basic scope of the present invention. Can be used.

[00291]1つの態様では、基板受容面712A〜Bは、基板をロボットブレード上の適所に持着する縁掴持機構を使用して、ブレード(図示せず)上に位置決めされた基板を保持するように適合されている。縁掴持機構は、基板の縁を複数の点(例えば3点)にて捕え、基板を持着および保持する。   [00291] In one aspect, substrate receiving surfaces 712A-B hold a substrate positioned on a blade (not shown) using an edge gripping mechanism that secures the substrate in place on the robot blade. Is adapted to be. The edge gripping mechanism catches the edge of the substrate at a plurality of points (for example, three points), and holds and holds the substrate.

[00292]図16Bを参照すると、一実施形態では、各ブレードアセンブリ715(例えば第1ブレードアセンブリ715Aまたは第2ブレードアセンブリ715B)は、一般的に1つ以上のロボットブレードアクチュエータ721(符号721A〜721Bを参照)と、1つ以上のロボットブレード711(符号711A〜711Bを参照)を包含する。ロボットブレードアクチュエータ721は、直接駆動式の無ブラシリニアサーボモータ、またはこれ以外の、ロボットブレード711の動作および位置の制御が可能な同等の装置であってもよい。一般的に、1つのロボットブレードが別のロボットブレードに対して作動または平行移動することによって、ロボットブレード間のピッチが影響を受けることはない。これは、作動されたブレードが、他のロボットブレードと平行な1平面内で平行移動することが好ましいからである。   [00292] Referring to FIG. 16B, in one embodiment, each blade assembly 715 (eg, the first blade assembly 715A or the second blade assembly 715B) is typically one or more robot blade actuators 721 (reference numerals 721A-721B). And one or more robot blades 711 (see reference numerals 711A to 711B). The robot blade actuator 721 may be a direct drive brushless linear servo motor or other equivalent device capable of controlling the operation and position of the robot blade 711. In general, the pitch between robot blades is not affected by the movement or translation of one robot blade relative to another robot blade. This is because the actuated blade is preferably translated in one plane parallel to the other robot blades.

[00293]図16Cは、支持面720A上に位置決めした支持ブラケット722A上に搭載されている1対のブレードアセンブリ715A、715Cと、第2支持面720B上に位置決めした支持ブラケット722B上に搭載されている第2対のブレードアセンブリ715B、715Dを包含する2枚ブレードアセンブリ705の一実施形態を図示している。図16Cはさらに、ロボットブレード711Bが作動位置にあり、他のブレード(例えば715A、715C〜D)が引き込み位置にある状態で示す構成を図示している。2枚ブレードアセンブリ705の1つの態様では、システムコントローラ(図示せず)とそのロボットブレードアクチュエータ721(例えば721A〜D)を使用することで、各ブレードアセンブリ715(例えば715A〜D)内に包含された各ロボットブレード711(例えば711A〜D)を独立的に作動させることができる。図16Cに示す1つの態様では、ロボットブレードのそれぞれの対におけるロボットブレード711を、相互の上にほぼ水平に整列し、水平に離間した方位(多くの場合「オーバ/アンダー」構成と呼ばれる)に物理的に位置決めすることができるため、基板を各ブレード上に同時に保持することが可能となる。オーバ/アンダーブレード構成は、例えば、ロボットが基準位置から離れることなく、次に処理される基板を同じ処理チャンバ内に設置する前に先行の基板をそこから別のチャンバへ除去する必要がある場合に有利である。別の態様では、この構成によって、ロボットが全てのブレードを充填し、その後、ツール内の所望の場所へグループ毎に移送できるようになる。例えば、図16Cでは、4枚の基板を4枚のブレードの上へ移送することができる。この構成はまた、グループ毎に移送された基板を、各ブレード711A〜Dから基板を1度に1枚ずつ下ろす、または取り上げることによってグループ解除できるというさらなる利点も有する。別の実施形態では、各支持面(例えば図16Bの720A、720B)上に搭載された、3枚またはそれ以上に積重した基板を、ロボットブレードの「対」の代わりに使用して、複数基板のグループ毎の移送をさらに促進することができる。   [00293] FIG. 16C shows a pair of blade assemblies 715A, 715C mounted on a support bracket 722A positioned on a support surface 720A and a support bracket 722B positioned on a second support surface 720B. One embodiment of a two-blade assembly 705 is shown that includes a second pair of blade assemblies 715B, 715D. FIG. 16C further illustrates a configuration shown with the robot blade 711B in the operating position and the other blades (eg, 715A, 715C-D) in the retracted position. One aspect of the two-blade assembly 705 is contained within each blade assembly 715 (eg, 715A-D) by using a system controller (not shown) and its robot blade actuator 721 (eg, 721A-D). In addition, each robot blade 711 (for example, 711A to D) can be operated independently. In one aspect shown in FIG. 16C, the robot blades 711 in each pair of robot blades are aligned approximately horizontally above each other in horizontally spaced orientations (often referred to as “over / under” configurations). Since it can be physically positioned, the substrate can be held on each blade simultaneously. The over / under blade configuration is, for example, when the robot needs to remove the previous substrate from it to another chamber before placing the next substrate to be processed in the same processing chamber without leaving the reference position. Is advantageous. In another aspect, this configuration allows the robot to fill all the blades and then transfer them group by group to the desired location in the tool. For example, in FIG. 16C, four substrates can be transferred onto four blades. This configuration also has the additional advantage that the substrates transferred in groups can be ungrouped by dropping or picking up the substrates one at a time from each blade 711A-D. In another embodiment, three or more stacked substrates mounted on each support surface (eg, 720A, 720B in FIG. 16B) are used in place of robot blade “pairs” to provide multiple Transfer of groups of substrates can be further promoted.

[00294]図16Eは、1枚ブレード(符号715D)をポッドアセンブリ105内の基板「W」にアクセスできるように延出して、カセット106内で基板を取り上げたり下ろすことを可能にした、オーバ/アンダータイプの2枚ブレードアセンブリ705の断面図を図示している。この構成によって、システムを通って基板をグループ毎に移送し、1度に1枚の基板しか受容できないステーション(例えばカセット106、ステッパ/スキャナ5など)内にて基板を下ろすおよび/または取り上げられるようになる。   [00294] FIG. 16E extends a single blade (symbol 715D) to allow access to the substrate “W” in the pod assembly 105, allowing the substrate to be picked up and down in the cassette 106. A cross-sectional view of an under-type two-blade assembly 705 is shown. This arrangement allows substrates to be transported group by group through the system so that they can be lowered and / or picked up in a station (eg, cassette 106, stepper / scanner 5, etc.) that can accept only one substrate at a time. become.

[00295]1つの態様では、2つ以上の固定ロボットブレードを包含する、即ちロボットブレードアクチュエータ721を包含していないロボットを使用して、1枚基板移送タスクを実行する場合には、少なくとも1枚のロボットブレードが「再位置決めされる」、例えば裏返される、回転させる、および/または取り外されるようにロボットが適合されているので、「再位置決めされた」ブレード(1つ以上)によって、基板を別のロボットブレード上に移送する処理が干渉されることはない。この構造では、別のロボットブレードを使用して基板の移送を行えるようにするために、特別な位置またはチャンバ(例えば支持チャンバ)を、ロボットブレードを受容して、これを所望の方位に再位置決めするように適合することができる。1つ以上のロボットブレードを再位置決めする能力は、近接した他の処理チャンバ位置の利用を可能にするため、グループ毎の移送シーケンスおいて1つ以上の処理チャンバが稼動しておらず、そのためブレードを処理チャンバに入れることができない場合には特に有効である。   [00295] In one aspect, when performing a single substrate transfer task using a robot that includes two or more fixed robot blades, ie, does not include a robot blade actuator 721, at least one Because the robot is adapted to be “repositioned”, eg, flipped, rotated, and / or removed, the “repositioned” blade (s) will cause the substrate to be The process of transferring onto another robot blade is not interfered. In this structure, a special position or chamber (eg, a support chamber) is received in the robot blade and repositioned in the desired orientation so that another robot blade can be used to transfer the substrate. Can be adapted to do. The ability to reposition one or more robot blades allows the use of other processing chamber positions in close proximity, so that one or more processing chambers are not operating in a group-by-group transfer sequence, so the blade This is particularly effective when it is not possible to enter the processing chamber.

[00296]図16F、図16Gは、1枚ブレードタイプの関節ロボットアセンブリ703を包含する前端ロボット108または後部ロボット109の一実施形態の等角図である。単関節アセンブリ703(これ以降「SAロボットアセンブリ703」とする)は、ロボットが前端ロボットであるか後部ロボットであるかに応じて、フロンドエンド処理ラック52、ポッドアセンブリ105、後部処理ラック202、ステッパ/スキャナ5内の様々なチャンバどうしの間で基板を移送するように適合されている。一般的に、SAロボットアセンブリ703は関節ロボット710とブレードアセンブリ706を包含する。また一般的に、関節ロボット710は6軸関節ロボットであり、Mitsubishi Electric Corporation(日本、東京)、Kawasaki Robotics(USA),Inc.(ミシガン州ウィクソム)、Staubli Corp.(サウスカロライナ州ダンカン)から販売されている。   [00296] FIGS. 16F and 16G are isometric views of one embodiment of the front end robot 108 or rear robot 109 that includes a single blade type articulated robot assembly 703. FIG. The single joint assembly 703 (hereinafter referred to as “SA robot assembly 703”) includes a front end processing rack 52, a pod assembly 105, a rear processing rack 202, a stepper depending on whether the robot is a front end robot or a rear end robot. / Adapted to transfer substrates between the various chambers in the scanner 5. In general, the SA robot assembly 703 includes an articulated robot 710 and a blade assembly 706. In general, the joint robot 710 is a six-axis joint robot, which is described in Mitsubishi Electric Corporation (Tokyo, Japan), Kawasaki Robotics (USA), Inc. (Wixom, Michigan), Staubli Corp. (Duncan, South Carolina).

[00297]図16Gを参照すると、ブレードアセンブリ706は、一般的に、上述した支持部718とブレードアセンブリ715(例えば第1ブレードアセンブリ715A)を包含する。ブレードアセンブリ715内のロボットブレード711が、基板を取り上げ、処理ラック内に保持された処理チャンバ内に設置できるようにするために、支持部718が関節ロボット710に取り付けられており、関節ロボットによって案内されるようになっている。一実施形態では、1枚ブレード関節ロボットアセンブリ703は、図16Cに関連して図示および説明した対のうちの1つのような、一対のブレードアセンブリ715を含んでいてもよい。   [00297] Referring to FIG. 16G, the blade assembly 706 generally includes the support 718 and blade assembly 715 (eg, first blade assembly 715A) described above. A support 718 is attached to the articulated robot 710 and guided by the articulated robot so that the robot blade 711 in the blade assembly 715 can pick up the substrate and place it in the processing chamber held in the processing rack. It has come to be. In one embodiment, the single blade articulated robot assembly 703 may include a pair of blade assemblies 715, such as one of the pairs shown and described in connection with FIG. 16C.

[00298]一実施形態では、前端ロボット108または後部ロボット109は、図16A〜図16D、図14A〜図14Bに関連して図示および上述した2枚ブレードアセンブリ705である。この構成は、基板をグループ毎にシステムを通って移送できるようにするため、スループット、CoO、システムの信頼性が増加する。   [00298] In one embodiment, the front end robot 108 or the rear robot 109 is the two-blade assembly 705 shown and described above in connection with FIGS. 16A-16D, 14A-14B. This configuration allows the substrate to be transported through the system for each group, thus increasing throughput, CoO, and system reliability.

[00299]図16Hは、スライドアセンブリ714を使用することで、関節ロボット基部713をクラスタツールの長さに沿って並進させ、位置決めできるように適合された可動関節ロボット(例えばARアセンブリを示す)の等角図である。この構成では、関節ロボット基部713は、スライドアセンブリ714のアクチュエータアセンブリ717に接続しており、また、システムコントローラ101からの命令を使用して、ARアセンブリ702をクラスタツール内の所望の位置へ移動できるように適合されている。スライドアセンブリ714は、一般的にアクチュエータアセンブリ717、カバー(図示せず)、基部716を包含している。基部716はARアセンブリ702コンポーネントとスライドアセンブリコンポーネントをクラスタツールに支持および搭載する。カバー(明瞭な図示はない)を使用して、アクチュエータアセンブリ717、および他のスライドアセンブリ特徴を封止することで、これらが処理チャンバに到達する前に粒子が生成することを防止し、また、クラスタツールのメンテナンス中における損傷を防止する。アクチュエータアセンブリ717は、一般的に、アクチュエータ719と案内機構723(符号723A、723B)を包含していてもよい。図16Hに示す一実施形態では、アクチュエータ719は直接駆動式の無ブラシリニアサーボモータである。このサーボモータは、基部716とスライダ719B(例えば固定子)上に搭載されている基部コンポーネント719Aとの連通を解して、ARアセンブリ702をスライドアセンブリ714の長さに沿って移動させるように適合されている。直接駆動式無ブラシリニアサーボモータは、Danaher Motion(イリノイ州ウッドデール)、またはAerotech,Inc.(ペンシルベニア州ピッツバーグ)から購入することができる。別の実施形態では、アクチュエータ719はステッパモータ、またはこれ以外の、ロボットの位置決めにしようできるタイプのアクチュエータであってもよい。案内機構723は基部716に搭載されており、また、ロボットを、これがスライド組立て714の長さに沿って移動する際に支持および案内するために使用される。案内機構723は、当分野で周知の、リニアボールベアリングスライドまたは従来のリニア案内部であってもよい。   [00299] FIG. 16H illustrates a mobile articulated robot (eg, showing an AR assembly) adapted to translate and position an articulated robot base 713 along the length of the cluster tool using a slide assembly 714. FIG. In this configuration, the articulated robot base 713 is connected to the actuator assembly 717 of the slide assembly 714, and the instructions from the system controller 101 can be used to move the AR assembly 702 to a desired position within the cluster tool. Has been adapted to. Slide assembly 714 generally includes an actuator assembly 717, a cover (not shown), and a base 716. Base 716 supports and mounts the AR assembly 702 component and the slide assembly component to the cluster tool. A cover (not clearly shown) is used to seal the actuator assembly 717 and other slide assembly features to prevent particles from forming before they reach the processing chamber, and Prevent damage during cluster tool maintenance. The actuator assembly 717 may generally include an actuator 719 and a guide mechanism 723 (reference numerals 723A, 723B). In one embodiment shown in FIG. 16H, actuator 719 is a direct drive brushless linear servomotor. The servo motor is adapted to move the AR assembly 702 along the length of the slide assembly 714 by disengaging the base 716 and a base component 719A mounted on a slider 719B (eg, a stator). Has been. Direct-drive brushless linear servo motors are available from Danaher Motion (Wooddale, Ill.), Or Aerotech, Inc. (Pittsburgh, Pennsylvania). In another embodiment, the actuator 719 may be a stepper motor or other type of actuator that can be used to position the robot. Guide mechanism 723 is mounted on base 716 and is used to support and guide the robot as it moves along the length of slide assembly 714. The guide mechanism 723 may be a linear ball bearing slide or a conventional linear guide well known in the art.

[00300]図16Hは、スライドアセンブリ714に搭載された1つのロボットを示しているが、別の実施形態では、2つ以上のロボットを同じスライドアセンブリに固定することができる。この構成では、冗長部品の数を低減し、各ロボットの相互に関連した正確な動作を向上させることで、コストの低減が可能である。また、図16Hは、スライドアセンブリ714に搭載された2枚ブレードタイプの関節ロボットを図示しているが、ロボットのタイプまたはブレードの数は本発明の範囲を制限することを意図したものではない。   [00300] Although FIG. 16H shows one robot mounted on a slide assembly 714, in another embodiment, two or more robots can be secured to the same slide assembly. In this configuration, it is possible to reduce the cost by reducing the number of redundant parts and improving the accurate operation of each robot. 16H illustrates a two-blade articulated robot mounted on a slide assembly 714, the robot type or number of blades is not intended to limit the scope of the present invention.

[00301]図16Iは、2枚のブレードを固定したロボットの一実施形態の断面図を図示している。上記2枚のブレードは、垂直に積重した2つの別々のポッドアセンブリ105内で2枚の基板を取り上げるべく位置決めされている。この構成では、複数のブレードを装備したロボットは、2つのカセット(符号106A〜B)内に位置決めされた基板を取り上げる、および/または下ろすことで、基板移送シーケンスのスタートおよび/または最後に、グループ化された基板の移送処理を実行できるように適合されている。1つの態様では、カセットそしてポッドアセンブリは、ロボットがカセット全てにおいて類似した位置で基板にアクセスできるように距離「A」で離間している。1つの態様では、少なくとも1つのカセット(例えば符号106A)が不要である場合に、様々な領域(例えば符号731A、731Bなど)を別のカセットよりも上および/または下に形成することで、ブレードが固定されたロボットの第1固定ロボットブレードが、第2固定ロボットブレードとクラスタツール壁731Cに衝突することなく、第1カセットにアクセスできるようになっている。これにより、1つの態様では、第1ブレード711Aが下方カセット106B内の或る位置にアクセスすると同時に、下方ブレード711Bが壁731Cと衝突することなく領域731Bに入るように、領域731Bを形成することが可能である。図16Iは、ロボットブレード711A〜Bが支持部720の支持面720A〜Bに固定され、そのためロボットブレードアクチュエータ721を使用しない構成を図示しているが、本発明の基本範囲を変更することなく、ロボットブレードアクチュエータを有する別の実施形態を使用することもできる。   [00301] FIG. 16I illustrates a cross-sectional view of one embodiment of a robot with two blades secured. The two blades are positioned to pick up two substrates in two separate pod assemblies 105 stacked vertically. In this configuration, a robot equipped with a plurality of blades takes the group positioned at the beginning and / or end of a substrate transfer sequence by picking up and / or lowering the substrates positioned in two cassettes (reference numerals 106A-B). It is adapted to be able to carry out the transfer process of the structured substrate. In one aspect, the cassette and pod assembly are separated by a distance “A” so that the robot can access the substrate at a similar location in all of the cassettes. In one aspect, when at least one cassette (e.g., 106A) is not required, various regions (e.g., 731A, 731B, etc.) are formed above and / or below another cassette to provide a blade The first fixed robot blade of the robot to which is fixed can access the first cassette without colliding with the second fixed robot blade and the cluster tool wall 731C. Thereby, in one aspect, the region 731B is formed such that the first blade 711A accesses a certain position in the lower cassette 106B and at the same time the lower blade 711B enters the region 731B without colliding with the wall 731C. Is possible. FIG. 16I illustrates a configuration in which the robot blades 711A to 711B are fixed to the support surfaces 720A to 720B of the support portion 720, and thus the robot blade actuator 721 is not used, but without changing the basic scope of the present invention, Other embodiments having robot blade actuators can also be used.

C. シャトルロボット
[00302]図17A〜図17Cは、近接した様々な処理ラック内のチャンバの間で基板を移送するように適合できるシャトルロボット110の様々な実施形態を図示している。ここでの設計は、後続の処理ステップ、例えばBARC後のベークステップ512とBARC後の冷蔵ステップ514の間、フォトレジストコート後のベークステップ522とフォトレジスト後の冷蔵ステップ524の間において使用されるベーク処理チャンバ(例えばベークチャンバ90、HMDS処理チャンバ70、PEBチャンバ130など)と冷却チャンバ80の間で基板を移送する場合に使用するのに有利である。したがって、シャトルロボット110は、前端ロボット108、中央ロボット107、後部ロボット109を含む様々なシステムロボット上の作業負荷を低減し、これにより、基板上で別の処理ステップが完了するまでの間にシステムロボットに別のタスクを実行させるために使用される。
C. Shuttle robot
[00302] FIGS. 17A-17C illustrate various embodiments of a shuttle robot 110 that can be adapted to transfer substrates between chambers in various adjacent processing racks. The design here is used during subsequent processing steps, for example, between a post-BARC bake step 512 and a post-BARC refrigeration step 514, a post-photoresist bake step 522 and a post-photoresist refrigeration step 524. This is advantageous for use in transferring a substrate between a bake process chamber (eg, bake chamber 90, HMDS process chamber 70, PEB chamber 130, etc.) and a cooling chamber 80. Thus, the shuttle robot 110 reduces the workload on various system robots including the front end robot 108, central robot 107, and rear robot 109, thereby allowing the system to complete while another processing step is completed on the substrate. Used to cause the robot to perform another task.

[00303]図17Aは、3つの近接した処理チャンバの間、例えば2つのベークチャンバと冷蔵チャンバ80の間で基板を移送するためにシャトルロボット110を使用した一構成の等角図である。そのためこの構成は、例えば図4Bに示す中央処理ラック152内のベークチャンバB1、冷蔵チャンバC1、ベークチャンバB2の間で使用できる。   [00303] FIG. 17A is an isometric view of one configuration using the shuttle robot 110 to transfer substrates between three adjacent processing chambers, eg, between two bake chambers and a refrigeration chamber 80. FIG. Therefore, this configuration can be used, for example, between the baking chamber B1, the refrigeration chamber C1, and the baking chamber B2 in the central processing rack 152 shown in FIG. 4B.

[00304]図17Bは、近接した2つの処理チャンバ、例えばベークチャンバ90と冷蔵チャンバ80の間で基板を移送するためにシャトルロボット110を使用した一構成の等角図である。そのためこの構成は、例えば図4Aに示す前端処理ラック52に包含されたベークチャンバB1と冷蔵チャンバC7の間、図4Dに示す後部処理ラック202に包含されたPEBベークチャンバPEB1と冷蔵チャンバC3の間、図4Aに示す前端処理ラック52に包含されたHMDS処理チャンバP1と冷蔵チャンバC1の間で使用できる。   [00304] FIG. 17B is an isometric view of one configuration using the shuttle robot 110 to transfer substrates between two adjacent processing chambers, eg, a bake chamber 90 and a refrigeration chamber 80. Therefore, this configuration is, for example, between the bake chamber B1 and the refrigeration chamber C7 included in the front end processing rack 52 shown in FIG. 4A, and between the PEB bake chamber PEB1 and the refrigeration chamber C3 included in the rear processing rack 202 shown in FIG. 4D. 4A can be used between the HMDS processing chamber P1 and the refrigeration chamber C1 included in the front end processing rack 52 shown in FIG. 4A.

[00305]図17Cは、図17Aまたは図17Bに示す近接した処理チャンバの裏側の等角図である。この等角図は、シャトルロボット110の一実施形態を示すことを目的としている。シャトルロボット110は、一般的に、ロボットブレード111とシャトルロボットアクチュエータアセンブリ120を包含している。シャトルロボットアクチュエータアセンブリ120は、一般的に、ロボットブレードアクチュエータ112、スライドアセンブリ113、ロボット駆動アセンブリ119を包含する。ロボットブレード111は、一般的に、基板保持範囲111Aと搭載領域111Bを包含している。取り付け領域111Bは、ロボットブレード111をロボットブレードアクチュエータ112(搭載部112Aを参照)に取り付けるために使用されるロボットブレード111の一範囲である。基板保持範囲111Aは、基板移送処理中に基板を持着するために、従来の、真空生成源(図示せず)に取り付けられる真空チャックとして作用するように適合される。ロボットブレードアクチュエータ112は、1つの処理チャンバから別の処理チャンバへ基板を移送するべくロボットブレード111を昇降させるために使用する装置である。一実施形態では、ロボットアクチュエータ112は空気シリンダである。また一実施形態では、ロボットブレードアクチュエータ112とロボットブレード111の間にリニアアクチュエータ(例えば無ブラシリニアサーボモータ(図示せず))を搭載して、ロボットブレード111を延出させるおよび/または引き込む(チャンバ内に引き込む、またはチャンバ内から延出させる)ことで、処理チャンバ内のリフトピンまたは他の基板保持特徴により基板移送処理を完了できるようになっている。   [00305] FIG. 17C is an isometric view of the back side of the adjacent processing chamber shown in FIG. 17A or FIG. 17B. This isometric view is intended to illustrate one embodiment of shuttle robot 110. The shuttle robot 110 generally includes a robot blade 111 and a shuttle robot actuator assembly 120. The shuttle robot actuator assembly 120 generally includes a robot blade actuator 112, a slide assembly 113, and a robot drive assembly 119. The robot blade 111 generally includes a substrate holding range 111A and a mounting area 111B. The attachment area 111B is a range of the robot blade 111 used for attaching the robot blade 111 to the robot blade actuator 112 (see the mounting portion 112A). The substrate holding range 111A is adapted to act as a conventional vacuum chuck attached to a vacuum generation source (not shown) to hold the substrate during the substrate transfer process. The robot blade actuator 112 is an apparatus used to raise and lower the robot blade 111 to transfer a substrate from one processing chamber to another processing chamber. In one embodiment, robot actuator 112 is an air cylinder. In one embodiment, a linear actuator (eg, a brushless linear servo motor (not shown)) is mounted between the robot blade actuator 112 and the robot blade 111 to extend and / or retract the robot blade 111 (chamber). The substrate transfer process can be completed by lift pins or other substrate holding features within the processing chamber.

[00306]一実施形態では、スライドアセンブリ113は、シャトルロボット110が様々な処理チャンバ間で基板を移送する際にこれを案内するリニアボールベアリングスライドである。一般的に、スライドアセンブリ113はシャトル113Aを包含しており、このシャトル113Aにロボットブレードアクチュエータ112を取り付けることができる。クランプ118は、様々な処理チャンバの間でロボットブレード111を移動するべく、シャトル113Aをロボット駆動アセンブリ119のベルト117に取り付けるために使用される。   [00306] In one embodiment, the slide assembly 113 is a linear ball bearing slide that guides the shuttle robot 110 as it transfers substrates between the various processing chambers. Generally, the slide assembly 113 includes a shuttle 113A, to which a robot blade actuator 112 can be attached. The clamp 118 is used to attach the shuttle 113A to the belt 117 of the robot drive assembly 119 to move the robot blade 111 between the various processing chambers.

[00307]図17Cに示す一実施形態では、ロボット駆動アセンブリ119はベルトおよび滑車タイプのシステムであり、スライドアセンブリ113の長さに沿ってロボットを移動させるために使用される。この構成では、ロボット駆動アセンブリ119は、一般的に2つ以上の遊び滑車116A〜B、ベルト117、モータ115を包含しており、ロボットの位置を駆動および制御するように適合されている。一実施形態では、モータ115は、エンコーダを統合した直流サーボモータであるため、システムコントローラ101がシャトルロボット110の位置を追い、これを制御することができる。ロボット駆動アセンブリ119の別の実施形態では、ベルトおよび滑車タイプのシステムを、Danaher Motion(イリノイ州ウッドデール)から購入できる直接駆動式の無ブラシリニアサーボモータで代用している。   [00307] In one embodiment shown in FIG. 17C, the robot drive assembly 119 is a belt and pulley type system and is used to move the robot along the length of the slide assembly 113. In this configuration, the robot drive assembly 119 generally includes two or more idler pulleys 116A-B, a belt 117, and a motor 115, and is adapted to drive and control the position of the robot. In one embodiment, since the motor 115 is a DC servo motor with an integrated encoder, the system controller 101 can follow the position of the shuttle robot 110 and control it. In another embodiment of the robot drive assembly 119, a belt and pulley type system is substituted with a direct drive brushless linear servomotor that can be purchased from Danaher Motion (Wooddale, Ill.).

統合型のベーク/冷蔵チャンバ
[00308]図18Aは、クラスタツールの様々な実施形態と共用できる統合型のベーク/冷蔵チャンバ800の一実施形態を例証している。一般的には、統合型のベーク/冷蔵チャンバ800は3つの主要処理領域、即ち入力領域830、冷蔵領域810、ベーク領域を有する。これらの領域は、様々なベーク方法ステップ(例えばBARC後のベークステップ512、PEBステップ540など)および/または冷蔵方法ステップ(例えばBARC後の冷蔵ステップ514、PEB後の冷蔵ステップ542など)が実行される場合において、処理シーケンスを実行するように適合できる。統合型のベーク/冷蔵チャンバ800は、エンクロージャ804内に2つ以上のアクセスポート802(図18Aには2つを示す)を包含していてもよく、これらのアクセスポート802は、外部ロボット(例えば前端ロボット108、中央ロボット107など(図示せず))が、基板を取り上げ、または下ろすために、入力領域830および/または冷蔵領域810にアクセスできるように適合されている。一般的に、エンクロージャ804は入力ステーションステーション804A、冷蔵チャンバエンクロージャ804B、ベークチャンバエンクロージャ804Cを包含している。これらのチャンバは、統合型のベーク/冷蔵チャンバ800の様々な領域を隔離するように適合されている。
Integrated bake / refrigerated chamber
[00308] FIG. 18A illustrates one embodiment of an integrated bake / refrigeration chamber 800 that can be shared with various embodiments of the cluster tool. In general, the integrated bake / refrigeration chamber 800 has three main processing areas: an input area 830, a refrigeration area 810, and a bake area. These regions are subjected to various baking method steps (eg, post-BARC bake step 512, PEB step 540, etc.) and / or refrigeration method steps (eg, post-BARC refrigeration step 514, post-PEB refrigeration step 542, etc.). Can be adapted to execute a processing sequence. The integrated bake / refrigeration chamber 800 may include two or more access ports 802 (two are shown in FIG. 18A) within the enclosure 804, and these access ports 802 may include external robots (eg, Front end robot 108, central robot 107, etc. (not shown) are adapted to access input area 830 and / or refrigeration area 810 to pick up or lower the substrate. In general, the enclosure 804 includes an input station station 804A, a refrigerated chamber enclosure 804B, and a bake chamber enclosure 804C. These chambers are adapted to isolate various areas of the integrated bake / refrigeration chamber 800.

[00309]一実施形態では、入力領域830を、外部ロボットから基板を除去するために使用している。一般的に、入力領域830は、例えばリフトピン836または他の類似した装置のような基板交換装置を包含する封止領域である。この基板交換装置は、外部ロボットが統合型のベーク/冷蔵チャンバ800内で基板を取り上げ、下ろせるようにするよう適合されている。入力領域830はまた、冷蔵された移送アームアセンブリ832がリフトピン836から基板を取り上げたり、リフトピン836に基板を下ろすことができるように構成されている。   [00309] In one embodiment, the input area 830 is used to remove the substrate from the external robot. In general, input region 830 is a sealed region that includes a substrate changer, such as lift pins 836 or other similar devices. The substrate changer is adapted to allow an external robot to pick up and lower a substrate in an integrated bake / refrigeration chamber 800. The input area 830 is also configured to allow the refrigerated transfer arm assembly 832 to pick up the substrate from the lift pins 836 and to lower the substrate to the lift pins 836.

[00310]冷蔵された移送アームアセンブリ832は、一般的に、ブレード受容面834と複数の切抜き部835とを有する冷蔵されたブレード833を包含する。ブレード受容面834と複数の切抜き部835は、冷蔵されたブレード833が統合型のベーク/冷蔵チャンバ800の様々な処理領域内に在る様々な基板交換装置から基板を取り上げる、そこに保持する、そこへ下ろすことができるように適合されている。一実施形態では、冷蔵された移送アームアセンブリ832の冷蔵されたブレード833は、ブレード受容面834上に位置決めされた基板の温度を温度制御できるようにするためにブレード受容面834と熱連通した熱交換装置837(図18B)を包含している。1つの態様では、システムコントローラ101と通信している温度コントローラ838(図18B)を使用して、熱交換装置837の温度の監視と制御を行う。熱交換装置837は、基板の温度を制御するために、熱電装置および/または組み込み型の熱要素であってもよい。1つの態様では、熱交換装置837は複数の流体チャネル(図示せず)を包含している。この流体チャネルは、冷蔵されたブレード833内に組み込まれており、また、温度制御した熱交換流体が内部を流通するように構成されている。ブレード受容面834は、基板を受容面上に保持するための機械特徴(図示せず)を包含していてもよい。1つの態様では、ブレード受容面834は複数の真空ポート(図示せず)を包含していてもよく、この真空ポートは真空源(図示せず)に接続しているため、基板を保持し、基板とブレード受容面834間の密接した接触を確保することができる。   [00310] The refrigerated transfer arm assembly 832 generally includes a refrigerated blade 833 having a blade receiving surface 834 and a plurality of cutouts 835. The blade receiving surface 834 and the plurality of cutouts 835 pick up and hold substrates from various substrate changers in which the refrigerated blades 833 are in various processing regions of the integrated bake / refrigeration chamber 800. It is adapted so that it can be lowered there. In one embodiment, the refrigerated blades 833 of the refrigerated transfer arm assembly 832 are in thermal communication with the blade receiving surface 834 to allow temperature control of the temperature of the substrate positioned on the blade receiving surface 834. It includes an exchange device 837 (FIG. 18B). In one aspect, the temperature controller 838 (FIG. 18B) in communication with the system controller 101 is used to monitor and control the temperature of the heat exchange device 837. The heat exchange device 837 may be a thermoelectric device and / or an embedded thermal element to control the temperature of the substrate. In one aspect, the heat exchange device 837 includes a plurality of fluid channels (not shown). The fluid channel is incorporated in a refrigerated blade 833 and is configured such that a temperature-controlled heat exchange fluid flows through it. The blade receiving surface 834 may include mechanical features (not shown) for holding the substrate on the receiving surface. In one aspect, the blade receiving surface 834 may include a plurality of vacuum ports (not shown) that are connected to a vacuum source (not shown) to hold the substrate, Intimate contact between the substrate and the blade receiving surface 834 can be ensured.

[00311]図18Bは、冷蔵されたブレードアクチュエータアセンブリ839を利用した、冷蔵された移送アームアセンブリ832の一実施形態を図示している。冷蔵されたブレードアクチュエータアセンブリ839は、図17Cに関連して上述したシャトルロボットアクチュエータアセンブリ120と類似しており、また、統合型のベーク/冷蔵チャンバ800の様々な処理領域のいずれかにおける、冷蔵されたブレードアセンブリ832の位置を制御するために使用される。明瞭性の理由から、冷蔵されたブレードアクチュエータ組宛品839とシャトルロボットアクチュエータアセンブリ120に使用した共通のコンポーネントの符号を変更していない点に気付くだろう。冷蔵された移送アームアセンブリ832の1つの態様では、システムコントローラ101を利用することで、統合型のベーク/冷蔵チャンバの様々な処理領域のいずれかにおいて、冷蔵されたブレードアセンブリ832を垂直および水平の両方向に位置決めする。冷蔵されたブレード833の位置決めは、統合型のベーク/冷蔵チャンバ800の1つ以上の面が上に搭載されている冷蔵されたブレードアクチュエータアセンブリ839を使用して行われる。図18A〜Bを参照すると、エンクロージャ804は複数のエンクロージャ切抜き部806を包含している。このエンクロージャ切抜き部806は、冷蔵されたブレード833に、統合型のベーク/冷蔵チャンバ800の様々な処理領域間で基板を移送させることができる。   [00311] FIG. 18B illustrates one embodiment of a refrigerated transfer arm assembly 832 utilizing a refrigerated blade actuator assembly 839. The refrigerated blade actuator assembly 839 is similar to the shuttle robot actuator assembly 120 described above with respect to FIG. 17C and is refrigerated in any of the various processing areas of the integrated bake / refrigeration chamber 800. Used to control the position of the blade assembly 832. It will be noted that, for reasons of clarity, the common components used in the refrigerated blade actuator set 839 and shuttle robot actuator assembly 120 have not been changed in sign. In one aspect of the refrigerated transfer arm assembly 832, the system controller 101 is utilized to move the refrigerated blade assembly 832 vertically and horizontally in any of the various processing areas of the integrated bake / refrigeration chamber. Position in both directions. The positioning of the refrigerated blade 833 is performed using a refrigerated blade actuator assembly 839 on which one or more faces of the integrated bake / refrigeration chamber 800 are mounted. Referring to FIGS. 18A-B, the enclosure 804 includes a plurality of enclosure cutouts 806. The enclosure cutout 806 can allow a refrigerated blade 833 to transfer substrates between various processing regions of the integrated bake / refrigeration chamber 800.

[00312]図18Aを参照すると、冷蔵領域810は、図10Aに関して図示および説明した冷蔵チャンバ80コンポーネントを包含している。冷蔵領域810の1つの態様では、冷蔵された移送アームアセンブリ832が統合型のベーク/冷蔵チャンバ800の様々な処理領域間における基板の移送を促進するために、エンクロージャ804Bは、1つ以上のエンクロージャ切抜き部806を包含している。   [00312] Referring to FIG. 18A, the refrigeration region 810 includes the refrigeration chamber 80 component shown and described with respect to FIG. 10A. In one aspect of the refrigerated region 810, the enclosure 804B may include one or more enclosures in order for the refrigerated transfer arm assembly 832 to facilitate substrate transfer between the various processing regions of the integrated bake / refrigerated chamber 800. A cutout 806 is included.

[00313]ベーク領域820は、図10B〜図10Dに関して図示または説明したベークチャンバ90、HMDS処理チャンバ70、PEBチャンバ130の全てのコンポーネントを包含している。ベーク領域820の1つの態様では、冷蔵された移送アームアセンブリ832が統合型のベーク/冷蔵チャンバ800の様々な処理領域間で基板を移送できるようにするために、エンクロージャ804Cは1つ以上の切抜き部806を包含する。   [00313] Bake region 820 includes all components of bake chamber 90, HMDS process chamber 70, and PEB chamber 130 shown or described with respect to FIGS. 10B-10D. In one aspect of the bake region 820, the enclosure 804C has one or more cutouts to allow the refrigerated transfer arm assembly 832 to transfer substrates between the various processing regions of the integrated bake / refrigeration chamber 800. Part 806 is included.

[00314]統合型のベーク/冷蔵チャンバ800を使用する場合、外部ロボットが、基板をアクセスポート802を通って入力領域のリフトピン836へ移送する。リブとピン836の下に位置付けられている冷蔵されたブレード833が垂直に移動することで、基板がリフトピン836から除去されて、ブレード受容面834上に位置決めされる。続いて、冷蔵されたブレード833がベーク領域820へ移動され、ここに基板を置いた後にベーク領域820から出る。これにより、基板上でベーク処理を実行できるようになる。ベーク処理の実行後に、冷蔵されたブレード834がベーク領域820から基板を取り上げ、冷蔵領域810内の基板交換装置へ移送した後に冷蔵領域810から出る。冷蔵処理の実行が終わると、外部ロボットを使用して、基板がアクセスポート802を通って冷蔵領域810から除去される。1つの態様では、冷蔵処理の実行後に、冷蔵されたブレード833が基板を冷蔵領域810から除去して、入力領域内のリフトピン836上に置く。冷蔵領域810は新規の基板上で冷蔵処理を完了するようになされていることから、および/または、外部ロボットに、置かれた位置と同じ位置から基板を取り上げさせることから、この構成は有利である。   [00314] When using the integrated bake / refrigeration chamber 800, an external robot transfers the substrate through the access port 802 to the lift pins 836 in the input area. The refrigerated blade 833 positioned below the ribs and pins 836 moves vertically to remove the substrate from the lift pins 836 and position it on the blade receiving surface 834. Subsequently, the refrigerated blade 833 is moved to the bake area 820 and exits the bake area 820 after placing the substrate thereon. As a result, the baking process can be performed on the substrate. After performing the bake process, the refrigerated blade 834 picks up the substrate from the bake region 820, transfers it to the substrate changer in the refrigerated region 810, and exits the refrigerated region 810. When the refrigeration process is complete, the substrate is removed from the refrigerated area 810 through the access port 802 using an external robot. In one aspect, after performing the refrigeration process, the refrigerated blade 833 removes the substrate from the refrigerated area 810 and places it on lift pins 836 in the input area. This configuration is advantageous because the refrigerated area 810 is adapted to complete the refrigeration process on a new substrate and / or allows an external robot to pick up the substrate from the same location where it was placed. is there.

PEBクラスタツール構成を用いた統合型のスキャナ/ステッパ
[00315]図19Aは、クラスタツールがクラスタツール10Aとステッパ/スキャナ5Aを包含している本発明の一実施形態の平面図である。この構成では、PEBチャンバ5C(即ち、上述した要素130(図10D))はステッパ/スキャナ5A内に統合されており、ステッパ/スキャナはクラスタツール10Aから取り外すことができる。多くの場合、ステッパ/スキャナのスループットはトラックシステムタイプのクラスタツールのスループットの数倍大きく、したがって1つのトラックシステムに専用の1つのステッパ/スキャナを設けることはステッパ/スキャナの過剰スループット容量を無駄にすることとなるため、この構成は従来技術と比べて有利である。この実施形態により、1つのステッパ/スキャナで、複数のトラックシステムに対応できるようになる一方で、さらに、ステッパ/スキャナ内でPEBステップ540の実行とPEB後の冷蔵ステップ542を実行することにより、露光処理実行後にフォトレジストが安定する。
Integrated scanner / stepper using PEB cluster tool configuration
[00315] FIG. 19A is a plan view of one embodiment of the present invention in which the cluster tool includes a cluster tool 10A and a stepper / scanner 5A. In this configuration, PEB chamber 5C (ie, element 130 (FIG. 10D) described above) is integrated into stepper / scanner 5A, and the stepper / scanner can be removed from cluster tool 10A. In many cases, the throughput of a stepper / scanner is several times greater than the throughput of a track system type cluster tool, so having a single stepper / scanner dedicated to a single track system wastes the excess throughput capacity of the stepper / scanner. Therefore, this configuration is advantageous as compared with the prior art. This embodiment allows a single stepper / scanner to support multiple track systems, while also performing PEB step 540 and post-PEB refrigeration step 542 within the stepper / scanner. The photoresist becomes stable after the exposure process is executed.

[00316]図19Aに示す一実施形態では、クラスタツール10Aは、図1Bに関連して図示および上述したように前端モジュール50、中央モジュール150、後部モジュール200を包含できる。この構成では、クラスタツール10Aはステッパ/スキャナと統合されていないため、後部ロボット109(図2Eに示す)を後部モジュール200から除去して、コスト削減およびシステム複雑性の低減を行っている。別の実施形態では、クラスタツール10Aは、本発明の基本範囲から逸脱しない限りの異なる数の処理チャンバおよび/または処理ラックを包含することができる。   [00316] In one embodiment shown in FIG. 19A, the cluster tool 10A can include a front end module 50, a center module 150, a rear module 200 as shown and described above in connection with FIG. 1B. In this configuration, the cluster tool 10A is not integrated with the stepper / scanner, so the rear robot 109 (shown in FIG. 2E) is removed from the rear module 200 to reduce cost and system complexity. In another embodiment, the cluster tool 10A can include a different number of processing chambers and / or processing racks without departing from the basic scope of the present invention.

[00317]この構成では、一般的にステッパ/スキャナ5Aは1つ以上のPEBチャンバ5Cと1つ以上の冷蔵チャンバ5B(即ち上述における符号80(図10A))を包含する。必要なPEBチャンバと冷蔵チャンバの数は、ステッパ/スキャナ5Aが必要とするスループットと、PEBチャンバおよび冷蔵チャンバ内における処理時間とによって異なる。実際の使用時には、PEBチャンバ5Cおよび/または冷蔵チャンバ5Bがステッパ/スキャナの入力ステージおよび/または出力ステージとして作用するため、ステッパ/スキャナロボット(図示せず)が基板を取り上げ、戻す場所を有するようになる。一実施形態では、PEBチャンバ5Cが基板の加熱および冷却の両方を行うように適合されている場合には(上述)、少なくとも2つのPEBチャンバを、図19Aには示していないステッパ/スキャナ内の位置5B、5Cに統合することができる。一実施形態では、PEBチャンバ5Cは基板の加熱および冷却の両方を行うように適合されている場合に(上述)、PEBチャンバを1つのみステッパ/スキャナ5に統合している。   [00317] In this configuration, the stepper / scanner 5A typically includes one or more PEB chambers 5C and one or more refrigeration chambers 5B (ie, reference numeral 80 (FIG. 10A) above). The number of PEB chambers and refrigeration chambers required depends on the throughput required by the stepper / scanner 5A and the processing time in the PEB and refrigeration chambers. In actual use, the PEB chamber 5C and / or the refrigeration chamber 5B acts as an input stage and / or output stage for the stepper / scanner so that the stepper / scanner robot (not shown) has a place to pick up and return the substrate. become. In one embodiment, if the PEB chamber 5C is adapted to both heat and cool the substrate (described above), at least two PEB chambers may be installed in a stepper / scanner not shown in FIG. 19A. It can be integrated into positions 5B and 5C. In one embodiment, only one PEB chamber is integrated into the stepper / scanner 5 when the PEB chamber 5C is adapted to both heat and cool the substrate (described above).

[00318]図19Bは、図19Aに図示したクラスタツール10Aおよびステッパ/スキャナ5Aに関連して使用できる様々な処理レシピステップを包含する方法ステップ504の一実施形態を図示する。この実施形態では、処理シーケンスを3つの全く別の部分、即ちクラスタツール段階1、ステッパ/スキャナ段階、クラスタツール段階2に分けている。クラスタツール段階1は、ステッパ/スキャナツールへの移送前に完了する全ての処理ステップを含む。この処理ステップには、ポッド508Aから基板を除去するステップ、BARCコートステップ510、BARC後のベースステップ512、BARC後の冷蔵ステップ514、フォトレジストコートステップ520、フォトレジストコート後のベークステップ522、フォトレジスト冷蔵後のステップ524、光学エッジビード除去(OEBR)ステップ536、ポッド内への設置ステップ508Bが含まれる。次に、基板のポッドがクラスタツール10Aから除去され、ステッパ/スキャナ5A上に配置されることで、ポッド508Aから基板を除去するステップ、露光ステップ538、露光後のベーク(PEB)ステップ540、PEB後の冷蔵ステップ542、ポッド内への設置ステップ508Bを含む処理ステップを実行できるようになる。次に、基板のポッドがステッパ/スキャナ5Aから除去されると、ポッド508A内への設置ステップ、現像ステップ550、現像後の冷蔵ステップ554、ポッド内への設置ステップ508Bを含むクラスタツール段階2ステップを完了できるようになる。別の実施形態では、本発明の基本範囲を変更することなく、方法ステップ504のシーケンスを再配置または変更でき、1つ以上のステップを除去でき、2つ以上のステップを組み合わせて1つのステップにすることが可能である。   [00318] FIG. 19B illustrates one embodiment of method step 504 that includes various process recipe steps that can be used in connection with the cluster tool 10A and stepper / scanner 5A illustrated in FIG. 19A. In this embodiment, the processing sequence is divided into three distinct parts: cluster tool stage 1, stepper / scanner stage, and cluster tool stage 2. Cluster tool phase 1 includes all processing steps that are completed before transfer to the stepper / scanner tool. This processing step includes removing the substrate from the pod 508A, BARC coating step 510, base step 512 after BARC, refrigeration step 514 after BARC, photoresist coating step 520, baking step 522 after photoresist coating, photo Step 524 after resist refrigeration, optical edge bead removal (OEBR) step 536, and installation step 508B in the pod are included. Next, the substrate pod is removed from the cluster tool 10A and placed on the stepper / scanner 5A to remove the substrate from the pod 508A, exposure step 538, post exposure bake (PEB) step 540, PEB. Processing steps including the subsequent refrigeration step 542 and the installation step 508B in the pod can be executed. Next, when the substrate pod is removed from the stepper / scanner 5A, a cluster tool stage 2 step including an installation step in the pod 508A, a development step 550, a refrigeration step 554 after development, and an installation step 508B in the pod. Will be able to complete. In another embodiment, the sequence of method step 504 can be rearranged or changed without changing the basic scope of the invention, one or more steps can be removed, and two or more steps can be combined into one step. Is possible.

長円形システム構成
[00319]図20A〜図20Bは、内部において、図4A〜図4Kに示した様々な処理ラック(例えば前端処理ラック52、第1中央処理ラック152など)内に包含された処理チャンバが直線状に方位付けされるのではなく、システム内の共通の中心点周囲に配置されたクラスタツール10の別の実施形態を例証している。チャンバの直線方位付けに伴う1つの欠点は、ロボットが、処理ラックにおける最頂位置と最底位置に達することが難しく、また、全ての使用可能な空間を利用するためにアーム延出が大きいより大型のロボットが必要となることである。この問題は6軸関節ロボットを使用する場合に特に解決が困難であるが、これは、6軸関節ロボットの到達範囲が中心点からの距離によって制限されるためである。チャンバが直線状に配置されたラックの頂部または最後にある場合には、これらのチャンバがロボットの中心から最も離れているためこの問題は強調される。ロボットの到達範囲の外にある全てのチャンバにはアクセスできないため、いくつかの場合では、処理ラックの高さを完全に利用できない可能性がある。そのためこの問題では、これらのチャンバにアクセスするためにさらなるチャンバおよび/またはロボットが必要となり、ツールのコストおよびスループットが増加してしまう。
Oval system configuration
[00319] FIGS. 20A-20B show the interior of the processing chambers contained within the various processing racks shown in FIGS. 4A-4K (eg, front-end processing rack 52, first central processing rack 152, etc.). FIG. 4 illustrates another embodiment of the cluster tool 10 positioned around a common center point in the system rather than being oriented in One drawback associated with linear orientation of the chamber is that it is difficult for the robot to reach the top and bottom positions in the processing rack, and the arm extension is large to utilize all available space. A large robot is required. This problem is particularly difficult to solve when a 6-axis joint robot is used, because the reach of the 6-axis joint robot is limited by the distance from the center point. This problem is accentuated when the chambers are at the top or end of a linearly arranged rack because they are furthest away from the center of the robot. In some cases, the height of the processing rack may not be fully available because all chambers outside the reach of the robot are inaccessible. This problem therefore requires additional chambers and / or robots to access these chambers, increasing the cost and throughput of the tool.

[00320]図20Aに示す一実施形態では、別の方位を使用することで、長円形または半球形と考えられる処理チャンバにロボットがアクセスできるようになる。図20Aは、ロボットR1が処理チャンバ(PM1から12)にアクセスすることができる長円形のクラスタツール構成の側面図である。この構成では、角の積重の最頂および最低のステーションをトラックの中央に向かって移動させることで、ロボットがこれらステーションに対応するために移動すべき距離がさらに低減される。この場合、角の積重はその中心から頂部まで、中心から底部までにかけて階段状パターンにて連鎖的につながっている。その結果、より短い到達範囲を用いた小型ロボットを使用できるようになり、低減された到達距離によりロボット取り扱い回数が低下する。   [00320] In one embodiment shown in FIG. 20A, the use of another orientation allows the robot to access a processing chamber that may be considered oval or hemispherical. FIG. 20A is a side view of an oval cluster tool configuration that allows robot R1 to access the processing chambers (PM1-12). In this configuration, moving the top and bottom stations of the corner stack towards the center of the track further reduces the distance that the robot must travel to accommodate these stations. In this case, the stack of corners are linked in a stepwise pattern from the center to the top and from the center to the bottom. As a result, a small robot using a shorter reach can be used, and the number of times the robot is handled decreases due to the reduced reach.

[00321]図20Bは、複数の垂直に離間した処理チャンバ(PM1〜18)がロボット(R1)の中心点周囲に配置されている。この構成は、球形の作業範囲を利用する。球形の作業範囲は、6軸関節ロボットが「角の」積重をトラック中心へ接近させて、ロボットに到達させ易くすることで提供される。   [00321] FIG. 20B shows a plurality of vertically spaced processing chambers (PM1-18) arranged around the center point of the robot (R1). This configuration utilizes a spherical work area. A spherical working range is provided by making it easier for a six-axis articulated robot to reach the robot by bringing the “corner” stack closer to the track center.

[00322]本発明の1つの態様では、図20A、図20Bに図示した構成どうしが合体して完全な球体、部分球体、または半球体方位(orientation)の処理チャンバを形成している。この処理チャンバは、ロボットが処理チャンバに対応するべく移動する距離を低減するため、また処理チャンバ間の移送時間を低減するためにロボットを包囲して形成される。   [00322] In one aspect of the invention, the configurations illustrated in FIGS. 20A and 20B are combined to form a complete sphere, partial sphere, or hemisphere orientation processing chamber. The processing chamber is formed around the robot to reduce the distance that the robot moves to accommodate the processing chamber and to reduce transfer time between the processing chambers.

ガントリロボット設計構成
[00323]図21A〜図21Dは、所望の処理シーケンスを実行できるように様々な処理ラックの周囲に並行処理構成にて構成された複数のロボットを使用するクラスタツール10の別の実施形態を図示している。一実施形態では、この並行処理構成は3つのロボット(図21Bに示す符号420、430、450)を包含し、これらのロボットは、処理ラック内に平行方向に沿って整列して保持された様々な処理チャンバにアクセスするために垂直方向(これ以降、z方向と定義する)および平行方向に移動する。このシステム構成の1つの利点は、中央領域425内のロボットの1つが故障したり、対応目的で分解された場合でも、システムが別の2つのロボットを使用して基板の処理を継続できることである。この構成の別の利点は、柔軟性およびモジュールアーキテクチャによって、ユーザがスループットの必要性を満たすのに要する数の処理チャンバ、処理ラック、処理ロボットを構成できることである。
Gantry robot design configuration
[00323] FIGS. 21A-21D illustrate another embodiment of a cluster tool 10 that uses multiple robots configured in a parallel processing configuration around various processing racks so that a desired processing sequence can be performed. Show. In one embodiment, this parallel processing configuration includes three robots (reference numbers 420, 430, 450 shown in FIG. 21B), which are arranged in a parallel manner in a processing rack. Move in the vertical direction (hereinafter defined as the z-direction) and parallel direction to access the complete processing chamber. One advantage of this system configuration is that if one of the robots in the central area 425 fails or is disassembled for response purposes, the system can continue to process substrates using two other robots. . Another advantage of this configuration is that flexibility and modular architecture allow the user to configure as many processing chambers, processing racks, and processing robots as they need to meet their throughput needs.

[00324]図21Aは、第1処理ラック460および第2処理ラック480内に垂直に積重した様々な処理チャンバにアクセスするように適合された3つのロボットを包含するクラスタツール10の一実施形態を図示する等角図である。図21Aには、典型的に後部領域445に取り付けられているステッパ/スキャナ5を示していない。   [00324] FIG. 21A illustrates one embodiment of a cluster tool 10 that includes three robots adapted to access various processing chambers stacked vertically within a first processing rack 460 and a second processing rack 480. FIG. FIG. 21A does not show the stepper / scanner 5 typically attached to the rear region 445.

[00325]図21B〜図21Cは、図21Aに示したクラスタツール10の実施形態の平面図および側面図である。図21A〜図21Cは、この実施形態と共に使用できる様々なロボットおよび処理チャンバ構成のいくつかを例証することを意図している。一般的にこの構成では、クラスタツール10は前端領域405、中央領域425、後部領域445を包含する。また一般的に、前端領域405は1つ以上のポッドアセンブリ105と前端ロボット410を包含する。1つ以上のポッドアセンブリ105またはFOUPは、クラスタツール10内で処理される1枚以上の基板「W」あるいはウェーハを包含していてもよい、1つ以上のカセット106を受容するように適合されている。中央領域425は、一般的に第1中央ロボット420、第2中央ロボット430、第3中央ロボット440、第1処理ラック460、第2処理ラック480を包含する。第1処理ラック460と第2処理ラック480は、基板処理シーケンスに見られる様々な処理ステップを実行するように適合された様々な処理チャンバ(例えばコータ/デベロッパチャンバ60、ベークチャンバ90、冷蔵チャンバ80など)を包含している。前端ロボット410は、ポッドアセンブリ105内に搭載したカセットと、前端領域405と当接する第1処理ラック460または第2処理ラック480内の1つ以上の処理チャンバとの間で、基板を移送するように適合されている。   [00325] FIGS. 21B-21C are top and side views of the embodiment of the cluster tool 10 shown in FIG. 21A. 21A-21C are intended to illustrate some of the various robot and process chamber configurations that can be used with this embodiment. Generally in this configuration, the cluster tool 10 includes a front end region 405, a central region 425, and a rear region 445. Also generally, the front end region 405 includes one or more pod assemblies 105 and a front end robot 410. One or more pod assemblies 105 or FOUPs are adapted to receive one or more cassettes 106 that may include one or more substrates “W” or wafers to be processed within the cluster tool 10. ing. The central region 425 generally includes a first central robot 420, a second central robot 430, a third central robot 440, a first processing rack 460, and a second processing rack 480. The first processing rack 460 and the second processing rack 480 may include various processing chambers (eg, coater / developer chamber 60, bake chamber 90, refrigeration chamber 80, adapted to perform various processing steps found in the substrate processing sequence. Etc.). The front end robot 410 transfers the substrate between the cassette mounted in the pod assembly 105 and one or more processing chambers in the first processing rack 460 or the second processing rack 480 that abut the front end region 405. It is adapted to.

[00326]第1中央ロボット420、第2中央ロボット430、第3中央ロボット440は、基板を、第1処理ラック460と第2処理ラック480内に包含された様々な処理チャンバへ移送するように適合されている。一実施形態では、第2中央ロボット430は、基板を第1処理ラック460と第2処理ラック480の間で移送するように適合されている。   [00326] The first central robot 420, the second central robot 430, and the third central robot 440 transfer the substrates to various processing chambers contained within the first processing rack 460 and the second processing rack 480. Have been adapted. In one embodiment, the second central robot 430 is adapted to transfer substrates between the first processing rack 460 and the second processing rack 480.

[00327]図21Bを参照すると、本発明の1つの態様において、第1中央ロボット420は、第1処理ラック460内の処理チャンバに、少なくとも1つの側部、例えば図示の第1側部471からアクセスするように適合されている。別の態様では、第2中央ロボット430は、第1処理ラック460内の処理チャンバに、少なくとも1つの側部からアクセスするように、また第2処理ラック480内の処理チャンバに、第1処理ラック480の第2側部472および第2処理ラック480の第1側部473からアクセスするように適合されている。1つの態様では、第3中央ロボット450は、第2処理ラック480内の処理チャンバに、少なくとも1つの側部、例えば図示の第2側部474からアクセスするように適合されている。1つの態様では、第1処理ラック460の第1側部471、第1処理ラック460の第2側部472、第2処理ラック480の第1側部473、第2処理ラック480の第2側部474は全て、様々なロボットアセンブリ(即ち第1中央ロボット420、第2中央ロボット430、第3中央ロボット450)のそれぞれの水平動作アセンブリ490(以降で説明する)と平行した1方向に沿って整列している。   [00327] Referring to FIG. 21B, in one aspect of the present invention, the first central robot 420 enters the processing chamber within the first processing rack 460 from at least one side, such as the first side 471 shown. Adapted to access. In another aspect, the second central robot 430 may access the processing chamber in the first processing rack 460 from at least one side and into the processing chamber in the second processing rack 480. It is adapted to be accessed from the second side 472 of 480 and the first side 473 of the second processing rack 480. In one aspect, the third central robot 450 is adapted to access the processing chamber in the second processing rack 480 from at least one side, such as the second side 474 shown. In one aspect, the first side 471 of the first processing rack 460, the second side 472 of the first processing rack 460, the first side 473 of the second processing rack 480, and the second side of the second processing rack 480. The parts 474 are all along one direction parallel to the respective horizontal motion assembly 490 (described below) of the various robot assemblies (ie, the first central robot 420, the second central robot 430, and the third central robot 450). Aligned.

[00328]一実施形態では、後部領域445は、基板を、後部領域445およびステッパ/スキャナ5に当接する第1処理ラック460および第2処理ラック480内の処理チャンバ間で移送するように適合された後部ロボット440を包含する。   [00328] In one embodiment, the rear region 445 is adapted to transfer substrates between the processing chambers in the first processing rack 460 and the second processing rack 480 that abut the rear region 445 and the stepper / scanner 5. A rear robot 440.

[00329]図21Dは、第3中央ロボット440に最も近い側部上に立ち、第1処理ラック460と対面した状態で見た第1処理ラック460の一実施形態の側面図を例証している。したがって、図21Dは図21A〜図21Cに示した図と一致する。一般的に、第1処理ラック460は1つ以上のコータ/デベロッパチャンバ60、1つ以上の冷蔵チャンバ80、1つ以上のベークチャンバ90、1つ以上のOEBRチャンバ62、1つ以上のPEBチャンバ130、1つ以上の支持チャンバ65、および/または1つ以上のHMDSチャンバ70を包含する。図21Dに示す一実施形態では、第1処理ラック460は8個のコータ/デベロッパチャンバ60(ラベルCD1〜8)、18この冷蔵チャンバ80(ラベルC1〜18)、8このベークチャンバ90(ラベルB1〜8)、6個のPEBチャンバ130(ラベルPEB1〜6)、2つのOEBRチャンバ62(62)、および/または6個のHMDS処理チャンバ70(ラベルP1〜6)を包含している。   [00329] FIG. 21D illustrates a side view of one embodiment of the first processing rack 460 standing on the side closest to the third central robot 440 and facing the first processing rack 460. FIG. . Therefore, FIG. 21D corresponds to the diagrams shown in FIGS. 21A to 21C. Generally, the first processing rack 460 includes one or more coater / developer chambers 60, one or more refrigeration chambers 80, one or more bake chambers 90, one or more OEBR chambers 62, and one or more PEB chambers. 130, one or more support chambers 65, and / or one or more HMDS chambers 70. In one embodiment shown in FIG. 21D, the first processing rack 460 includes eight coater / developer chambers 60 (labeled CD1-8), 18 refrigerated chambers 80 (labeled C1-18), 8 this bake chamber 90 (labeled B1). -8), six PEB chambers 130 (labeled PEB1-6), two OEBR chambers 62 (62), and / or six HMDS processing chambers 70 (labeled P1-6).

[00330]図21Eは、第3中央ロボット440に最も近い側部上に立ち、第2処理ラック80と対面した状態で見た第2処理ラック480の一実施形態の側面図を図示する。したがって、図21Eは図21A〜図21Cに示した図と一致する。一般的に、第2処理ラック480は1つ以上のコータ/デベロッパチャンバ60、1つ以上の冷蔵チャンバ80、1つ以上のベークチャンバ90、1つ以上のOEBRチャンバ62、1つ以上のPEBチャンバ130、1つ以上の支持チャンバ65、および/または1つ以上のHMDSチャンバ70を包含する。図21Eに示した一実施形態では、第2処理ラック480は4個のコータ/デベロッパチャンバ60(ラベルCD1〜4)、24個の冷蔵チャンバ80(ラベルC1〜24)、12個のベークチャンバ90(ラベルB1〜12)、6個のPEBチャンバ130(ラベルPEB1〜6)、および/または6個の支持チャンバ65(ラベルS1〜6)を包含している。   [00330] FIG. 21E illustrates a side view of one embodiment of the second processing rack 480 standing on the side nearest the third central robot 440 and facing the second processing rack 80. FIG. Therefore, FIG. 21E corresponds to the diagrams shown in FIGS. 21A to 21C. In general, the second processing rack 480 includes one or more coater / developer chambers 60, one or more refrigeration chambers 80, one or more bake chambers 90, one or more OEBR chambers 62, and one or more PEB chambers. 130, one or more support chambers 65, and / or one or more HMDS chambers 70. In one embodiment shown in FIG. 21E, the second processing rack 480 includes four coater / developer chambers 60 (labeled CD1-4), 24 refrigerated chambers 80 (labeled C1-24), and 12 bake chambers 90. (Labels B1-12), six PEB chambers 130 (Labels PEB1-6), and / or six support chambers 65 (Labels S1-6).

[00331]図21A〜図21Eに示す処理チャンバの方位付け、位置決め、数は、本発明の範囲を制限することを意図するのではなく、本発明の様々な実施形態の図示を意図する。   [00331] The orientation, positioning, and number of processing chambers shown in FIGS. 21A-21E are not intended to limit the scope of the invention, but are intended to illustrate various embodiments of the invention.

[00332]図21Fは、図21A〜図21Dに図示したクラスタツール構成を使用して、図3Aに示した方法ステップ501を完了するために各クラスタツールロボットが対応する処理ステップを図示している。符号「A」で示すボックスで囲んだ方法ステップ508A、510、550、508Bには前端ロボット410が対応する。一実施形態では、BARCコートステップ510は、前端領域405と当接する処理ラック460内に搭載されたコータチャンバ60A内において完了される。図21B、図21D、図21Fを参照すると、前端ロボット410が基板をポッドアセンブリ105から除去し、第1処理ラック460内のCD1またはCD2を付したコータチャンバ60Aの一方の中に設置する。別の実施形態では、BARCコートステップ510は、前端領域405と当接する第1処理ラック460または第2処理ラック480内に搭載したコータチャンバ60Aの中において完了される。この実施形態では、現像ステップ550は、前端領域405と当接する第2処理ラック480内に搭載した冷蔵チャンバ80の中で完了することができる。   [00332] FIG. 21F illustrates the processing steps corresponding to each cluster tool robot to complete the method step 501 illustrated in FIG. 3A using the cluster tool configuration illustrated in FIGS. 21A-21D. . The front end robot 410 corresponds to the method steps 508A, 510, 550, and 508B surrounded by the box indicated by the symbol “A”. In one embodiment, the BARC coat step 510 is completed in the coater chamber 60A mounted in the processing rack 460 that abuts the front end region 405. Referring to FIGS. 21B, 21D, and 21F, the front end robot 410 removes the substrate from the pod assembly 105 and places it in one of the coater chambers 60A with CD1 or CD2 in the first processing rack 460. In another embodiment, the BARC coating step 510 is completed in the coater chamber 60A mounted in the first processing rack 460 or the second processing rack 480 that abuts the front end region 405. In this embodiment, the development step 550 can be completed in the refrigerated chamber 80 mounted in the second processing rack 480 that contacts the front end region 405.

[00333]一実施形態では、破線「B」で囲んだ方法ステップ510〜536の間で基板を移送する処理は、第1中央ロボット420および第2中央ロボットと、第1処理ラック460内に包含されたチャンバとを使用して完了される。別の実施形態では、第2中央ロボット430を使用して、第1処理ラック460と第2処理ラック480の間で基板の移送を行えるため、これらのラック内の使用可能なチャンバを必要に応じて使用することで、処理シーケンス要求を満たすことが可能である。   [00333] In one embodiment, the process of transferring a substrate between method steps 510-536 surrounded by a dashed line "B" is included in a first processing rack 460 and a first central robot 420 and a second central robot. And completed chamber. In another embodiment, the second central robot 430 can be used to transfer substrates between the first processing rack 460 and the second processing rack 480 so that the available chambers in these racks can be used as needed. It is possible to satisfy the processing sequence request.

[00334]一実施形態では、ボックス「C」で囲んだ処理ステップ536〜550の間で基板を移送する処理は、後部ロボット450を使用して完了される。一実施形態では、OEBRステップ536は、後部領域445と当接する第1処理ラック460内に搭載されているOEBRチャンバ62の中で完了される。図21B、図21Dを参照すると、後部ロボット450はOEBRチャンバ62から基板を除去し、この基板を、露光ステップ538を完了するステッパ/スキャナ5内において交換する。露光ステップ538の完了後に、後部ロボット450が基板をステッパ/スキャナ5から除去し、第1処理ラック460または第2処理ラック480内に包含されている符号PEB1〜6で示すPEBチャンバのうちの1つの中に設置する。   [00334] In one embodiment, the process of transferring the substrate between process steps 536-550 enclosed by box "C" is completed using the rear robot 450. In one embodiment, the OEBR step 536 is completed in the OEBR chamber 62 mounted in the first processing rack 460 that abuts the rear region 445. Referring to FIGS. 21B and 21D, the rear robot 450 removes the substrate from the OEBR chamber 62 and replaces the substrate in the stepper / scanner 5 that completes the exposure step 538. After completion of the exposure step 538, the rear robot 450 removes the substrate from the stepper / scanner 5, and one of the PEB chambers designated PEB1-6 included in the first processing rack 460 or the second processing rack 480. Install in one.

[00335]一実施形態では、ボックス「D」で囲んだ処理ステップ540〜550の間で基板を移送する処理が、第2中央ロボット430および第3ロボット440と、第2処理ラック480内に包含されたチャンバとを使用して完了される。別の実施形態では、第2中央ロボット430を使用して基板を第1処理ラック460から第2処理ラック480の間で移送するため、これらラック内の使用可能なチャンバを必要に応じて使用して、処理シーケンス要求を満たすことができる。   [00335] In one embodiment, the process of transferring substrates between process steps 540-550 enclosed by box "D" is included in the second central robot 430 and third robot 440 and the second processing rack 480. And completed chamber. In another embodiment, the second central robot 430 is used to transfer substrates from the first processing rack 460 to the second processing rack 480, so that the available chambers in these racks are used as needed. The processing sequence request can be satisfied.

[00336]図21B、図21D、図21Fを参照すると、処理ステップ550の完了後、前端ロボット410が、符号CD1またはCD2で示すデベロッパチャンバから基板を除去し、対応するポッドアセンブリ105内に設置する。   [00336] Referring to FIGS. 21B, 21D, and 21F, after completion of process step 550, front end robot 410 removes the substrate from the developer chamber, designated CD1 or CD2, and places it in the corresponding pod assembly 105. .

[00337]図21Gは、前端ロボット410、第1中央ロボット420、第2中央ロボット430、第3中央ロボット440、および/または後部ロボット450として使用するように適合できるロボットアセンブリ411の一実施形態を図示する。一般的に、ロボットアセンブリ411は、ロボットハードウェアアセンブリ485、水平動作アセンブリ490、2つの垂直動作アセンブリ495を包含する。ロボットハードウェアアセンブリ485は、一般的に、独立制御可能な2本のアーム/ブレードを包含する従来の選択的に従順な関節ロボットアーム(SCARA)ロボットを包含する。図21Hに示す別の実施形態では、1枚ブレードタイプのロボットハードウェアアセンブリ485を使用して基板の移送を行う。2枚ブレードタイプのロボットは、例えば、ロボットが、処理チャンバ内に次の基板を設置する前にこの同じ処理チャンバから基板を除去しなければならない場合に有利である。例示的な2枚ブレードタイプのロボットは、Asyst Technologies(カリフォルニア州フリーモント)から購入できる。   [00337] FIG. 21G illustrates one embodiment of a robot assembly 411 that can be adapted for use as the front end robot 410, the first central robot 420, the second central robot 430, the third central robot 440, and / or the rear robot 450. Illustrated. In general, the robot assembly 411 includes a robot hardware assembly 485, a horizontal motion assembly 490, and two vertical motion assemblies 495. The robot hardware assembly 485 generally includes a conventional selectively compliant articulated robot arm (SCARA) robot that includes two arms / blades that can be independently controlled. In another embodiment shown in FIG. 21H, a single blade type robot hardware assembly 485 is used to transfer the substrate. A two blade type robot is advantageous, for example, when the robot must remove a substrate from the same processing chamber before placing the next substrate in the processing chamber. An exemplary two-blade type robot can be purchased from Asyst Technologies (Fremont, CA).

[00338]クラスタツール10の一実施形態では、前端ロボット410、第1中央ロボット420、第2中央ロボット430、第3中央ロボット440、および/または後部ロボット450を、2枚以上の基板を1グループとして移送するように適合することが可能である。これにより基板が並行処理されることで、システムスループットが向上する。例えば1つの態様では、独立制御が可能な複数のアーム/ブレードを包含するロボットを使用して、複数の基板を複数の処理チャンバから取り上げ、後続の複数の処理チャンバ内に置く。1つの態様では、ロボットは、所望の距離またはピッチで離間した複数のブレードを有するアームを使用して、基板の取り上げと下ろしを同時に行うように適合されている。例えば、前端ロボット410、第1中央ロボット420、第2中央ロボット430、第3中央ロボット440、および/または後部ロボット450は、1対のブレードアセンブリ715A、715Bを有する。この1対のブレードアセンブリは、SCARAロボットの独立制御可能なアーム/ブレードの一端に取り付けた支持部720(図16A〜図16Bに示す)上に搭載されている。別の態様では、ロボットは複数の基板を別々に取り上げ、移送し、下ろすように適合されている。例えば2本のアームロボットは、第1アームまたはブレードを使用して第1チャンバから基板を取り上げ、次に第2処理チャンバへ移動して、第2アームまたはブレードを使用して基板を取り上げることで、基板をグループ毎に移送し、下ろすことを可能にしている。   [00338] In one embodiment of the cluster tool 10, the front end robot 410, the first central robot 420, the second central robot 430, the third central robot 440, and / or the rear robot 450 are grouped with two or more substrates. Can be adapted to be transported as As a result, the substrate is processed in parallel, thereby improving the system throughput. For example, in one aspect, a robot including multiple arms / blades capable of independent control is used to pick up multiple substrates from multiple processing chambers and place them in subsequent multiple processing chambers. In one aspect, the robot is adapted to simultaneously pick up and lower the substrate using an arm having a plurality of blades spaced at a desired distance or pitch. For example, the front end robot 410, the first central robot 420, the second central robot 430, the third central robot 440, and / or the rear robot 450 have a pair of blade assemblies 715A, 715B. This pair of blade assemblies is mounted on a support 720 (shown in FIGS. 16A-16B) attached to one end of an independently controllable arm / blade of the SCARA robot. In another aspect, the robot is adapted to pick, transfer, and lower multiple substrates separately. For example, a two-arm robot can pick up a substrate from a first chamber using a first arm or blade, then move to a second processing chamber and pick up a substrate using a second arm or blade. , It is possible to transfer the substrates in groups and lower them.

[00339]図21G〜図21Iを参照すると、水平動作アセンブリ490は一般的にエンクロージャ491、ロボットアクチュエータ489、ロボット支持インターフェース487、リニアスライド488、ケーブル案内アセンブリ492を包含する。リニアスライド488は、1つ以上のリニアボールベアリングスライドまたは従来のリニア案内部を包含でき、これらは、様々な処理チャンバ間で基板を移送する際に、ロボット支持インターフェース487(例えばロボット基部インターフェース)およびロボットハードウェアアセンブリ485を案内する。一実施形態では、ロボットアクチュエータ489は、図21Iに図示した直接駆動式の無ブラシリニアサーボモータであり、ロボット支持インターフェース487を、エンクロージャ491の支持構造486上に搭載されたリニアスライド488に関連して移動するように適合されている。図21Hは水平動作アセンブリ490の一実施形態を図示しており、この実施形態では、処理チャンバ間で基板を移送できるようにするために、モータ489A(例えば直流サーボモータ、ステッパモータなど)と、水平動作アセンブリ490の長さに沿って水平に走行したベルト(図示せず)と滑車システム(図示せず)とがロボット支持インターフェース487を移送および位置決めするように適合されている。   [00339] Referring to FIGS. 21G-21I, the horizontal motion assembly 490 generally includes an enclosure 491, a robot actuator 489, a robot support interface 487, a linear slide 488, and a cable guide assembly 492. The linear slide 488 can include one or more linear ball bearing slides or conventional linear guides that are used to transfer a substrate between various processing chambers, such as a robot support interface 487 (eg, a robot base interface) and The robot hardware assembly 485 is guided. In one embodiment, the robot actuator 489 is a direct-drive brushless linear servomotor illustrated in FIG. 21I and associates the robot support interface 487 with the linear slide 488 mounted on the support structure 486 of the enclosure 491. Adapted to move. FIG. 21H illustrates one embodiment of a horizontal motion assembly 490, in which a motor 489A (eg, a DC servo motor, stepper motor, etc.) and a motor to allow transfer of substrates between processing chambers; A belt (not shown) and pulley system (not shown) running horizontally along the length of the horizontal motion assembly 490 are adapted to transfer and position the robot support interface 487.

[00340]図21Hは、図21Gに示したロボットアセンブリ411の一実施形態の等角図を図示し、水平動作アセンブリ490と垂直動作アセンブリ495内に包含されている内部コンポーネントの図示を意図している。一般的に、垂直動作アセンブリ495はリフトレールアセンブリ495A、リフトアクチュエータ495B、垂直エンクロージャ495D(図21Gを参照。図21Hには示していない)を包含している。リフトレールアセンブリ495Aは、水平動作アセンブリを正確に昇降するための構造支持部496と案内機構494を包含している。構造支持部496は、Iビームやその他普通の構造コンポーネントのような従来の構造部材であり、ロボットアセンブリ411をクラスタツール10内のフレーム部材(図示せず)に接続するように、また、垂直動作アセンブリ495および水平動作アセンブリ490のコンポーネントの重量と、これらによって作成された負荷とを支持するように設計されている。案内機構494は、水平動作アセンブリ490と整列し、案内機構494に沿って垂直に移動する際にこれを正確に案内することが可能な、リニアボールベアリングスライドまたは従来のリニア案内部であってもよい。   [00340] FIG. 21H illustrates an isometric view of one embodiment of the robot assembly 411 illustrated in FIG. 21G and is intended to illustrate the internal components contained within the horizontal motion assembly 490 and the vertical motion assembly 495. Yes. Generally, the vertical motion assembly 495 includes a lift rail assembly 495A, a lift actuator 495B, and a vertical enclosure 495D (see FIG. 21G, not shown in FIG. 21H). The lift rail assembly 495A includes a structural support 496 and a guide mechanism 494 for accurately raising and lowering the horizontal motion assembly. The structural support 496 is a conventional structural member, such as an I-beam or other common structural component, to connect the robot assembly 411 to a frame member (not shown) in the cluster tool 10 and to operate vertically. It is designed to support the weight of the components of assembly 495 and horizontal motion assembly 490 and the loads created thereby. The guide mechanism 494 may be a linear ball bearing slide or a conventional linear guide that can align with the horizontal motion assembly 490 and accurately guide it as it moves vertically along the guide mechanism 494. Good.

[00341]図21Hを参照すると、垂直動作アセンブリ495の一実施形態では、リフトアクチュエータ495Bは、水平動作アセンブリ490およびそのコンポーネントを昇降させるためのベルトおよび滑車の構成(図示せず)と共に使用する、モータ495C(例えば直流サーボモータ、ステッパモータ、あるいはこれ以外のタイプのアクチュエータ)を包含している。垂直動作アセンブリ495(図示せず)の別の実施形態では、リフトアクチュエータ495Bは、Danaher Motion(イリノイ州ウッドデール)から購入できる直接駆動式の無ブラシリニアサーボモータである。ロボットアセンブリ411の一実施形態では、各垂直動作アセンブリは、水平動作アセンブリ490およびその他の支持コンポーネントを昇降させるためのリフトアクチュエータ495Bを包含している。ロボットアセンブリ411の別の実施形態では、2つの垂直動作アセンブリ495と他の垂直動作アセンブリ495の1つに搭載したシングルリフトアクチュエータ495Bは案内機構494のみを包含している。   [00341] Referring to FIG. 21H, in one embodiment of the vertical motion assembly 495, the lift actuator 495B is used with a belt and pulley configuration (not shown) for lifting and lowering the horizontal motion assembly 490 and its components. A motor 495C (for example, a DC servo motor, a stepper motor, or other type of actuator) is included. In another embodiment of vertical motion assembly 495 (not shown), lift actuator 495B is a direct-drive brushless linear servomotor that can be purchased from Danaher Motion (Wooddale, IL). In one embodiment of the robot assembly 411, each vertical motion assembly includes a lift actuator 495B for lifting and lowering the horizontal motion assembly 490 and other support components. In another embodiment of the robot assembly 411, the single lift actuator 495B mounted on one of the two vertical motion assemblies 495 and the other vertical motion assembly 495 includes only the guide mechanism 494.

[00342]図21Iは、水平動作アセンブリ490内に包含されているエンクロージャ491の一実施形態の等角図を図示する。エンクロージャ491は、安全性と汚染低減の理由から、水平動作アセンブリ490内のコンポーネントをカバーおよび支持するように適合されている。回転、スライド、または接触し合う複数の機械コンポーネントによって粒子生成が普通に生成される場合には、基板がクラスタツールを通って移送される間に、水平動作アセンブリ490内、そして垂直動作アセンブリ495内のコンポーネントによって基板に欠陥が生じないようにすることが重要である。一般的に、エンクロージャ491は複数の壁(図491A〜F)と、支持構造486を包含しており、これらは、エンクロージャ内部で生成された粒子が基板の表面へと前進してしまう機会を最小化するための包囲された領域を形成する。支持構造486は、壁491A〜F、ロボットアクチュエータ489、ロボットハードウェアアセンブリ485、リニアスライド488の全てが取り付けられる構造部材である。   [00342] FIG. 21I illustrates an isometric view of one embodiment of an enclosure 491 included within a horizontal motion assembly 490. FIG. Enclosure 491 is adapted to cover and support components in horizontal motion assembly 490 for safety and contamination reduction reasons. If particle generation is normally generated by multiple mechanical components that rotate, slide, or contact, in the horizontal motion assembly 490 and in the vertical motion assembly 495 while the substrate is transferred through the cluster tool. It is important that the component does not cause defects in the substrate. In general, the enclosure 491 includes a plurality of walls (FIGS. 491A-F) and a support structure 486 that minimizes the chance that particles generated within the enclosure will advance to the surface of the substrate. Forming an enclosed region for The support structure 486 is a structural member to which the walls 491A to 491F, the robot actuator 489, the robot hardware assembly 485, and the linear slide 488 are all attached.

[00343]ファンユニット493は、空気をファンポート491Gを介してエンクロージャ491からエンクロージャ491の壁の1つの内部へと引き出し、粒子を包含する空気をフィルタ(図示せず)へと押しやることで、この空気がクラスタツール10内へ排出される(符号「A」を参照)前に粒子を除去できるように適合されている。この構成では、ファンユニット493内に包含されたファン493Aは、エンクロージャ491内部で負圧を作成することで、エンクロージャ491外部の空気がエンクロージャ内へ引き出されて、エンクロージャ491内部で生成された粒子が漏出する可能性を防止するように設計されている。一実施形態では、フィルタ(図示せず)は、生成した粒子を空気から除去することができる、HEPAタイプのフィルタまたは他タイプのフィルタである。図21Iに示す構成は、エンクロージャから空気を引き出すために3つのファンユニット493を使用する一実施形態を図示している。別の実施形態では、本発明の範囲を変更することのない範囲内で、図示した3台ファンユニット493構成の代わりに1台または2台ファンユニットシステムを使用できる。   [00343] The fan unit 493 draws air from the enclosure 491 through the fan port 491G into one of the walls of the enclosure 491 and pushes the air containing the particles into a filter (not shown), thereby It is adapted to remove particles before the air is exhausted into the cluster tool 10 (see reference “A”). In this configuration, the fan 493A included in the fan unit 493 creates a negative pressure inside the enclosure 491 so that air outside the enclosure 491 is drawn into the enclosure, and particles generated inside the enclosure 491 are generated. Designed to prevent the possibility of leakage. In one embodiment, the filter (not shown) is a HEPA type filter or other type of filter that can remove the generated particles from the air. The configuration shown in FIG. 21I illustrates one embodiment that uses three fan units 493 to draw air from the enclosure. In another embodiment, one or two fan unit systems can be used in place of the three fan unit 493 configuration shown without departing from the scope of the present invention.

[00344]リフトレールアセンブリ495Aの一実施形態では、ファンユニット493(図示せず)は、それぞれの垂直エンクロージャ495Dの内部から空気を引き出すことにより、垂直動作アセンブリ495の内部で生成された粒子が基板の表面上に形成した装置に欠陥を生じさせる機会を最小化するように適合されている。   [00344] In one embodiment of the lift rail assembly 495A, the fan unit 493 (not shown) draws air from the interior of the respective vertical enclosure 495D so that particles generated within the vertical motion assembly 495 are substrated. It is adapted to minimize the chance of causing defects in the device formed on the surface.

基板の中心を発見する装置
[00345]電子装置製造業者は、市場において競争力を持つため、またCoOw低減するための努力として、多くの場合、基板スクラップを低減し、総システムスループット(即ち、週毎のウェーハスタート)を増加しようと、多大な時間を費やして、システムの起動時間と信頼性の向上を試みている。システムの起動時間と信頼性に影響を及ぼす1つの要因には、基板の損傷(例えば、欠け、基板破損など)を生じさせる、様々な処理チャンバ内での基板の不整列がある。基板が損傷すると、ユーザは進行中の処理を停止して、部分的に処理が終了している基板を全て廃棄し、影響を受けたチャンバ(1つ以上)を洗浄してから、処理シーケンスを再スタートしなければならないため、これら全てにより被るシステム休止時間とコストは甚大なものとなる。典型的に、処理チャンバまたはその他のチャンバの1つにおける基板の不整列によって起こる、1枚毎の基板処理におけるばらつきと基板への損傷とを防止するために、ロボットは、移送位置からの基板の取り上げと下ろしについて繰り返し較正される。移送位置は、例えば処理チャンバリフトピンどうしの間の中心点、あるいはチャックの中心点であってもよい。
Device to find the center of the board
[00345] Electronic device manufacturers are often competitive in the market and in an effort to reduce CoOw, often reducing substrate scrap and increasing total system throughput (ie, weekly wafer start) Attempts have been made to improve system startup time and reliability by spending a great deal of time. One factor that affects system start-up time and reliability is substrate misalignment within the various processing chambers that cause substrate damage (eg, chipping, substrate breakage, etc.). If a substrate is damaged, the user stops the ongoing process, discards all partially processed substrates, cleans the affected chamber (s), and then proceeds with the processing sequence. All of these add to the system downtime and cost, which must be restarted. In order to prevent variability in substrate processing from one substrate to another and damage to the substrate, typically caused by substrate misalignment in the processing chamber or one of the other chambers, the robot can move the substrate from the transfer position. It is repeatedly calibrated for picking up and down. The transfer position may be, for example, the center point between the process chamber lift pins or the center point of the chuck.

[00346]これらの問題を解決するために、クラスタツール10の一実施形態では、図22Aに示す基板位置エラー検出および修正システム1200(以降、SPEDAC1200とする)を使用している。図22Aは、処理ラック内に保持されている2つの隣接した処理チャンバ1220(例えばベークチャンバ90、冷蔵チャンバ80、コータ/デベロッパチャンバ60など)の等角図を図示す。それぞれの処理チャンバ1220の各開口部88の外側には、2つの別々の基板位置検出および修正システム1200が搭載されている。図22Aは、頂部支持部1204に送信機1206を搭載し、底部支持部1203に検出器1205を搭載したSPEDACシステム1200の一実施形態を図示しており、上記支持部は全て処理チャンバ1220に接続している。   [00346] To solve these problems, one embodiment of the cluster tool 10 uses a substrate position error detection and correction system 1200 (hereinafter SPEDAC 1200) shown in FIG. 22A. FIG. 22A illustrates an isometric view of two adjacent processing chambers 1220 (eg, bake chamber 90, refrigeration chamber 80, coater / developer chamber 60, etc.) held in a processing rack. Two separate substrate position detection and correction systems 1200 are mounted outside each opening 88 in each processing chamber 1220. FIG. 22A illustrates one embodiment of a SPEDAC system 1200 with a transmitter 1206 mounted on the top support 1204 and a detector 1205 mounted on the bottom support 1203, all of which are connected to the processing chamber 1220. is doing.

[00347]SPEDACシステム1200は、基板移送ロボットブレードが様々な処理チャンバに見られる開口部88に入る、またはここから出る際にその上に基板が在るかどうかを決定し、後続の移送ステップにおいてロボットブレード1210を再位置決めすることでエラーを修正する。SPEDACシステム1200では、2対の送信機1206から検出器1205へ送られた1対のビーム(符号「A」)を利用して、ビームを通過する基板の位置を検出し、また、ロボット位置を調整して基板の位置のエラーを補正する。システムは、基板位置のエラーを検出すると、不整列の度合いを決定し、こうした不整列が修正可能であれば、ロボットブレード位置を移動させたり、作業者を介入させるべく警告を発することでこれを修正する。さらに、ロボットのブレード上における基板の不整列を検出および補正する例証的な方法の説明は以下の特許にてさらに詳細に説明されている:1996年10月8日付けで発行の米国特許第5,563,798号「Wafer Positioning System」、1996年1月9日付けで発行の米国特許第5,483,138号「System and Method for Automated Positioning of a Substrate in a Processing Chamber」、1999年11月9日付けでFreerks等に発行された米国特許出願第5,980,194号。これらの特許の全体は、本開示にこれに相反することなく組み込まれる。例証的な制御ロボットの位置制御方法の一例が、さらに2003年4月29日付けでFreeman等に発行された米国特許出願第6,556,887号に説明されている。この特許の全体は、本開示にこれに相反することなく組み込まれる。   [00347] The SPEDAC system 1200 determines whether there is a substrate thereon as the substrate transfer robot blade enters or exits the openings 88 found in various processing chambers, and in subsequent transfer steps. The error is corrected by repositioning the robot blade 1210. The SPEDAC system 1200 uses a pair of beams (symbol “A”) sent from the two pairs of transmitters 1206 to the detector 1205 to detect the position of the substrate passing through the beams, and to determine the robot position. Adjust to correct board position errors. When the system detects an error in the substrate position, it determines the degree of misalignment, and if such misalignment can be corrected, the system can detect this by moving the robot blade position or alerting the operator. Correct it. In addition, a description of an illustrative method for detecting and correcting substrate misalignment on a robot blade is described in further detail in the following patent: US Pat. No. 5, issued Oct. 8, 1996. No. 5,563,798, “Wafer Positioning System”, U.S. Pat. No. 5,483,138, issued on Jan. 9, 1996, “System and Method for Automated Positioning in A Processing in 1999” U.S. Patent Application No. 5,980,194 issued to Freaks et al. The entirety of these patents is incorporated into the present disclosure without conflict. An example of an exemplary control robot position control method is further described in U.S. Patent Application No. 6,556,887 issued April 29, 2003 to Freeman et al. The entirety of this patent is incorporated into the present disclosure without conflict.

全地球測位
[00348]基板の損傷(例えば、欠け、基板破損)を防止することでシステムの起動時間および信頼性を向上させるために使用できる別の実施形態は、全地球測位システム(GPS)(図示せず)を使用して、ロボットブレードの位置および/または基板の位置におけるエラーを追跡および修正するものである。この構成では、全地球即位検出システムは、ロボットブレード(基板またはロボット終端効果器)の場所を、所定システムの位置標定基準に関連して定義するために使用される。典型的に、各制御軸の駆動モータのシャフト上にエンコーダを組み込むことにより、ロボットブレードの値の位置フィードバックが提供される。このエンコーダは、ロボットブレードの実位置ではなくモータの位置を報告するものである。実位置は、発生する可能性のある様々な駆動コンポーネント間の緩い結合、誤ったロボットパラメータ設定、ロボット位置制御ドリフト、検出されなかった動作失敗、ハードウェアの衝突によって、報告された位置から変更してしまうことがある。そのため、これらの問題を解決する目的で、本発明の一実施形態を、ロボットブレードの実位置、さらに基板の位置を追跡するために使用することができる。一実施形態では、全地球測位装置1300と通信システム(例えばRF送信機1302、ケーブルなど)をロボットブレードまたはロボットに統合して、その位置を測定し、これをシステムコントローラにフィードバックするようにしている。そのため、システムコントローラは、GPSセンサまたは別の装置を使用して先に収集した各移送位置の3次元座標システム測定値を使用して、様々なロボット部品の位置を調整することでブレード位置のエラーを修正することができるようになる。ロボット部品は、エンコーダ、または、ロボットの位置の制御に使用されるその他のフィードバックタイプの装置を包含する従来の制御手段を使用して位置決めされる。
Global positioning
[00348] Another embodiment that can be used to improve system startup time and reliability by preventing substrate damage (eg, chipping, substrate failure) is a Global Positioning System (GPS) (not shown). ) To track and correct errors in the position of the robot blade and / or the position of the substrate. In this configuration, a global positioning system is used to define the location of a robot blade (substrate or robot end effector) in relation to a given system location reference. Typically, by incorporating an encoder on the shaft of the drive motor for each control axis, position feedback of the robot blade value is provided. This encoder reports the position of the motor, not the actual position of the robot blade. The actual position changes from the reported position due to loose coupling between various drive components that may occur, incorrect robot parameter settings, robot position control drift, undetected motion failures, hardware collisions. May end up. Therefore, in order to solve these problems, an embodiment of the present invention can be used to track the actual position of the robot blade and also the position of the substrate. In one embodiment, the global positioning device 1300 and the communication system (eg, RF transmitter 1302, cable, etc.) are integrated into a robot blade or robot to measure its position and feed it back to the system controller. . Therefore, the system controller uses the 3D coordinate system measurements for each transfer position previously collected using a GPS sensor or another device to adjust the position of the various robot parts to adjust blade position errors. Will be able to fix. The robot parts are positioned using conventional control means including an encoder or other feedback type device used to control the position of the robot.

[00349]一実施形態では、ロボットブレード付近に搭載されたRF送信機1302と通信中の、即ちシステムコントローラ101と通信を行うRF受信機1303と通信中の全地球測位装置1300の通信によって、ブレード位置のリアルタイム位置フィードバックを達成できる。全地球測位装置1300により、ロボットブレードの実位置を命令された位置と比較して、位置の横滑りや、検出されなかったハードウェアの失敗によって生じた失敗を排除することができる。   [00349] In one embodiment, the global positioning device 1300 is communicating with an RF transmitter 1302 that is in communication with an RF transmitter 1302 mounted in the vicinity of the robot blade, i.e., with an RF receiver 1303 that is communicating with the system controller 101. Real-time position feedback of position can be achieved. The global positioning device 1300 can compare the actual position of the robot blade with the commanded position and eliminate failures caused by position skidding and undetected hardware failures.

[00350]一実施形態では、システムコントローラ101は、GPSシステムとSPEDACシステム1200(上述)を使用して、ロボット位置の設置、そしてロボットブレードへの基板の設置の不整列エラーを修正する。そのため、この実施形態は、基板設置エラー、またはロボットブレードに対する基板の動作エラーを修正するために使用される。   [00350] In one embodiment, the system controller 101 uses the GPS system and the SPEDAC system 1200 (described above) to correct misalignment errors in the placement of the robot and the placement of the substrate on the robot blade. Therefore, this embodiment is used to correct substrate placement errors or substrate operating errors relative to robot blades.

[00351]先述の説明は、本発明の実施形態に関するものであるが、本発明の基本範囲から逸脱しない限り、本発明のこれ以外およびさらなる実施形態を考案することが可能である。この基本範囲は特許請求の範囲によって決定されたものである。   [00351] While the foregoing description is of embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. This basic range is determined by the claims.

本発明の一実施形態によるクラスタツールを図示する等角図である。1 is an isometric view illustrating a cluster tool according to one embodiment of the present invention. FIG. 本発明の有利な使用を可能にする、図1Aに図示する処理システムの平面図である。FIG. 1B is a plan view of the processing system illustrated in FIG. 1A that enables advantageous use of the present invention. 図1Aに示したクラスタツールを反対側から見た図を図示している別の等角図である。FIG. 1B is another isometric view illustrating a view of the cluster tool shown in FIG. 1A from the opposite side. ステッパ/スキャナツールと通信するように適合された、前端モジュールを包含するクラスタツールの別の実施形態を図示する平面図である。FIG. 6 is a plan view illustrating another embodiment of a cluster tool including a front end module adapted to communicate with a stepper / scanner tool. スタンドアロン型の前端モジュールのみを包含するクラスタツールの別の実施形態を図示する平面図である。FIG. 6 is a plan view illustrating another embodiment of a cluster tool that includes only a stand-alone front end module. 前端モジュールと、ステッパ/スキャナツールと通信するように適合された中央モジュールとを包含するクラスタツールの別の実施形態を図示する平面図である。FIG. 6 is a plan view illustrating another embodiment of a cluster tool that includes a front end module and a central module adapted to communicate with a stepper / scanner tool. 前端モジュール、中央モジュール、後部モジュールを包含するクラスタツールの別の実施形態を図示する平面図である。この場合、後部モジュールは、第1後部処理ラックと第2後部処理ラックを包含し、後部ロボットはステッパ/スキャナツールと通信するように適合されている。FIG. 6 is a plan view illustrating another embodiment of a cluster tool including a front end module, a center module, and a rear module. In this case, the rear module includes a first rear processing rack and a second rear processing rack, and the rear robot is adapted to communicate with a stepper / scanner tool. ツインコータ/デベロッパチャンバ350を包含し、本発明の有利な使用を可能にするベーク/冷蔵チャンバ800が組み込まれた図1Aに図示する処理システムの平面図である。1B is a plan view of the processing system illustrated in FIG. 1A that includes a twin coater / developer chamber 350 and incorporates a bake / refrigeration chamber 800 that enables advantageous use of the present invention. FIG. それぞれが2つの処理ラックを包含している前端モジュールと中央処理モジュールを包含するクラスタツールの別の実施形態を図示する平面図である。FIG. 5 is a plan view illustrating another embodiment of a cluster tool that includes a front end module and a central processing module, each including two processing racks. それぞれが2つの処理ラックを包含している前端モジュール、中央処理モジュール、後部処理モジュールを包含するクラスタツールの別の実施形態を図示する平面図である。FIG. 6 is a plan view illustrating another embodiment of a cluster tool that includes a front end module, a central processing module, and a rear processing module, each including two processing racks. 前端モジュールと中央モジュールを包含するクラスタツールの別の実施形態を図示する平面図である。両モジュールのそれぞれは、2つの処理ラックと、前端ロボットおよび中央ロボットの基部を平行移動させるスライドアセンブリとを包含している。FIG. 6 is a plan view illustrating another embodiment of a cluster tool including a front end module and a center module. Each of both modules includes two processing racks and a slide assembly that translates the base of the front end robot and the central robot. 前端モジュール、中央処理モジュール、後部処理モジュールを包含するクラスタツールの別の実施形態を図示する平面図である。各モジュールは、2つの処理ラックと2つのスライドアセンブリとを包含しており、このスライドアセンブリは前端ロボット、中央ロボット、後部ロボットの基部を平行移動させることができる。FIG. 6 is a plan view illustrating another embodiment of a cluster tool including a front end module, a central processing module, and a rear processing module. Each module includes two processing racks and two slide assemblies that can translate the bases of the front end robot, central robot, and rear robot. 本明細書で説明したクラスタツールの様々な実施形態と共に使用できる様々な処理レシピステップを包含する処理シーケンスの一実施形態を図示する。FIG. 4 illustrates one embodiment of a process sequence that includes various process recipe steps that can be used with various embodiments of the cluster tool described herein. 本明細書で説明したクラスタツールの様々な実施形態と共に使用できる様々な処理レシピステップを包含する処理シーケンスの別の実施形態を図示する。FIG. 4 illustrates another embodiment of a process sequence that includes various process recipe steps that can be used with various embodiments of the cluster tool described herein. 本明細書で説明したクラスタツールの様々な実施形態と共に使用できる様々な処理レシピステップを包含する処理シーケンスの別の実施形態を図示する。FIG. 4 illustrates another embodiment of a process sequence that includes various process recipe steps that can be used with various embodiments of the cluster tool described herein. 本発明による前端処理ラック52の一実施形態を図示する側面図である。It is a side view illustrating one embodiment of the front end processing rack 52 according to the present invention. 本発明による第1処理ラック152の一実施形態を図示する側面図である。FIG. 6 is a side view illustrating an embodiment of a first processing rack 152 according to the present invention. 本発明による第2処理ラック154の一実施形態を図示する側面図である。FIG. 6 is a side view illustrating an embodiment of a second processing rack 154 according to the present invention. 本発明による後部処理ラック202の一実施形態を図示する側面図である。FIG. 6 is a side view illustrating one embodiment of a rear processing rack 202 according to the present invention. 本発明による第1後部処理ラック302の一実施形態を図示する側面図である。FIG. 3 is a side view illustrating an embodiment of a first rear processing rack 302 according to the present invention. 本発明による第2後部処理ラック304の一実施形態を図示する側面図である。FIG. 6 is a side view illustrating one embodiment of a second rear processing rack 304 according to the present invention. 本発明による第1処理ラック308の一実施形態を図示する側面図である。2 is a side view illustrating an embodiment of a first processing rack 308 according to the present invention. FIG. 本発明による第2処理ラック309の一実施形態を図示する側面図である。FIG. 6 is a side view illustrating an embodiment of a second processing rack 309 according to the present invention. 本発明による第1中央処理ラック312と第1後部処理ラック318の一実施形態を図示する側面図である。FIG. 6 is a side view illustrating an embodiment of a first central processing rack 312 and a first rear processing rack 318 according to the present invention. 本発明による第2中央処理ラック314と第2後部処理ラック319の一実施形態を図示する側面図である。FIG. 6 is a side view illustrating an embodiment of a second central processing rack 314 and a second rear processing rack 319 according to the present invention. 本発明による第1処理ラック322の一実施形態を図示する側面図である。2 is a side view illustrating an embodiment of a first processing rack 322 according to the present invention. FIG. 本発明の有利な使用を可能にするコータチャンバの一実施形態を図示する側面図である。FIG. 3 is a side view illustrating one embodiment of a coater chamber that enables advantageous use of the present invention. 本発明の有利な使用を可能にするコータチャンバの一実施形態を図示する側面図である。FIG. 3 is a side view illustrating one embodiment of a coater chamber that enables advantageous use of the present invention. 本発明の有利な使用を可能にするシャワーヘッドアセンブリを包含するコータ/デベロッパチャンバの一実施形態を図示する側面図である。FIG. 2 is a side view illustrating one embodiment of a coater / developer chamber that includes a showerhead assembly that enables advantageous use of the present invention. 本発明の有利な使用を可能にするデベロッパチャンバの一実施形態を図示する側面図である。FIG. 4 is a side view illustrating one embodiment of a developer chamber that enables advantageous use of the present invention. 流体源アセンブリの一実施形態の分解等角図である。2 is an exploded isometric view of one embodiment of a fluid source assembly. FIG. 流体源アセンブリの一実施形態の分解等角図である。2 is an exploded isometric view of one embodiment of a fluid source assembly. FIG. 1度の自由度を有する流体分配アームを包含するコータチャンバの一実施形態の平面図である。FIG. 3 is a plan view of one embodiment of a coater chamber that includes a fluid distribution arm having one degree of freedom. 2度の自由度を有する流体分配アームを包含するコータチャンバの一実施形態の平面図である。FIG. 6 is a plan view of one embodiment of a coater chamber that includes a fluid distribution arm having two degrees of freedom. デベロッパエンドポイント検出器アセンブリ1400を包含するデベロッパチャンバ60Bの一実施形態の側面図である。FIG. 6B is a side view of one embodiment of a developer chamber 60B that includes a developer endpoint detector assembly 1400. 図8Aに関連して説明したエンドポイント検出処理を向上させるために使用される処理方法ステップである。8B is a processing method step used to improve the endpoint detection process described in connection with FIG. 8A. デベロッパエンドポイント検出器アセンブリ1400を包含するデベロッパチャンバ60Bの一実施形態の側面図である。FIG. 6B is a side view of one embodiment of a developer chamber 60B that includes a developer endpoint detector assembly 1400. 本発明によるツインコータ/デベロッパチャンバ350の平面図である。2 is a plan view of a twin coater / developer chamber 350 according to the present invention. FIG. 本発明によるツインコータ/デベロッパチャンバ350の平面図である。2 is a plan view of a twin coater / developer chamber 350 according to the present invention. FIG. 本発明の有利な使用を可能にする冷蔵チャンバの一実施形態を図示する側面図である。FIG. 2 is a side view illustrating one embodiment of a refrigeration chamber that enables advantageous use of the present invention. 本発明の有利な使用を可能にするベークチャンバの一実施形態を図示する側面図である。FIG. 3 is a side view illustrating one embodiment of a bake chamber that enables advantageous use of the present invention. 本発明の有利な使用を可能にするHMDS処理チャンバの一実施形態を図示する側面図である。FIG. 2 is a side view illustrating one embodiment of a HMDS processing chamber that enables advantageous use of the present invention. 本発明の有利な使用を可能にする露光後ベーク(PEB)チャンバの一実施形態を図示する側面図である。FIG. 2 is a side view illustrating one embodiment of a post-exposure bake (PEB) chamber that enables advantageous use of the present invention. 基板を急激に加熱および冷却するために使用できる板アセンブリの一実施形態を図示する側面図である。1 is a side view illustrating one embodiment of a plate assembly that can be used to rapidly heat and cool a substrate. FIG. 処理エンドポイント検出システムの一実施形態を包含するベークチャンバ、PEBチャンバ、HMDS処理チャンバの側面図である。1 is a side view of a bake chamber, PEB chamber, HMDS processing chamber that includes one embodiment of a processing endpoint detection system. FIG. 処理エンドポイント検出システムの別の一実施形態を包含するベークチャンバ、PEBチャンバ、HMDS処理チャンバの側面図である。FIG. 4 is a side view of a bake chamber, PEB chamber, HMDS processing chamber that includes another embodiment of a processing endpoint detection system. 図12A〜図12Bに関連して説明したエンドポイント検出処理を向上するために使用される処理方法ステップである。13 is a processing method step used to improve the endpoint detection process described in connection with FIGS. 12A-12B. 熱結合を向上し、基板の表面との接触を低減した板アセンブリの一実施形態を図示する処理チャンバの側面図である。1 is a side view of a processing chamber illustrating one embodiment of a plate assembly with improved thermal coupling and reduced contact with the surface of a substrate. FIG. 図13Aに示した板アセンブリの頂部の平面図である。FIG. 13B is a plan view of the top of the plate assembly shown in FIG. 13A. 図13Aに示した板アセンブリの表面に埋め込まれたシード結晶の断面図である。FIG. 13B is a cross-sectional view of a seed crystal embedded in the surface of the plate assembly shown in FIG. 13A. 図13Aに示した板アセンブリの表面に埋め込まれたシード結晶の断面図であり、シード結晶の表面上に選択的に堆積させた層を有する。FIG. 13B is a cross-sectional view of a seed crystal embedded in the surface of the plate assembly shown in FIG. 13A, with a layer selectively deposited on the surface of the seed crystal. 図1Bに図示した処理システムの平面図であり、図3Aに図示した処理シーケンス終了後に、基板がクラスタツールを通過する移送経路を図示している。FIG. 3B is a plan view of the processing system illustrated in FIG. 1B and illustrates a transfer path through which the substrate passes the cluster tool after the processing sequence illustrated in FIG. 3A is completed. 図2Fに図示した処理システムの平面図であり、図3Aに図示した処理シーケンス終了後に、基板がクラスタツールを通過する移送経路を図示している。2F is a plan view of the processing system illustrated in FIG. 2F and illustrates a transfer path through which the substrate passes the cluster tool after the processing sequence illustrated in FIG. 3A is completed. フロッグレッグ型ロボットを包含する本発明のクラスタツールの一実施形態を図示する等角図である。1 is an isometric view illustrating one embodiment of a cluster tool of the present invention that includes a frog-leg type robot. FIG. 図15Aに図示した、本発明による処理システムの平面図である。FIG. 15B is a plan view of the processing system according to the present invention illustrated in FIG. 15A. 本発明によるフロッグレッグ型ロボットアセンブリの一実施形態を図示する等角図である。1 is an isometric view illustrating one embodiment of a frog-leg type robot assembly according to the present invention. FIG. 本発明のフロッグレッグロボットアセンブリの平面図である。It is a top view of the frog leg robot assembly of the present invention. 本発明による2枚ブレード6軸関節ロボットアセンブリの一実施形態を図示した等角図である。1 is an isometric view illustrating one embodiment of a two-blade six-axis articulated robot assembly according to the present invention. FIG. 図16Aに示した2枚ブレードアセンブリの一実施形態を図示する等角図である。FIG. 16B is an isometric view illustrating one embodiment of the two blade assembly shown in FIG. 16A. 図16Aに示した2枚ブレードアセンブリの一実施形態を図示する等角図である。FIG. 16B is an isometric view illustrating one embodiment of the two blade assembly shown in FIG. 16A. ロボットブレード間での可変ピッチを可能にする、図16Aに示した2枚ブレードアセンブリの一実施形態を図示する等角図である。FIG. 16B is an isometric view illustrating one embodiment of the two blade assembly shown in FIG. 16A that allows for variable pitch between robot blades. 1枚のブレードを、ポッドアセンブリ内のカセット内に設けた基板にアクセスさせるように延出した状態の、オーバ/アンダータイプの2枚ブレードアセンブリの断面図を図示する。FIG. 6 illustrates a cross-sectional view of an over / under type two blade assembly with one blade extended to access a substrate provided in a cassette within the pod assembly. 本発明の有利な使用を可能にする、1枚ブレード6軸関節ロボットアセンブリの一実施形態を図示した等角図である。FIG. 2 is an isometric view illustrating one embodiment of a single blade, six axis articulated robot assembly that enables advantageous use of the present invention. 図16Fに示した1枚ブレードアセンブリの一実施形態を図示した等角図である。FIG. 16D is an isometric view illustrating one embodiment of the single blade assembly shown in FIG. 16F. 本発明による2枚ブレード6軸関節ロボットアセンブリとスライドアセンブリの一実施形態を図示した等角図である。2 is an isometric view illustrating one embodiment of a two-blade six-axis articulated robot assembly and slide assembly according to the present invention. FIG. 基板を1対のカセットの内部から移送するようにブレードを位置決めした、2枚ブレードアセンブリの断面図を図示している。FIG. 6 illustrates a cross-sectional view of a two-blade assembly with blades positioned to transfer a substrate from within a pair of cassettes. 基板をチャンバ間で移送するように適合された、ベークチャンバ、冷蔵チャンバ、ロボットの一実施形態の等角図である。FIG. 3 is an isometric view of one embodiment of a bake chamber, a refrigeration chamber, and a robot adapted to transfer a substrate between chambers. 基板をチャンバ間で移送するように適合された、ベークチャンバ、冷蔵チャンバ、ロボットの一実施形態の等角図である。FIG. 3 is an isometric view of one embodiment of a bake chamber, a refrigeration chamber, and a robot adapted to transfer a substrate between chambers. 基板をチャンバ間で移送するように適合されたロボットを図示した図17Aに示す図の反対側を示す等角図である。FIG. 17B is an isometric view showing the opposite side of the view shown in FIG. 17A illustrating a robot adapted to transfer a substrate between chambers. ベーク/冷蔵チャンバ800の一実施形態の等角図である。2 is an isometric view of one embodiment of a bake / refrigerated chamber 800. FIG. 基板をチャンバ間で移送するように適合されたロボットを図示した図18Aの図に示す反対側を示す等角図である。FIG. 18B is an isometric view showing the opposite side shown in the view of FIG. 18A illustrating a robot adapted to transfer a substrate between chambers. クラスタツールおよびステッパ/スキャナツールの別の実施形態を図示した平面図であり、ステッパ/スキャナはクラスタツールから別々にされている。ステッパ/スキャナは、ステッパ/スキャナ内に統合した少なくとも1つのPEBチャンバを有する。FIG. 6 is a plan view illustrating another embodiment of a cluster tool and a stepper / scanner tool, wherein the stepper / scanner is separate from the cluster tool. The stepper / scanner has at least one PEB chamber integrated within the stepper / scanner. 図19Aに示すクラスタツールの様々な実施形態と共に使用できる様々な処理レシピステップを包含する処理シーケンスの一実施形態を図示する。FIG. 19B illustrates one embodiment of a process sequence that includes various process recipe steps that can be used with the various embodiments of the cluster tool shown in FIG. 19A. 図16Aに図示したロボットの側面図であり、このロボットはその到達可能範囲と一致するように構成された処理ラック構成の内部において使用される。FIG. 16B is a side view of the robot illustrated in FIG. 16A, which is used within a processing rack configuration configured to match its reachable range. 中央搭載点を有するロボットの到達可能範囲と一致するように適合されている処理ラック構成の別の実施形態の等角図である。FIG. 6 is an isometric view of another embodiment of a processing rack configuration that is adapted to match the reach of a robot having a central mounting point. 本発明のクラスタツールの別の実施形態を図示する等角図である。FIG. 3 is an isometric view illustrating another embodiment of the cluster tool of the present invention. 本発明による、図21Aに図示した処理システムの平面図である。FIG. 21B is a plan view of the processing system illustrated in FIG. 21A in accordance with the present invention. 本発明による、図21Aに図示した処理システムの側面図である。FIG. 21B is a side view of the processing system illustrated in FIG. 21A in accordance with the present invention. 図21Aに図示したクラスタツールの第1処理ラック460の一実施形態を図示する側面図である。FIG. 21B is a side view illustrating an embodiment of the first processing rack 460 of the cluster tool illustrated in FIG. 21A. 本発明による第2処理ラック480の一実施形態を図示した側面図である。FIG. 6 is a side view illustrating an embodiment of a second processing rack 480 according to the present invention. 本明細書で説明したクラスタツールの様々な実施形態と共に使用できる様々な処理レシピステップを包含する処理シーケンスの一実施形態を図示する。FIG. 4 illustrates one embodiment of a process sequence that includes various process recipe steps that can be used with various embodiments of the cluster tool described herein. クラスタツールの様々な実施形態において基板を移送するように適合できるロボットの一実施形態を図示する等角図である。2 is an isometric view illustrating one embodiment of a robot that can be adapted to transfer substrates in various embodiments of a cluster tool. FIG. シングルアームロボットを利用する、図21Gに示したロボットの一実施形態を図示する等角図である。この図では、エンクロージャコンポーネントが除去されている。FIG. 21C is an isometric view illustrating one embodiment of the robot shown in FIG. 21G utilizing a single arm robot. In this figure, the enclosure component has been removed. 図21G、図21Hに示した水平動作アセンブリの一実施形態を図示する等角図である。FIG. 21B is an isometric view illustrating one embodiment of the horizontal motion assembly shown in FIGS. 21G and 21H. 処理ラック内に保持されている処理チャンバの等角図を図示しており、処理チャンバに設けた各開口部の外側には基板位置エラー検出および修正システムが搭載されている。An isometric view of the processing chamber held in the processing rack is shown, and a substrate position error detection and correction system is mounted outside each opening provided in the processing chamber.

符号の説明Explanation of symbols

5…ステッパ/スキャナ、10…クラスタツール、50…前端モジュール、52…前端処理ラック、105…ポッドアセンブリ、107…中央ロボット、108…前端ロボット、109…後部ロボット、110…シャトルロボット、150…中央モジュール、152…第1中央処理ラック、154…第2中央処理ラック、200…後部モジュール、202…後部処理ラック。 5 ... Stepper / scanner, 10 ... Cluster tool, 50 ... Front end module, 52 ... Front end processing rack, 105 ... Pod assembly, 107 ... Central robot, 108 ... Front end robot, 109 ... Rear robot, 110 ... Shuttle robot, 150 ... Center Modules 152 ... first central processing rack 154 ... second central processing rack 200 ... rear module 202 ... rear processing rack

Claims (23)

基板を処理するクラスタツールであって、  A cluster tool for processing substrates,
M個の積重された処理チャンバ、ここでMは3以上、を含む第1処理チャンバ群と、  A first group of processing chambers comprising M stacked processing chambers, where M is 3 or more;
N個のロボットアーム、ここでNはMより小さくかつ2以上、を有する第1ロボットアセンブリと、  A first robot assembly having N robot arms, where N is less than M and 2 or more;
N個のロボットアームを、前記M個の積重された処理チャンバのうちの所定のN個の処理チャンバに対して位置決めするように適合されている垂直動作アセンブリと、  A vertical motion assembly adapted to position N robot arms relative to a predetermined N of the M stacked processing chambers;
を備え、With
N個のロボットアームが、それぞれ基板を前記N個の処理チャンバ内に同時に載置し又は前記チャンバから取出する、  N robot arms respectively place or remove substrates from the N processing chambers simultaneously;
クラスタツール。Cluster tool.
前記第1処理チャンバ群は、
第1基板処理チャンバと、
第2基板処理チャンバであって、前記第1基板処理チャンバから第1垂直距離で離間して位置決めされた前記第2基板処理チャンバと、
を含み、
前記クラスタツールは、さらに、前記第1処理チャンバ群と水平方向に離間した第2処理チャンバ群を有し、当該第2処理チャンバ群は、
第3基板処理チャンバと、
第4基板処理チャンバであって、前記第3基板処理チャンバから第2垂直距離で離間して位置決めされた前記第4基板処理チャンバと、
を備え、
前記第1ロボットアセンブリは、第1基板受容面及び第2基板受容面を有する第1ロボットアームと、第1基板受容面及び第2基板受容面を有する第2ロボットアームとを有し、
前記第1ロボットアームの第1基板受容面は、前記第1基板処理チャンバから基板を受容するように適合され、前記第2ロボットアームの第1基板受容面は、前記第2基板処理チャンバから基板を受容するように適合されている、請求項1に記載のクラスタツール。
The first processing chamber group includes:
A first substrate processing chamber;
A second substrate processing chamber, the second substrate processing chamber positioned at a first vertical distance from the first substrate processing chamber;
Including
The cluster tool further includes a second processing chamber group that is horizontally separated from the first processing chamber group, and the second processing chamber group includes:
A third substrate processing chamber;
A fourth substrate processing chamber, the fourth substrate processing chamber positioned at a second vertical distance from the third substrate processing chamber;
With
The first robot assembly includes a first robot arm having a first substrate receiving surface and a second substrate receiving surface, and a second robot arm having a first substrate receiving surface and a second substrate receiving surface,
The first substrate receiving surface of the first robot arm is adapted to receive a substrate from the first substrate processing chamber, and the first substrate receiving surface of the second robot arm is substrate from the second substrate processing chamber. The cluster tool of claim 1, wherein the cluster tool is adapted to receive
前記第1基板処理チャンバ、前記第2基板処理チャンバ、前記第3基板処理チャンバ、及び前記第4基板処理チャンバのそれぞれは、垂直方向に対してほぼ直交する第1方向に沿って整列された第1側部を有しており、
前記第1基板処理チャンバ及び前記第3基板処理チャンバは、前記第1方向において互いに固定距離離れてそれぞれ位置決めされており、前記第2基板処理チャンバ及び前記第4基板処理チャンバは、前記第1方向において互いに固定距離離れてそれぞれ位置決めされている、請求項に記載のクラスタツール。
Each of the first substrate processing chamber, the second substrate processing chamber, the third substrate processing chamber, and the fourth substrate processing chamber is aligned along a first direction substantially orthogonal to a vertical direction. Has one side,
The first substrate processing chamber and the third substrate processing chamber are positioned at a fixed distance from each other in the first direction, and the second substrate processing chamber and the fourth substrate processing chamber are in the first direction. The cluster tool of claim 2 , wherein the cluster tools are positioned at a fixed distance from each other.
前記第1ロボットアセンブリを、垂直方向に対してほぼ直交する第1方向に位置決めするように適合された水平動作アセンブリを更に備え、前記水平動作アセンブリは、前記第1ロボットアセンブリが、第1基板を前記第3基板処理チャンバ内に、更に、第2基板を前記第4基板処理チャンバ内に、ほぼ同時に載置できるように、前記第1方向に前記第1ロボットアセンブリを移送するように適合されている、請求項に記載のクラスタツール。Said first robot assembly further comprises a adapted horizontal motion assembly so as to position the first direction substantially perpendicular to the vertical direction, the horizontal motion assembly, the first robot assembly, the first substrate Adapted to transport the first robot assembly in the first direction so that a second substrate can be placed in the third substrate processing chamber and substantially simultaneously in the fourth substrate processing chamber. The cluster tool according to claim 2 . 第1基板処理チャンバ、前記第2基板処理チャンバ、前記第3基板処理チャンバ、及び前記第4基板処理チャンバのそれぞれは、前記第1方向に沿って整列された第一側部を有し、
前記第1ロボットアセンブリは、前記第1基板処理チャンバと前記第3基板処理チャンバとの間の中心位置に配置されており、
水平動作アセンブリは、基板移送プロセス中に、前記第1基板処理チャンバの前記第1側部近傍の位置から前記第3基板処理チャンバの前記第1側部近傍の位置まで、前記第1ロボットアセンブリのロボットを前記第1方向に或る距離動かすように適合されている、請求項に記載のクラスタツール。
Each of the first substrate processing chamber, the second substrate processing chamber, the third substrate processing chamber, and the fourth substrate processing chamber has a first side aligned along the first direction;
The first robot assembly is disposed at a central position between the first substrate processing chamber and the third substrate processing chamber;
The horizontal motion assembly, during the substrate transfer process, the position of the first side near the first substrate processing chamber, to the position of the first side portion adjacent the third substrate processing chamber, said first robot assembly The cluster tool according to claim 4 , wherein the cluster tool is adapted to move the robot in the first direction by a distance.
前記第1ロボットアームの前記第1基板受容面と前記第2ロボットアームの前記第1基板受容面との距離が、アクチュエータを使用して調整可能である、請求項に記載のクラスタツール。The cluster tool according to claim 4 , wherein a distance between the first substrate receiving surface of the first robot arm and the first substrate receiving surface of the second robot arm is adjustable using an actuator. 前記第1ロボットアセンブリが、
水平面内にほぼ含まれる1つ以上の点に基板を位置決めするように適合されたロボットと、
垂直方向に対してほぼ平行な方向に前記ロボットを位置決めするように適合された垂直アクチュエータアセンブリを有する垂直動作アセンブリと、
前記第1方向に対してほぼ平行な方向に前記ロボットを位置決めするように適合されたモータを有する水平動作アセンブリと、
を備える、請求項に記載のクラスタツール。
The first robot assembly comprises:
A robot adapted to position the substrate at one or more points substantially contained within a horizontal plane;
A vertical motion assembly having a vertical actuator assembly adapted to position the robot in a direction substantially parallel to the vertical direction;
A horizontal motion assembly having a motor adapted to position the robot in a direction substantially parallel to the first direction;
The cluster tool according to claim 3 , comprising:
前記第1ロボットアセンブリの前記水平動作アセンブリが、
前記モータを包囲する内部領域を形成する1つ以上の壁と、
前記内部領域と流体連通した1つ以上のファンアセンブリであって、前記内部領域を大気圧より低く減圧するように適合されている前記ファンアセンブリと、
を更に備える、請求項に記載のクラスタツール。
The horizontal motion assembly of the first robot assembly comprises:
One or more walls forming an interior region surrounding the motor;
One or more fan assemblies in fluid communication with the interior region, wherein the fan assembly is adapted to depressurize the interior region below atmospheric pressure ;
The cluster tool according to claim 7 , further comprising:
フィルタを更に備え、
前記内部領域を大気圧より低く減圧することは、前記フィルタを通して前記内部領域から空気を移動させることを含む、請求項に記載のクラスタツール。
A filter,
The cluster tool of claim 8 , wherein depressurizing the interior region below atmospheric pressure includes moving air from the interior region through the filter.
前記第1基板処理チャンバ及び前記第2基板処理チャンバは、第1処理ラックに含まれ、
前記第3基板処理チャンバ及び前記第4基板処理チャンバは、第2処理ラックに含まれ、
前記第1ロボットアームの前記第1基板受容面は前記第1基板処理チャンバから、前記第2ロボットアームの前記第1基板受容面は前記第2基板処理チャンバから、基板をほぼ同時に受容するように適合される、請求項に記載のクラスタツール。
The first substrate processing chamber and the second substrate processing chamber are included in a first processing rack,
The third substrate processing chamber and the fourth substrate processing chamber are included in a second processing rack,
The first substrate receiving surface of the first robot arm receives the substrate from the first substrate processing chamber, and the first substrate receiving surface of the second robot arm receives the substrate from the second substrate processing chamber substantially simultaneously. The cluster tool according to claim 2 adapted.
前記水平動作アセンブリは、前記ロボットに連結され、前記内部領域内に配置され、前記第1方向に対してほぼ平行に整列されたスライドアセンブリを更に備える、請求項に記載のクラスタツール。The cluster tool of claim 8 , wherein the horizontal motion assembly further comprises a slide assembly coupled to the robot, disposed within the interior region, and aligned substantially parallel to the first direction. 前記垂直動作アセンブリは、前記垂直方向に対して平行に整列され、前記水平動作アセンブリに連結された2つのリフトレールアセンブリを更に備える、請求項に記載のクラスタツール。The cluster tool of claim 8 , wherein the vertical motion assembly further comprises two lift rail assemblies aligned parallel to the vertical direction and coupled to the horizontal motion assembly. 前記第1基板処理チャンバ及び前記第3基板処理チャンバは、水平方向において互いに固定距離離れてそれぞれ位置決めされており、  The first substrate processing chamber and the third substrate processing chamber are positioned at a fixed distance from each other in the horizontal direction;
前記第2基板処理チャンバ及び前記第4基板処理チャンバは、前記水平方向において互いに固定距離離れてそれぞれ位置決めされており、  The second substrate processing chamber and the fourth substrate processing chamber are positioned at a fixed distance from each other in the horizontal direction;
前記第1ロボットアセンブリの前記第1ロボットアームが、第1基板を前記第1基板処理チャンバから、  The first robot arm of the first robot assembly removes a first substrate from the first substrate processing chamber;
前記第1ロボットアセンブリの前記第2ロボットアームが、第2基板を前記第2基板処理チャンバから、同時に受容し、  The second robot arm of the first robot assembly simultaneously receives a second substrate from the second substrate processing chamber;
その後、after that,
前記第1ロボットアセンブリの前記第1ロボットアームが、前記第1基板を前記第3基板処理チャンバ内に、  The first robot arm of the first robot assembly places the first substrate into the third substrate processing chamber;
前記第1ロボットアセンブリの前記第2ロボットアームが、前記第2基板を前記第4基板処理チャンバ内に、同時に載置し、  The second robot arm of the first robot assembly simultaneously places the second substrate in the fourth substrate processing chamber;
前記クラスタツールは、第1ロボットアーム及び第2ロボットアームを有する第2ロボットアセンブリを更に備え、  The cluster tool further comprises a second robot assembly having a first robot arm and a second robot arm,
前記第2ロボットアセンブリの前記第1ロボットアームが、第3基板を前記第1基板処理チャンバから、  The first robot arm of the second robot assembly removes a third substrate from the first substrate processing chamber;
前記第2ロボットアセンブリの前記第2ロボットアームが、第4基板を前記第2基板処理チャンバから、同時に受容し、  The second robot arm of the second robot assembly simultaneously receives a fourth substrate from the second substrate processing chamber;
その後、after that,
前記第2ロボットアセンブリの前記第1ロボットアームが、前記第3基板を前記第3基板処理チャンバ内に、  The first robot arm of the second robot assembly moves the third substrate into the third substrate processing chamber;
前記第2ロボットアセンブリの前記第2ロボットアームが、前記第4基板を前記第4基板処理チャンバ内に、同時に載置する  The second robot arm of the second robot assembly places the fourth substrate in the fourth substrate processing chamber simultaneously.
ように適合された、請求項2に記載のクラスタツール。The cluster tool according to claim 2, adapted to:
少なくともつの送信機と少なくともつの受信機を含む全地球測位システムを更に備え、前記少なくともつの送信機と前記少なくともつの受信機のうちのつは、前記クラスタツールにおける前記第1ロボットアームの位置をシステムコントローラがモニターできるように、前記第1ロボットアームの前記第1基板受容面に連結されている、請求項に記載のクラスタツール。Further comprising a global positioning system including at least one transmitter and at least one receiver, wherein one of said at least one transmitter, at least one receiver, the first robot arm in the cluster tool The cluster tool according to claim 2 , wherein the cluster tool is connected to the first substrate receiving surface of the first robot arm so that a system controller can monitor the position of the first robot arm. 前記第1基板処理チャンバと、前記第2基板処理チャンバと、垂直方向に対してほぼ直交する第1方向において前記第1基板処理チャンバ及び前記第2基板処理チャンバから或る距離離れて位置決めされ、垂直に積重した2つ以上の基板処理チャンバからなる第1グループと、を備えた第1処理ラックであって、前記第1基板処理チャンバと、前記第2基板処理チャンバと、前記垂直に積重した2つ以上の基板処理チャンバからなる第1グループとが、それぞれ第1側部及び第2側部を有している前記第1処理ラックと、
前記第3基板処理チャンバと、前記第4基板処理チャンバと、前記第1方向において前記第3基板処理チャンバ及び前記第4基板処理チャンバから或る距離離れて位置決めされ、垂直に積重した2つ以上の基板処理チャンバからなる第2グループと、を備えた第2処理ラックであって、前記第3基板処理チャンバと、前記第4基板処理チャンバと、前記垂直に積重した2つ以上の基板処理チャンバからなる第2グループとが、それぞれ第1側部及び第2側部を有している前記第2処理ラックと、
前記第1基板処理チャンバ、前記第2基板処理チャンバ、及び前記垂直に積重した2つ以上の基板処理チャンバからなる第1グループに、前記第1側部からアクセスするように適合された前記第1ロボットアセンブリと、
前記第1基板処理チャンバ、前記第2基板処理チャンバ、及び前記垂直に積重した2つ以上の基板処理チャンバからなる第1グループに、前記第2側部からアクセスし、前記第3基板処理チャンバ、前記第4基板処理チャンバ、及び前記垂直に積重した2つ以上の基板処理チャンバからなる第2グループに、前記第1側部からアクセスするように適合された第2ロボットアセンブリと、
前記第3基板処理チャンバ、前記第4基板処理チャンバ、及び前記垂直に積重した2つ以上の基板処理チャンバからなる第2グループに、前記第2側部からアクセスするように適合された第3ロボットアセンブリと、
を更に備える、請求項に記載のクラスタツール。
The first substrate processing chamber, positioning the second substrate processing chamber and a distance away from Oite the first substrate processing chamber and the second substrate processing chamber in a first direction substantially perpendicular to the vertical direction is, a first group of two or more substrate processing chambers and stacked vertically, a first processing rack and a first substrate processing chamber, and the second substrate processing chamber, said A first group of two or more substrate processing chambers stacked vertically, the first processing rack having a first side and a second side, respectively;
Said third substrate processing chamber, said fourth substrate processing chamber, positioned away a distance from the Oite the first direction third substrate processing chamber and the fourth substrate processing chamber, vertically stacked A second group of two or more substrate processing chambers, the second processing rack including the third substrate processing chamber, the fourth substrate processing chamber, and the two stacked vertically. The second group of substrate processing chambers described above includes the second processing rack having a first side and a second side, respectively.
The first group consisting of the first substrate processing chamber, the second substrate processing chamber, and the two or more vertically stacked substrate processing chambers is adapted to access from the first side. One robot assembly;
A first group of the first substrate processing chamber, the second substrate processing chamber, and the two or more vertically stacked substrate processing chambers is accessed from the second side and the third substrate processing chamber A second robot assembly adapted to access from the first side a second group of the fourth substrate processing chamber and the two or more vertically stacked substrate processing chambers;
A third group adapted to access from the second side a second group of the third substrate processing chamber, the fourth substrate processing chamber, and the two or more vertically stacked substrate processing chambers. A robot assembly;
The cluster tool according to claim 2 , further comprising:
前記第1ロボットアセンブリ及び前記第2ロボットアセンブリを使用して、前記基板処理チャンバ内を通過する基板の動作をコントロールするように適合されたコントローラと、
前記コントローラに結合したメモリであって、前記クラスタツールの操作を方向付けるために、内部で具現化されるコンピュータ可読プログラムを有するコンピュータ可読媒体を備える前記メモリと、
を更に備え、
前記コンピュータ可読プログラムが、
前記第1ロボットアセンブリと前記第2ロボットアセンブリの動作を制御するためのコンピュータ命令を備え、前記コンピュータ命令が、
(i)前記第1ロボットアセンブリおよび前記第2ロボットアセンブリへの1つ以上の指令タスクを前記メモリに記憶することと、
(ii)前記メモリ内に保持されている前記第1ロボットアセンブリへの指令タスクをレビューすることと、
(iii)前記メモリ内に保持されている前記第2ロボットアセンブリへの指令タスクをレビューすることと、
(iv)前記第1ロボットアセンブリ及び前記第2ロボットアセンブリのそれぞれの使用可能性を平衡化するために、指令タスクを、前記第1ロボットアセンブリから前記第2ロボットアセンブリへ、又は前記第2ロボットアセンブリから前記第1ロボットアセンブリへ移動させることと、
を備える、請求項に記載のクラスタツール。
Using said first robot assembly and the second robot assembly, a controller adapted to control the operation of the substrate passing through the pre Kimoto plate processing chamber,
A memory coupled to the controller, the memory comprising a computer readable medium having a computer readable program embodied therein for directing operation of the cluster tool;
Further comprising
The computer readable program is
Computer instructions for controlling operations of the first robot assembly and the second robot assembly, the computer instructions comprising:
(I) storing in the memory one or more command tasks for the first robot assembly and the second robot assembly;
(Ii) reviewing a command task for the first robot assembly held in the memory;
(Iii) reviewing a command task for the second robot assembly held in the memory;
(Iv) command tasks from the first robot assembly to the second robot assembly or to the second robot assembly in order to balance the availability of each of the first robot assembly and the second robot assembly; Moving to the first robot assembly;
The cluster tool according to claim 2 , comprising:
クラスタツール内で基板を移送する方法であって、
第1ロボットを使用して、枚の基板、ここでNは2以上、を、第1処理ラック内の垂直に積重したL個の基板処理チャンバのうちのN個のチャンバのそれぞれの内に挿入するステップと、
少なくとも1つの基板支持面を有するN個の可動アームを備える第2ロボットを使用して、前記第1処理ラック内の前記垂直に積重したL個の基板処理チャンバのうちのN個のチャンバから基板をほぼ同時に取出するステップと、
前記第2ロボットを使用して、前記基板を、第2処理ラック内の垂直に積重したM個の基板処理チャンバのうちのN個のチャンバ、ここでMはNより大きい、へほぼ同時に移送するステップであって、水平動作アセンブリを使用して、水平方向に或る距離前記第2ロボットを移動する工程を含む記同時に移送するステップと、
前記第2ロボットを使用して、前記基板を、前記第2処理ラック内の前記垂直に積重したM個の基板処理チャンバのN個のチャンバの内にほぼ同時に載置するステップと、
を備える、方法。
A method for transferring a substrate within a cluster tool, comprising:
Using the first robot, N substrates , where N is 2 or more, in each of the N chambers of the L substrate processing chambers stacked vertically in the first processing rack. Step to insert into,
Using a second robot comprising N movable arm having at least one substrate support surface, from the N chamber of said vertically stacked and the L number of substrate processing chambers in the first processing rack a step of substantially simultaneously taking out a substrate,
Using said second robot, transferring the substrate, N-number of chambers of the M substrate processing chamber that is vertically stacked in the second processing rack, where M is larger than N, substantially simultaneously to a method comprising the steps, which use the horizontal motion assembly, comprising the step of moving a distance the second robot in the horizontal direction, pre Symbol transported simultaneously to,
Using the second robot to place the substrates in N chambers of the M vertically stacked substrate processing chambers in the second processing rack substantially simultaneously;
A method comprising:
第3ロボットを使用して、前記第2処理ラック内の前記垂直に積重した2つ以上の基板処理チャンバのうち少なくとも2つから基板をほぼ同時に取出するステップと、
前記第3ロボットを使用して、前記基板を、第3処理ラック内の垂直に積重した2つ以上の基板処理チャンバのうち少なくとも2つの内に挿入するステップと、
を更に備える、請求項17に記載の方法。
A step using a third robot, which almost simultaneously extracting the substrate from at least two of the two or more substrate processing chambers said vertically and stacked in the second processing rack,
Using the third robot to insert the substrate into at least two of the two or more vertically stacked substrate processing chambers in a third processing rack;
The method of claim 17 , further comprising:
第2ロボットを使用して、前記基板を、前記第1ラック内の前記垂直に積重した2つ以上の基板処理チャンバのうち少なくとも2つからほぼ同時に取出するステップが、
第1アクチュエータを使用して、前記少なくとも1つの可動アーム第1アームを支持部に関連して延出させる工程と、
第2アクチュエータを使用して、前記少なくとも1つの可動アーム第2アームを前記支持部に関連して延出させる工程と、
前記第2ロボットに接続した前記支持部を位置決めすることにより、第1基板処理チャンバ内に位置決めされた第1基板を前記第1アーム上に位置決めし、第2基板処理チャンバ内に位置決めされた第2基板を前記第2アーム上に位置決めする工程と、
前記第1アームと前記第2アームを引き込む工程と、
を備える、請求項17に記載の方法。
Using a second robot, the substrate, the step of taking out substantially simultaneously from at least two of the two or more substrate processing chambers said vertically and stacked in the first rack,
Using a first actuator to extend a first arm of the at least one movable arm relative to a support;
Extending a second arm of the at least one movable arm relative to the support using a second actuator;
By positioning the support portion connected to the second robot, the first substrate positioned in the first substrate processing chamber is positioned on the first arm, and the first substrate positioned in the second substrate processing chamber is positioned. Positioning two substrates on the second arm;
Retracting the first arm and the second arm;
The method of claim 17 , comprising:
クラスタツール内で基板を移送する方法であって、
第1方向に沿って整列された第1側部及び第2側部をそれぞれ有する、垂直方向に積重したL個の基板処理チャンバからなる第1アレイに含まれるN個の基板処理チャンバ、ここでNは2以上であり、LはNより大きい、に、第1ロボットアセンブリを使用して、基板を移送するステップであって、前記第1ロボットアセンブリが、同時に、垂直方向にほぼ直交する前記第1方向に前記基板を位置決めし、前記第1側部を通過して前記N個の基板処理チャンバ内に前記基板を位置決めするように適合されている、前記移送するステップと、
前記第1方向に沿って整列された第1側部及び第2側部をそれぞれ有する、垂直方向に積重したM個の基板処理チャンバからなる第2アレイに含まれるN個の基板処理チャンバ、ここでMはNより大きい、に、第2ロボットアセンブリを使用して、同時に、基板を移送するステップであって、前記第2ロボットアセンブリが、前記第1方向に前記基板を位置決めし、前記第2側部を通過して前記N個の基板処理チャンバ内に前記基板を位置決めするように適合されている、前記移送するステップと、
前記垂直方向に積重したL個の基板処理チャンバからなる第1アレイに含まれるN個の基板処理チャンバに前記第2側部を通過して、前記垂直方向に積重したM個の基板処理チャンバからなる第2アレイに含まれるN個の基板処理チャンバに前記第1側部を通過して、第3ロボットアセンブリを使用して、基板を移送するステップであって、前記第3ロボットアセンブリが、同時に、前記第1方向に前記基板を位置決めするように適合されている、前記移送するステップと、
を備え、
前記垂直方向に積重したL個の基板処理チャンバからなる第1アレイ及び前記垂直方向に積重したM個の基板処理チャンバからなる第2アレイのそれぞれにおける前記第1側部と前記第2側部が、前記基板処理チャンバのそれぞれにおいてほぼ対向する両側に在る、方法。
A method for transferring a substrate within a cluster tool, comprising:
The first and second sides aligned in the first direction to chromatic respectively, N pieces of board processing chamber included in the first array of L pieces of a substrate processing chamber that is stacked in the vertical direction Wherein N is greater than or equal to 2 and L is greater than N using the first robot assembly to transfer the substrate, wherein the first robot assembly is simultaneously substantially orthogonal to the vertical direction. a step of the said substrate is positioned in a first direction, for feeding the first through the side is adapted to position the substrate on the N substrate processing chamber, before KiUtsuri you,
Wherein for chromatic respectively first and second sides aligned in the first direction, N pieces of board processes included in the second array of M substrate processing chamber that is stacked in the vertical direction Simultaneously transferring a substrate to a chamber , where M is greater than N, using a second robot assembly, wherein the second robot assembly positions the substrate in the first direction; a step of feeding the second through the side is adapted to position the substrate on the N substrate processing chamber, before KiUtsuri,
M substrate processes stacked in the vertical direction through the second side through N substrate processing chambers included in a first array of L substrate processing chambers stacked in the vertical direction. Transferring the substrate through the first side and using a third robot assembly to N substrate processing chambers included in a second array of chambers, the third robot assembly comprising: at the same time, the is adapted to position the substrate in the first direction, the steps of feeding pre KiUtsuri,
With
The first and second sides of the first array of L substrate processing chambers stacked in the vertical direction and the second array of M substrate processing chambers stacked in the vertical direction, respectively. A portion is on opposite sides of each of the substrate processing chambers.
第1アーム及び第2アームを有するロボットをそれぞれ備える、前記第1ロボットアセンブリ、前記第2ロボットアセンブリ又は前記第3ロボットアセンブリを使用して、2枚以上の基板を同時に移送するステップを更に備え、
記同時に移送するステップは、
前記第1アレイ又は前記第2アレイ内の前記垂直に積重した2つ以上の基板処理チャンバの1つに含まれる第1基板処理チャンバ内に前記ロボットが載置される支持部に関連して前記第1アームを延出させる工程と、
前記第1アレイ又は前記第2アレイ内の前記垂直に積重した2つ以上の基板処理チャンバの1つに含まれる第2基板処理チャンバ内に前記支持部に関連して前記第2アームを延出させる工程と、
前記ロボットを位置決めすることによって、前記第1基板処理チャンバ内に位置決めされた第1基板を前記第1アーム上に位置決めし、前記第2基板処理チャンバ内に位置決めされた第2基板を前記第2アーム上に位置決めする工程と、
前記第1アームと前記第2アームを引き込む工程と、
第3基板処理チャンバに近接して前記第1アームを、第4基板処理チャンバに近接して前記第2アームを再位置決めする工程であって、前記第3基板処理チャンバ及び前記第4基板処理チャンバが両方とも前記第1アレイ又は前記第2アレイ内に含まれている、前記再位置決めする工程と、
を備える、請求項20に記載の方法。
Further comprising simultaneously transferring two or more substrates using the first robot assembly, the second robot assembly, or the third robot assembly, each comprising a robot having a first arm and a second arm;
Before Symbol step of transferring at the same time is,
In relation to the supporting portion in which the first pre-SL robot within a substrate processing chamber that is included in one of the vertically two or more substrate processing chambers and stacked it is placed in the first array or the second array Extending the first arm;
The said second arm in relation to the prior SL support portion to the second substrate processing chamber that is included in one of the vertically two or more substrate processing chambers and stacked in the first array or the second array Extending the process;
By positioning the robot, the first substrate positioned in the first substrate processing chamber is positioned on the first arm, and the second substrate positioned in the second substrate processing chamber is positioned in the second substrate. Positioning on the arm;
Retracting the first arm and the second arm;
Repositioning the first arm proximate to a third substrate processing chamber and the second arm proximate to a fourth substrate processing chamber, wherein the third substrate processing chamber and the fourth substrate processing chamber Repositioning both of which are included in the first array or the second array;
21. The method of claim 20 , comprising:
前記第2ロボットアセンブリを再位置決めする工程が、垂直動作アセンブリを使用して垂直方向に前記第2ロボットアセンブリを位置決めする工程と、水平動作アセンブリを使用して水平方向に前記ロボットアセンブリを位置決めする工程と、を含み、
ファンを使用して、前記水平動作アセンブリ又は前記垂直動作アセンブリにおけるエンクロージャの内部領域から空気を抜いて内部を減圧するステップであって、前記ロボットアセンブリを位置決めするように適合されたアクチュエータが、前記内部領域内に配置される、前記内部を減圧するステップを更に備える、請求項21に記載の方法。
Step process of repositioning the second robot assembly, to position the step of positioning the second robot assembly in a vertical direction using the vertical motion assembly, the robot assembly in a horizontal direction using the horizontal motion assembly And including
Using a fan to evacuate the interior of the enclosure in the horizontal motion assembly or the vertical motion assembly and depressurize the interior, wherein an actuator adapted to position the robot assembly includes The method of claim 21 , further comprising depressurizing the interior disposed within a region.
ーザが定義する処理シーケンスに基づいて、第1ロボットのための1つ以上の指令タスクからなる第1リストを作成するステップと、
前記ユーザが定義する処理シーケンスに基づいて、第2ロボットのための1つ以上の指令タスクからなる第2リストを作成するステップと、
前記第1ロボット及び前記第2ロボットのための1つ以上の指令タスクを、コントローラのメモリに記憶するステップと、
前記第1リスト及び前記第2リストをレビューして、前記第1ロボットと前記第2ロボットとの間における作業負荷の不均衡を算出するステップと、
前記1つ以上の指令タスクの少なくとも1つを、前記第1リストから前記第2リストへ移動させて、前記作業負荷を平衡化するステップと、
を備える、請求項17から19のいずれか一項に記載の方法。
Based on the processing sequence Yoo over THE defines, creating a first list of one or more command tasks for the first robot,
Creating a second list of one or more command tasks for a second robot based on a processing sequence defined by the user;
Storing one or more command tasks for the first robot and the second robot in a memory of a controller;
Reviewing the first list and the second list to calculate a work load imbalance between the first robot and the second robot;
Moving at least one of the one or more command tasks from the first list to the second list to balance the workload;
20. The method according to any one of claims 17 to 19, comprising :
JP2007548551A 2004-12-22 2005-12-21 Cluster tool architecture for processing substrates Expired - Fee Related JP4990160B2 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US63910904P 2004-12-22 2004-12-22
US60/639,109 2004-12-22
US11/112,281 US7357842B2 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/112,281 2005-04-22
US11/112,932 US20060134330A1 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/112,932 2005-04-22
PCT/US2005/046877 WO2006069341A2 (en) 2004-12-22 2005-12-21 Cluster tool architecture for processing a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011224043A Division JP2012069957A (en) 2004-12-22 2011-10-11 Cluster tool architecture for processing substrate

Publications (3)

Publication Number Publication Date
JP2008526032A JP2008526032A (en) 2008-07-17
JP2008526032A5 JP2008526032A5 (en) 2008-08-28
JP4990160B2 true JP4990160B2 (en) 2012-08-01

Family

ID=36147070

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007548551A Expired - Fee Related JP4990160B2 (en) 2004-12-22 2005-12-21 Cluster tool architecture for processing substrates

Country Status (4)

Country Link
EP (1) EP1842225A2 (en)
JP (1) JP4990160B2 (en)
KR (1) KR101006685B1 (en)
WO (1) WO2006069341A2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4685584B2 (en) 2005-03-11 2011-05-18 東京エレクトロン株式会社 Coating and developing equipment
JP5283842B2 (en) * 2006-12-18 2013-09-04 キヤノン株式会社 Processing equipment
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP5267691B2 (en) * 2012-02-15 2013-08-21 東京エレクトロン株式会社 Coating and developing apparatus, method and storage medium
US9543186B2 (en) 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
KR102037900B1 (en) * 2017-11-10 2019-10-29 세메스 주식회사 Apparatus and method for treating substrates
US20200194297A1 (en) * 2018-12-14 2020-06-18 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Track system and method of processing semiconductor wafers
US11413767B2 (en) 2019-10-29 2022-08-16 Applied Materials, Inc. Sensor-based position and orientation feedback of robot end effector with respect to destination chamber

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW318258B (en) * 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3816929B2 (en) * 1995-12-12 2006-08-30 東京エレクトロン株式会社 Semiconductor processing equipment
JP3650495B2 (en) * 1995-12-12 2005-05-18 東京エレクトロン株式会社 Semiconductor processing apparatus, substrate replacement mechanism and substrate replacement method thereof
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6315512B1 (en) 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6293713B1 (en) * 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
JP3957445B2 (en) * 1999-07-02 2007-08-15 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
KR101006685B1 (en) 2011-01-10
EP1842225A2 (en) 2007-10-10
KR20080016782A (en) 2008-02-22
WO2006069341A3 (en) 2006-10-12
WO2006069341A2 (en) 2006-06-29
JP2008526032A (en) 2008-07-17

Similar Documents

Publication Publication Date Title
JP2013093597A (en) Cluster tool architecture for processing substrate
JP4990160B2 (en) Cluster tool architecture for processing substrates
US7699021B2 (en) Cluster tool substrate throughput optimization
KR100875788B1 (en) Substrate Processing Equipment
US20070274711A1 (en) Substrate processing apparatus and substrate processing method
US6593045B2 (en) Substrate processing apparatus and method
US20070172234A1 (en) Apparatus for and method of processing substrate
TWI397148B (en) Method and system for detection of wafer centering in a track lithography tool
US20070253710A1 (en) Method of processing substrate, substrate processing system and substrate processing apparatus
US20080212049A1 (en) Substrate processing apparatus with high throughput development units
US20090110532A1 (en) Method and apparatus for providing wafer centering on a track lithography tool
US20070147832A1 (en) Method of processing substrate, substrate processing system and substrate processing apparatus
US20090107519A1 (en) Method and system for chemically enhanced laser trimming of substrate edges
JP4768084B2 (en) Coat / development module with shared distribution
US20100330273A1 (en) Substrate processing apparatus and substrate processing method for heat-treating substrate
US20080196658A1 (en) Substrate processing apparatus including a substrate reversing region

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080619

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080619

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20110317

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110412

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110712

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110720

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110810

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110817

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110909

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110916

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111011

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120411

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120501

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees