JP4876337B2 - Processing system - Google Patents

Processing system Download PDF

Info

Publication number
JP4876337B2
JP4876337B2 JP2001177736A JP2001177736A JP4876337B2 JP 4876337 B2 JP4876337 B2 JP 4876337B2 JP 2001177736 A JP2001177736 A JP 2001177736A JP 2001177736 A JP2001177736 A JP 2001177736A JP 4876337 B2 JP4876337 B2 JP 4876337B2
Authority
JP
Japan
Prior art keywords
wafer
transfer chamber
processing
processed
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001177736A
Other languages
Japanese (ja)
Other versions
JP2002367976A (en
Inventor
寿潜 邵
一成 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2001177736A priority Critical patent/JP4876337B2/en
Publication of JP2002367976A publication Critical patent/JP2002367976A/en
Application granted granted Critical
Publication of JP4876337B2 publication Critical patent/JP4876337B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a treatment system that can remove not only water and gas constituents, but also reaction by-products and organic constituents without making occupation space larger. SOLUTION: The treatment system has a plurality of treatment devices 32A to 32D that carry out specific treatment to a workpiece W to be treated, a common conveyance chamber 34 that is connected to the plurality of treatment devices commonly and can be evacuated, a conveyance means 40 that is provided in the common conveyance chamber to convey the workpiece to be treated among the treatment devices, a preliminary heating area section 50 that is provided in the common conveyance chamber to allow the workpiece to be subjected to preliminary heating, and an ultraviolet irradiation area section 52 that is provided in the common conveyance chamber to irradiate the workpiece with ultraviolet rays, thus removing not only water and gas constituents, but also reaction by-products and organic constituents without making occupation space larger.

Description

【0001】
【発明の属する技術分野】
本発明は、半導体ウエハ等の被処理体に所定の処理を施すための複数の処理装置を有する処理システムに関する。
【0002】
【従来の技術】
一般に、半導体集積回路を製造するためにはウエハに対して成膜、エッチング、酸化、拡散等の各種の処理が行なわれる。そして、半導体集積回路の微細化及び高集積化によって、スループット及び歩留りを向上させるために、同一処理を行なう複数の処理装置、或いは異なる処理を行なう複数の処理装置を、共通の搬送室を介して相互に結合して、ウエハを大気に晒すことなく各種工程の連続処理を可能とした、いわゆるクラスタ化された処理システム装置が、例えば特開2000−208589号公報や特開2000−299367号公報等に開示されているように、すでに知られている。
【0003】
図7はこのようなクラスタ化された従来の処理システムの一例を示す概略構成図である。図示するように、この処理システム2は、3つの処理装置4A、4B、4Cと、第1の搬送室6と、予熱機構を備えた2つのロードロック室8A、8Bと、第2の搬送室10と2つのカセット収容室12A、12Bを有している。上記3つの処理装置4A〜4Cは上記第2の搬送室6に共通に連結され、上記2つのロードロック室8A、8Bは、上記第1及び第2の搬送室6、10間に並列に介在されている。また、上記2つのカセット収容室12A、12Bは、上記第2の搬送室10に連結されている。そして、各室間には気密に開閉可能になされたゲートバルブGが介在されている。
【0004】
そして、上記第1及び第2の搬送室6、10内には、それぞれ屈伸及び旋回可能になされた多関節式の第1及び第2搬送アーム14、16が設けられており、これにより半導体ウエハWを保持して搬送することにより、ウエハWを移載する。また、第2の搬送室10内には、回転台18と光学センサ20よりなる位置合わせ機構22が設けられており、カセット収容室12A或いは12Bより取り込んだウエハWを回転してこのオリエンテーションフラットやノッチを検出してその位置合わせを行なうようになっている。
半導体ウエハWの処理に関しては、まず、N2 雰囲気の大気圧に維持されている第2の搬送室10内の第2の搬送アーム16により、いずれか一方のカセット収容室、例えば12A内のカセットCから未処理の半導体ウエハWを取り出し、これを第2の搬送室10内の位置合わせ機構22の回転台18に載置する。そして、位置合わせ操作が終了すると、この搬送アーム16は再度、この位置合わせ後のウエハWを保持し、これをいずれか一方のロードロック室、例えば8A内に収容する。このロードロック室8A内では、必要に応じてウエハを予熱すると同時に、ロードロック室8A内は所定の圧力に真空引きされる。
【0005】
このように予熱操作が終了したならば、このロードロック室8A内と予め真空状態になされている第1の搬送室6内とをゲートバルブGを開いて連通し、予熱されたウエハWを第1の搬送アーム14で把持し、これを所定の処理装置、例えば4A内に移載して所定の処理、例えば金属膜や絶縁膜などの成膜処理を行なう。
処理済みの半導体ウエハWは、必要な場合には、更に他の処理装置4B、4C内にて所定の処理が連続的に行われ、そして、例えば前述した経路とは逆の経路を通り、例えばカセット収容室12Aの元のカセットC内に収容される。
【0006】
【発明が解決しようとする課題】
ところで、半導体ウエハ処理の高微細化、高集積化及び薄膜化の傾向が更に進むに従って、半導体ウエハ表面上に付着している水分や余分なガス成分を加熱により除去する処理、すなわちデガス処理(予熱処理)を、より十分に行う必要が生じている。このため、上記したような処理システムにあっては、ロードロック室8A、8Bにてデガス処理が行われる。
【0007】
しかしながら、上記半導体ウエハ表面は水分やガス成分が付着しているのみならず、予熱処理では簡単に除去できない有機物成分も付着している場合もあり、このように有機物成分が付着している場合にはこれを十分に除去することができなかった。この場合、有機物成分を除去する有機物除去処理装置を処理システムに組み入れることも考えられるが、成膜処理装置やスパッタ装置等の処理装置と比較して構造が簡単で、サイズも小さくて済む上記有機物除去処理装置を上記搬送室6に連設するのは、スペース有効利用の上から好ましくない。
また、最近にあっては、NF3 ガスやH2 ガスの存在下にてプラズマを用いてウエハ表面の自然酸化膜を除去する自然酸化膜除去装置を処理システムに組み入れる場合があるが、この場合には、上記自然酸化膜の除去処理に伴ってウエハ表面に反応副生成物(バイプロダクト)が付着することは避けられず、従って、この反応副生成物を除去するための加熱装置を、上記自然酸化膜除去装置の他に上記処理システムに連設して別途設けなければならず、この点よりも、スペース有効利用の上から好ましくなかった。
また、他の処理システムとして、カセット収容室12A、12B内にてウエハを予熱するようにした装置も知られてはいるが、この場合にはデガス(Degas)処理を十分に行うことができなかった。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、占有スペースを大きくすることなく水分やガス成分のみならず、反応副生成物及び有機物成分も除去することができる処理システムを提供することにある。
【0008】
【課題を解決するための手段】
請求項1に規定する発明は、被処理体に対して所定の処理を行う複数の処理装置と、前記複数の処理装置に共通に接続されて真空引き可能になされた共通搬送室と、前記共通搬送室内に設けられて前記処理装置との間で前記被処理体を搬送するための搬送手段と、前記共通搬送室の上側区画壁側に設けた加熱手段としての加熱ランプを有し、前記被処理体を予備加熱するために前記搬送手段により保持された状態の前記被処理体の周囲を囲むことができるように昇降可能になされたシャッタ部材により区画される予備加熱エリア部と、前記共通搬送室の上側区画壁側に設けた紫外線手段としての紫外線ランプを有し、前記被処理体に紫外線を照射するために前記搬送手段により保持された状態の前記被処理体の周囲を囲むことができるように昇降可能になされたシャッタ部材により区画される紫外線照射エリア部と、を備えたことを特徴とする処理システムである。
【0009】
これによれば、共通搬送室内にて必要に応じて予備加熱エリア部にて被処理体を加熱することにより、被処理体の付着水分や付着ガス成分を除去したり、自然酸化膜除去処理によって発生した反応副生成物を除去したり、または、紫外線照射エリア部にて紫外線を照射することにより付着有機物を分解除去したりすることが可能となる。
しかも、上記予備加熱エリア部や紫外線照射エリア部は、共通搬送室に併せて設けるようにしているので、占有スペースが増加することもなく、省スペース化に寄与することが可能となる。
また、被処理体に対して高温で熱処理する直前に予備加熱エリア部にて被処理体を予熱しておけば、プロセス時に急激な昇温を行う必要がないので、被処理体の厚さ方向における温度差を低減してこれに反りが発生することを未然に防止することができる。
【0010】
また、予備加熱エリア部はシャッタ部材により区画されているので、予熱によって飛散した水分やガス成分や反応副生成物の分解ガス成分が、共通搬送室内の他の領域に侵入することを可能な限り抑制することが可能となる。
この場合、例えば請求項2に規定するように、前記予備加熱エリア部に対応する前記上側区画壁には、開口が設けられると共に前記開口には、透過窓が気密に設けられ、前記透過窓の外側に前記加熱手段が設けられる。
また、例えば請求項3に規定するように、前記紫外線照射エリア部に対応する前記上側区画壁には、開口が設けられると共に前記開口には、透過窓が気密に設けられ、前記透過窓の外側に前記紫外線照射手段が設けられる。
また、例えば請求項に規定するように、少なくとも前記予備加熱エリア部に臨ませて真空排気口が設けられる。
これによれば、予備加熱エリア部にて発生したガス成分等を共通搬送室内の他の領域に流出させることなく、これを系外へ排出することが可能となる。
【0012】
また、例えば請求項に規定するように、少なくとも前記予備加熱エリア部には、これに不活性ガスを供給する不活性ガス供給手段が設けられる。
これによれば、この予備加熱エリア部にて発生したガス成分等を効率的に系外へ排出することが可能となる。
また、例えば請求項に規定するように、前記予備加熱エリア部と前記紫外線照射エリア部とは同一場に設置されている。
これによれば、被処理体に対する予熱と紫外線の照射を同時に行うことが可能となる。
【0013】
【発明の実施の形態】
以下に、本発明に係る処理システムの一実施例を添付図面に基づいて詳述する。
図1は本発明に係る処理システムの一例を示す概略平面図、図2は予熱加熱エリア部を示す断面図、図3は予備加熱エリア部にて用いるシャッタ部材を示す斜視図、図4はシャッタ部材が上昇している時の予備加熱エリア部を示す断面図、図5は紫外線照射エリア部を示す断面図である。
図示するように、この処理システム30は、複数、例えば4つの処理装置32A、32B、32C、32Dと、やや細長い多角形状、例えば六角形状の共通搬送室34とを主に有している。
【0014】
具体的には、上記共通搬送室34は、その対向する一対の一辺のみが、他の辺よりも少し長くなされて、多角形状、ここでは扁平な六角形状になっている。そして、この扁平六角形状の共通搬送室34の4辺に集中させてその各辺に上記各処理装置32A〜32Dが接合され、他側の2つの辺に、それぞれ第1及び第2のカセット室36A、36Bがそれぞれ接合される。
上記共通搬送室34と上記4つの各処理装置32A〜32Dとの間及び上記共通搬送室34と上記第1及び第2のカセット室36A、36Bとの間は、それぞれ気密に開閉可能になされたゲートバルブG1〜G4及びG5、G6が介在して接合されて、クラスタツール化されており、必要に応じて共通搬送室34内と連通可能になされている。また、上記第1及び第2の各カセット室36A、36Bの反対側には、大気側であるクリーニングルームに対して開閉可能になされたゲートドアG7、G8が介在されている。この各カセット室36A、36B内に収容される各カセットC1、C2には、それぞれ最大例えば25枚の被処理体としての半導体ウエハWを等ピッチで多段に載置して収容できるようになっており、各カセット室36A、36Bの内部は例えばN2 ガス雰囲気で満たされている。
【0015】
上記4つの処理装置32A〜32Dでは、被処理体である半導体ウエハWに対して同種の、或いは異種の処理を施すようになっている。そして、この共通搬送室34内には、屈伸、昇降及び旋回可能になされた多関節アームよりなる搬送手段40が設けられており、これは、互いに反対方向へ独立して屈伸できる2つのピック40A、40Bを有しており、一度に2枚のウエハを取り扱うことができるようになっている。尚、上記搬送手段40として1つのみのピックを有しているものも用いることができる。
そして、この共通搬送室34内に、半導体ウエハWのオリエンテーションフラットやノッチなどを検出してその位置合わせを行なう位置合わせ機構44が設置されている。この位置合わせ機構44は、ウエハWを保持したままこれを回転させる回転台46と上述したようにウエハWのオリエンテーションフラットやノッチなどを光学的に検出する光学センサ48とにより主に構成されている。
【0016】
そして、この共通搬送室34には、本発明の特徴とする予備加熱エリア部50と紫外線照射エリア部52とが設けられている。図1においては、上記2つのエリア部50、52は、内部の搬送手段40を中心として互いに反対側に設置されている。
上記予備加熱エリア部50は、半導体ウエハWに熱線を照射してこれを所定の温度まで昇温し、付着水分や付着ガス等を飛ばすデガス処理、自然酸化膜除去処理等によってウエハ面に付着している反応副生成物の除去処理、予熱によりウエハの反り防止等を行うものであり、上記紫外線照射エリア部52は、半導体ウエハWに上記紫外線を照射してウエハ面に付着している有機物成分を分解して飛ばすデガス処理等を行うものである。
【0017】
具体的には、まず、図2にも示すように上記予備加熱エリア部50にあっては、このエリア部50に対応する共通搬送室34の上側区画壁54に開口56を形成し、この開口56にOリング等のシール部材58を介して石英等よりなる透過窓60が気密に接合されている。そして、この透過窓60の上方には、反射板を兼ねるケーシング62が設けられており、このケーシング62内に加熱手段として複数の加熱ランプ64をウエハ面に対応させて平面的に配置して設けている。この加熱ランプ64としては発熱量の大きな、例えばハロゲンランプが用いられる。
そして、上記透過窓60の周囲の上側区画壁54には、これを貫通するようにして不活性ガス供給手段を構成する複数の不活性ガスノズル66が設けられており、上記予備加熱エリア部50内に不活性ガスとして例えばN2 ガスを流量制御しつつ必要時に供給し得るようになっている。
【0018】
また、上記共通搬送室34の下側区画壁70には、この予備加熱エリア部50の中心位置に臨ませて真空排気口72が形成されると共に、この真空排気口72には、図示しない真空ポンプ等を途中に介在させた真空排気系74が接続されており、この共通搬送室34内の雰囲気を真空引きできるようになっている。
更にこの共通搬送室34内には、上記予備加熱エリア部50の周囲を囲むようにし、或いは区画するようにして図3にも示すような円筒体状のシャッタ部材76が昇降可能に設けられる。具体的には、このシャッタ部材76は、例えばアルミナ等により、その内部に半導体ウエハWを収容できる程度の大きさの円筒体状に成形されており、搬送手段40(図1参照)が屈伸する搬送方向の側壁には、上記搬送手段40のアーム部分との干渉を避けるための開口凹部78が形成されている。このシャッタ部材76は、複数、例えば3本の昇降ロッド80(図2においては2本のみ記す)により支持されると共に、各支持ロッド80は上記下側区画壁70に形成した貫通孔82を下方向へ挿通されている。そして、各支持ロッド80の挿通部には、伸縮可能になされたベローズ84が介設されており、上記共通搬送室34内の気密性を維持しつつ上記各昇降ロッド74を一体的に昇降移動できるようになっている。図4は上記昇降ロッド74を上昇させて上記シャッタ部材76を上方へ移動させた状態を示している。
【0019】
一方、図5に示す上記紫外線照射エリア部52の構成は、図2に示した予備加熱エリア部50における加熱ランプ64に代えて、紫外線照射手段として紫外線ランプ86を用いた点を除き、他の構成は上記予備加熱エリア部50の構成と同様になされている。
具体的には、まず、図5にも示すように上記紫外線照射エリア部52にあっては、このエリア部52に対応する共通搬送室34の上側区画壁54に開口90を形成し、この開口90にOリング等のシール部材92を介して石英等よりなる透過窓94が気密に接合されている。そして、この透過窓94の上方には、反射板を兼ねるケーシング96が設けられており、このケーシング96内に紫外線照射手段として複数の上記紫外線ランプ86をウエハ面に対応させて平面的に配置して設けている。
そして、上記透過窓94の周囲の上側区画壁54には、これを貫通するようにしてガス供給手段を構成する複数のガスノズル98が設けられており、上記紫外線照射エリア部52内に不活性ガスとして例えばN2 ガス、または真空度によっては微量のO2 ガスを流量制御しつつ必要時に供給し得るようになっている。
【0020】
また、上記共通搬送室34の下側区画壁70には、この紫外線照射エリア部52の中心位置に臨ませて真空排気口100が形成されると共に、この真空排気口100には、図示しない真空ポンプ等を途中に介在させた真空排気系102が接続されており、この共通搬送室34内の雰囲気を真空引きできるようになっている。尚、この真空排気系102は、前記予備加熱エリア部50の真空排気系74(図2参照)と連結されている。
更にこの共通搬送室34内には、上記紫外線照射エリア部52の周囲を囲むようにし、或いは区画するようにして図3に示したものと同様な構造の円筒体状のシャッタ部材104が昇降可能に設けられる。具体的には、このシャッタ部材104は、例えばアルミナ、透明石英等により、その内部に半導体ウエハWを収容できる程度の大きさの円筒体状に成形されており、搬送手段40(図1参照)が屈伸する搬送方向の側壁には、上記搬送手段40のアーム部分との干渉を避けるための開口凹部106が形成されている。このシャッタ部材104は、複数、例えば3本の昇降ロッド108により支持されると共に、各支持ロッド108は上記下側区画壁70に形成した貫通孔110を下方向へ挿通されている。そして、各支持ロッド108の挿通部には、伸縮可能になされたベローズ112が介設されており、上記共通搬送室34内の気密性を維持しつつ上記各支持ロッド108を一体的に昇降移動できるようになっている。
【0021】
次に、以上のような処理システム30を用いて行なわれる動作について説明する。
まず、本発明について重要な点は、半導体ウエハWの表面に付着している有機物成分を除去するデガス処理を行う場合には、この処理を紫外線照射エリア部52で行い、また、半導体ウエハWの表面に付着している水分やガス成分を除去するデガス処理のための予熱処理、自然酸化膜除去時にウエハ表面に付着した反応副生成物の除去処理のための予熱処理及びウエハの反り発生の防止の予熱処理等は予備加熱エリア部50で行う点である。
まず、ここでは半導体ウエハWの流れの一例を簡単に説明する。
例えば、2つのカセット室36A、36Bの内のいずれか1つのカセット室、例えばカセット室36A内のカセットC1内から未処理の半導体ウエハWを、搬送手段40を駆動することによって一方のピック、例えばピック40Aで取り上げて保持し、このウエハWを予め真空状態になされる共通搬送室34内の位置合わせ機構44まで搬送する。
【0022】
次に、搬送手段40のピック40Aに保持していた未処理のウエハを、空状態になっている回転台46上に載置する。そして、このウエハWを回転することによってその位置ずれ量を求めて、位置合わせを行うことになる。
そして、このように位置合わせされたウエハWは、必要なデガス処理のための予熱処理、或いは反り防止のための予熱処理が行われた後に、各処理装置32A〜32Dにて必要な処理が順次連続的に行われる。また、この連続処理の途中に、自然酸化膜の除去処理を行った場合には、その直後にはウエハ表面に反応副生成物が付着しているので、このウエハWは、次の処理装置における処理に先立って上記予備加熱エリア部50にて反応副生成物の除去処理が行われることになる。
ここで、具体的な処理方法を例にとってウエハWの流れを説明する。
まず、処理装置32Aではウエハ表面に付着している自然酸化膜をプラズマ(加熱なし)によって除去する自然酸化膜除去処理を行い、処理装置32Bでは略650℃にてウエハ表面に酸化膜(SiO2 )を形成する酸化膜成膜処理を行い、処理装置32Cでは略450℃にてウエハ表面にZrSiO膜を形成する成膜処理を行い、処理装置32Dで略750℃にてウエハにアニール処理を行う場合を例にとって説明する。
【0023】
まず、共通搬送室34内の位置合わせ機構44にて位置合わせされたウエハWは、この搬送手段40を旋回駆動してウエハWを紫外線照射エリア部52に位置させる。
ここで、ウエハWを例えばピック40Aで保持したまま、シャッタ部材104(図5参照)を上昇させてウエハWの周囲をこのシャッタ部材104で囲む。この時のシャッタ部材104の位置は、図4に示す予備加熱エリア部50におけるシャッタ部76の位置と同じである。次に、紫外線ランプ86を点灯し、これによって発生した紫外線UVを、透過窓94を介してウエハWの表面に照射してこの表面に付着している有機物成分を紫外線UVによって分解し、気化させて除去する。
この際、ガスノズル98より不活性ガスとしてN2 ガス、または真空度によっては微量のO2 ガスを流量制御しつつ流し、且つこの真下の真空排気口100より雰囲気ガスを真空引きすることにより、有機物成分を分解することによって発生したガスは、上記供給されるN2 ガス流により効率的に排除され、しかも、ウエハWの周辺部から下方向の真空排気口100に向けて円滑に排除されることになる。更に、このエリア部52の周囲はシャッタ部材104により囲まれて区画されているので、発生したガス成分がこのエリア部52から共通搬送室34内の他の領域に漏れ出ることも極力抑制することが可能となる。尚、この有機物成分の処理装置においては、紫外線UVによってウエハWの温度はほとんど上昇することはない。
【0024】
このように、有機物成分の除去処理が完了したならば、搬送手段40を駆動してこのウエハWを処理装置32A内に搬入する。そして、この処理装置32A内にて、例えばNH3 ガス、N2 ガス、H2 ガスの存在下にてプラズマを立てて、ウエハWの表面に付着していた自然酸化膜を除去する。この際、ウエハWはプラズマ熱によって僅かに昇温されるが、積極的には加熱は行われない。
この自然酸化膜の除去処理では、ウエハ表面に例えばSiF4 やNH3 等の化合物よりなる反応副生成物が付着することは避けられない。
【0025】
次に、この自然酸化膜の除去処理が完了したウエハWを、搬送手段40を駆動して処理装置32Aから搬出すると共に、このウエハWを予備加熱エリア部50に位置させる。
ここで、ウエハWを例えばピック40Aで保持したまま、シャッタ部材76(図2参照)を上昇させてウエハWの周囲をこのシャッタ部材76で囲む。この時のシャッタ部材76の位置は、図4に示される。次に、ハロゲンランプよりなる加熱ランプ64を点灯し、これによって発生した熱線IRを、透過窓60を介してウエハWの表面に照射してウエハWを100〜450℃程度の範囲内まで昇温し、この表面に付着している反応副生成物を分解して気化させて除去する。
この際、不活性ガスノズル66より不活性ガスとしてN2 ガスを流量制御しつつ流し、且つこの真下の真空排気口72より雰囲気ガスを真空引きすることにより、反応副生成物を分解することによって発生したガスは、上記供給されるN2 ガス流により効率的に排除され、しかも、ウエハWの周辺部から下方向の真空排気口72に向けて円滑に排除されることになる。更に、このエリア部50の周囲はシャッタ部材76により囲まれて区画されているので、発生したガス成分がこのエリア部50から共通搬送室34内の他の領域に漏れ出ることも極力抑制することが可能となる。特に、デガス処理と比較して、この反応副生成物の除去処理では多くの不純物ガスが発生するので、上記シャッタ部材76の作用は重要である。
また、上述のように、ウエハWを所定の温度、例えば100〜450℃程度まで予備加熱しているので、次の成膜工程でウエハWを更に昇温させる際に、ウエハWに反りが発生することも防止することかできる。
【0026】
このようにして、反応副生成物を分解除去するデガス処理が完了したならば、搬送手段40を駆動してこのウエハWを処理装置32Bへ搬入し、ここでウエハ表面に酸化膜であるSiO2 膜の成膜処理を行う。このSiO2 膜の成膜処理では、ウエハWを昇温して例えばプロセス温度を略650℃程度に設定し、O2 ガスと紫外線の存在下にてウエハ表面にSiO2 膜を形成する。
上述したように、ウエハWの温度は、前処理である反応副生成物の除去処理にてすでに100〜450℃程度まで昇温されているので、この温度からプロセス温度である650℃程度まで、ウエハWの上下面に大きな温度差を生ぜしめることなく無理なく昇温でき、従って、ウエハWに反りが発生することを確実に防止することが可能となる。
【0027】
このように、酸化膜(SiO2 膜)の成膜が完了したならば、搬送手段40を駆動してこのウエハWを次の処理装置32Cへ搬送し、ここでプロセス温度略450℃程度で例えばZrSiO膜をALD(Atomic Layer Deposition)法等によって成膜する。
そして、ZrSiO膜の成膜が完了したならば、搬送手段40を駆動してこのウエハWを次の処理装置32Dへ搬送し、ここでプロセス温度略750℃程度でウエハWに対してアニール処理を施す。
このようにして、最後のアニール処理が完了したならば、搬送手段40を駆動してこの処理済みのウエハWを、例えば元のカセットC1へ搬送することになる。
【0028】
本実施例では、紫外線照射エリア部52にてウエハ表面の有機物成分の除去処理を行った後、直ちに処理装置32A内にて自然酸化膜の除去処理を行ったが、この直前に、或いは上記有機物成分の除去処理の前に、予備加熱エリア部50にてウエハWを、上述したような予備加熱することによってウエハ表面に付着している水分やガス成分を飛ばすようにしてもよい。
また、この実施例では1つのウエハWに着目してその流れを説明したが、実際の処理では、2つのピック40A、40Bを用いて先行するウエハと後行するウエハと置き換えしつつ処理が進んで行く。また、各処理装置32A〜32Dにおける各プロセス時間は、上記デガス処理や反応副生成物の除去処理と比較して長いので、処理装置32A、32Bに対するウエハWの待ち時間中に上記デガス処理や反応副生成物の除去処理が行われる。
また、上記各エリア部50、52の設置位置は、上述した位置に限定されず、共通搬送室34内の空きスペースならばどこに設けてもよい。
【0029】
更に、本実施例では、2つのエリア部50、52を異なる位置に設けた場合を例にとって説明したが、これに限定されず、両エリア部50、52を共通搬送室34の同一場所に設置してもよい。図6はこのような本発明の変形例を示しており、ここでは図1及び図2において紫外線照射エリア部52を設置した位置に、予備加熱エリア部50の機能を兼ね備えるようにしている。この場合、図2に示した加熱ランプ54等を下部区画壁70側に設けている。すなわち、下部区画壁70に開口部56を形成してこの開口部56にシール部材58を介して透過窓60を設け、この透過窓60の下方に設けたケーシング62内に、ハロゲンランプよりなる加熱ランプ64を設けており、このランプ64と上方の紫外線ランプ86の両ランプ64、86を選択的に或いは同時に点灯可能としている。この構成によれば、両ランプ64、86を同時に点灯させることにより、ウエハ表面に付着する水分、ガス、有機物成分等を同時に除去することが可能となり、また、設備コストも少なくて済む。
尚、図6においては真空排気口を記載しておらず、これは別個に設けられる。また、上記加熱ランプ64と紫外線ランプ86の双方を、上下の区画壁のいずれか一方に集合させて設けるようにしてもよい。
また、ここでは加熱手段として加熱ランプ64を用いた場合を例にとって説明したが、これに限定されず、抵抗加熱ヒータを用いてもよい。
また、本実施例では、各プロセスは単に一例を示したに過ぎず、処理装置にてどのような処理を行う場合にも本発明を適用できるのは勿論である。
尚、以上の実施例では被処理体として半導体ウエハWを例にとって説明したが、これに限定されず、ガラス基板、LCD基板等にも本発明を適用することができる。
【0030】
【発明の効果】
以上説明したように、本発明の処理システムによれば、次のように優れた作用効果を発揮することができる。
本発明によれば、共通搬送室内にて必要に応じて予備加熱エリア部にて被処理体を加熱することにより、被処理体の付着水分や付着ガス成分を除去したり、自然酸化膜除去処理によって発生した反応副生成物を除去したり、または、紫外線照射エリア部にて紫外線を照射することにより付着有機物を分解除去したりすることができる。
しかも、上記予備加熱エリア部や紫外線照射エリア部は、共通搬送室に併せて設けるようにしているので、占有スペースが増加することもなく、省スペース化に寄与することができる。
また、被処理体に対して高温で熱処理する直前に予備加熱エリア部にて被処理体を予熱しておけば、プロセス時に急激な昇温を行う必要がないので、被処理体の厚さ方向における温度差を低減してこれに反りが発生することを未然に防止することができる。
また、予備加熱エリア部はシャッタ部材により区画されているので、予熱によって飛散した水分やガス成分や反応副生成物の分解ガス成分が、共通搬送室内の他の領域に侵入することを可能な限り抑制することができる。
請求項に規定する発明によれば、予備加熱エリア部にて発生したガス成分等を共通搬送室内の他の領域に流出させることなく、これを系外へ排出することができる。
請求項に規定する発明によれば、予備加熱エリア部にて発生したガス成分等を効率的に系外へ排出することができる。
請求項に規定する発明によれば、被処理体に対する予熱と紫外線の照射を同時に行うことができる。
【図面の簡単な説明】
【図1】本発明に係る処理システムの一例を示す概略平面図である。
【図2】予熱加熱エリア部を示す断面図である。
【図3】予備加熱エリア部にて用いるシャッタ部材を示す斜視図である。
【図4】シャッタ部材が上昇している時の予備加熱エリア部を示す断面図である。
【図5】紫外線照射エリア部を示す断面図である。
【図6】本発明の変形例を示す断面図である。
【図7】従来の処理システムの一例を示す概略構成図である。
【符号の説明】
30 処理システム
32A〜32D 処理装置
34 共通搬送室
40 搬送手段
50 予備加熱エリア部
52 紫外線照射エリア部
64 加熱ランプ(加熱手段)
66,98 不活性ガスノズル(不活性ガス供給手段)
72,100 真空排気口
76,104 シャッタ部材
86 紫外線ランプ(紫外線照射手段)
W 半導体ウエハ(被処理体)
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a processing system having a plurality of processing apparatuses for performing predetermined processing on an object to be processed such as a semiconductor wafer.
[0002]
[Prior art]
Generally, in order to manufacture a semiconductor integrated circuit, various processes such as film formation, etching, oxidation, and diffusion are performed on a wafer. In order to improve throughput and yield by miniaturization and high integration of a semiconductor integrated circuit, a plurality of processing apparatuses performing the same process or a plurality of processing apparatuses performing different processes are connected through a common transfer chamber. A so-called clustered processing system apparatus, which is coupled to each other and enables continuous processing of various processes without exposing the wafer to the atmosphere, is disclosed in, for example, Japanese Patent Laid-Open Nos. 2000-208589 and 2000-299367. Already known, as disclosed in.
[0003]
FIG. 7 is a schematic configuration diagram showing an example of such a conventional clustered processing system. As shown in the figure, this processing system 2 includes three processing apparatuses 4A, 4B, and 4C, a first transfer chamber 6, two load lock chambers 8A and 8B having a preheating mechanism, and a second transfer chamber. 10 and two cassette housing chambers 12A and 12B. The three processing devices 4A to 4C are commonly connected to the second transfer chamber 6, and the two load lock chambers 8A and 8B are interposed between the first and second transfer chambers 6 and 10 in parallel. Has been. The two cassette housing chambers 12 </ b> A and 12 </ b> B are connected to the second transfer chamber 10. A gate valve G that can be opened and closed airtight is interposed between the chambers.
[0004]
The first and second transfer chambers 6 and 10 are provided with articulated first and second transfer arms 14 and 16 that can bend and extend and turn, respectively. The wafer W is transferred by holding and transporting the W. Further, an alignment mechanism 22 including a turntable 18 and an optical sensor 20 is provided in the second transfer chamber 10, and the orientation flat or the wafer W taken in from the cassette housing chamber 12A or 12B is rotated. The notch is detected and aligned.
Regarding the processing of the semiconductor wafer W, first, N2 An unprocessed semiconductor wafer W is taken out from one of the cassette housing chambers, for example, the cassette C in 12A, by the second transfer arm 16 in the second transfer chamber 10 maintained at atmospheric pressure. Is placed on the turntable 18 of the alignment mechanism 22 in the second transfer chamber 10. When the alignment operation is completed, the transfer arm 16 again holds the wafer W after the alignment, and accommodates it in one of the load lock chambers, for example, 8A. In the load lock chamber 8A, the wafer is preheated as necessary, and at the same time, the load lock chamber 8A is evacuated to a predetermined pressure.
[0005]
When the preheating operation is completed as described above, the gate valve G is opened to communicate the load lock chamber 8A and the first transfer chamber 6 which has been previously in a vacuum state, and the preheated wafer W is connected to the first transfer chamber 6A. The first transfer arm 14 is held and transferred to a predetermined processing apparatus, for example, 4A, and a predetermined process, for example, a film forming process such as a metal film or an insulating film is performed.
If necessary, the processed semiconductor wafer W is continuously subjected to predetermined processing in the other processing apparatuses 4B and 4C, and passes through a path opposite to the above-described path, for example, It is accommodated in the original cassette C in the cassette accommodating chamber 12A.
[0006]
[Problems to be solved by the invention]
By the way, as the trend toward higher miniaturization, higher integration, and thinning of semiconductor wafer processing further progresses, a process of removing moisture and excess gas components adhering to the surface of the semiconductor wafer by heating, that is, a degas process (preliminary process). It is necessary to perform the heat treatment more sufficiently. For this reason, in the treatment system as described above, the degas treatment is performed in the load lock chambers 8A and 8B.
[0007]
However, not only moisture and gas components adhere to the surface of the semiconductor wafer, but also organic components that cannot be easily removed by pre-heat treatment may adhere. Could not be removed sufficiently. In this case, it may be possible to incorporate an organic substance removal processing apparatus for removing organic components into the processing system. However, the organic substance is simple in structure and small in size as compared with a processing apparatus such as a film forming processing apparatus or a sputtering apparatus. It is not preferable to connect the removal processing apparatus to the transfer chamber 6 from the viewpoint of effective use of space.
Recently, NFThree Gas or H2 In some cases, a natural oxide film removing apparatus that removes the natural oxide film on the wafer surface using plasma in the presence of gas is incorporated in the processing system. In this case, the wafer is accompanied by the above-mentioned natural oxide film removing process. It is inevitable that reaction by-products (bi-products) adhere to the surface. Therefore, a heating device for removing the reaction by-products is connected to the treatment system in addition to the natural oxide film removal device. In this respect, it is not preferable from the viewpoint of effective use of space.
Also, as another processing system, an apparatus that preheats the wafer in the cassette housing chambers 12A and 12B is known, but in this case, the degas process cannot be sufficiently performed. It was.
The present invention has been devised to pay attention to the above problems and to effectively solve them. An object of the present invention is to provide a treatment system capable of removing not only moisture and gas components but also reaction by-products and organic components without increasing the occupied space.
[0008]
[Means for Solving the Problems]
  The invention defined in claim 1 includes a plurality of processing apparatuses that perform predetermined processing on an object to be processed, a common transfer chamber that is commonly connected to the plurality of processing apparatuses and that can be evacuated, and the common A transfer means provided in a transfer chamber for transferring the object to be processed with the processing apparatus;A heating lamp as a heating means provided on the upper partition wall side of the common transfer chamber is provided, and surrounds the periphery of the object to be processed held by the transfer means in order to preheat the object to be processed. A preheating area section partitioned by a shutter member that can be moved up and down, and an ultraviolet lamp as an ultraviolet means provided on the upper partition wall side of the common transfer chamber, An ultraviolet irradiation area section that is partitioned by a shutter member that can be moved up and down so as to surround the object to be processed in a state of being held by the conveying means for irradiation;A processing system characterized by comprising:
[0009]
According to this, by heating the object to be processed in the preheating area as necessary in the common transfer chamber, the moisture or gas components adhering to the object to be processed can be removed, or the natural oxide film can be removed. The generated reaction by-product can be removed, or the attached organic matter can be decomposed and removed by irradiating ultraviolet rays in the ultraviolet irradiation area.
Moreover, since the preheating area part and the ultraviolet irradiation area part are provided together with the common transfer chamber, the occupied space can be saved without increasing the space.
In addition, if the object to be treated is preheated in the preheating area immediately before the object is heat-treated at a high temperature, it is not necessary to rapidly raise the temperature during the process. It is possible to prevent the occurrence of warpage by reducing the temperature difference at.
[0010]
  Also,Since the preheating area is partitioned by the shutter member, moisture, gas components, and decomposition gas components of reaction by-products scattered by preheating are suppressed as much as possible from entering other areas in the common transfer chamber. It becomes possible.
  In this case, for example, as defined in claim 2, the upper partition wall corresponding to the preheating area portion is provided with an opening, and the opening is provided with a transmission window in an airtight manner. The heating means is provided outside.
For example, as defined in claim 3, an opening is provided in the upper partition wall corresponding to the ultraviolet irradiation area part, and a transmission window is provided in the opening in an airtight manner, and an outer side of the transmission window. Is provided with the ultraviolet irradiation means.
  For example, claims4As described above, a vacuum exhaust port is provided so as to face at least the preheating area.
  According to this, it becomes possible to discharge the gas component and the like generated in the preheating area part out of the system without flowing out to other areas in the common transfer chamber.
[0012]
  For example, claims5As described above, at least the preheating area is provided with an inert gas supply means for supplying an inert gas thereto.
  According to this, the gas component etc. which generate | occur | produced in this preheating area part can be efficiently discharged | emitted out of a system.
  For example, claims6The preheating area part and the ultraviolet irradiation area part are the same field.PlaceIs installed.
  According to this, it becomes possible to perform preheating with respect to a to-be-processed object and ultraviolet irradiation simultaneously.
[0013]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, an embodiment of a processing system according to the present invention will be described in detail with reference to the accompanying drawings.
1 is a schematic plan view showing an example of a processing system according to the present invention, FIG. 2 is a cross-sectional view showing a preheating heating area, FIG. 3 is a perspective view showing a shutter member used in the preheating area, and FIG. 4 is a shutter. Sectional drawing which shows the preheating area part when a member is raising, FIG. 5 is sectional drawing which shows an ultraviolet irradiation area part.
As shown in the figure, this processing system 30 mainly has a plurality of, for example, four processing apparatuses 32A, 32B, 32C, and 32D, and a common transfer chamber 34 having a slightly elongated polygonal shape, for example, a hexagonal shape.
[0014]
Specifically, the common transfer chamber 34 has a polygonal shape, here a flat hexagonal shape, in which only one pair of opposing sides is slightly longer than the other sides. Then, the processing devices 32A to 32D are joined to the four sides of the flat hexagonal common transfer chamber 34, and the first and second cassette chambers are respectively connected to the other two sides. 36A and 36B are joined together.
The space between the common transfer chamber 34 and the four processing devices 32A to 32D and the space between the common transfer chamber 34 and the first and second cassette chambers 36A and 36B can be opened and closed in an airtight manner. The gate valves G1 to G4 and G5 and G6 are joined to form a cluster tool, which can communicate with the common transfer chamber 34 as necessary. In addition, gate doors G7 and G8 that are openable and closable with respect to the cleaning room on the atmosphere side are interposed on the opposite sides of the first and second cassette chambers 36A and 36B. In each of the cassettes C1 and C2 accommodated in the cassette chambers 36A and 36B, for example, a maximum of 25 semiconductor wafers W as processing objects can be placed and accommodated in multiple stages at equal pitches. The inside of each cassette chamber 36A, 36B is, for example, N2 Filled with gas atmosphere.
[0015]
In the four processing apparatuses 32A to 32D, the same type or different types of processing are performed on the semiconductor wafer W which is an object to be processed. And in this common conveyance chamber 34, the conveyance means 40 which consists of the articulated arm made to be able to bend, stretch, move up and down, and to turn is provided, and this is two picks 40A which can bend and stretch independently in the mutually opposite direction. , 40B, so that two wafers can be handled at a time. In addition, what has only one pick as the said conveyance means 40 can also be used.
In the common transfer chamber 34, an alignment mechanism 44 that detects an orientation flat, a notch, or the like of the semiconductor wafer W and aligns the same is installed. This alignment mechanism 44 is mainly composed of a turntable 46 that rotates the wafer W while it is held and the optical sensor 48 that optically detects the orientation flat, notch, etc. of the wafer W as described above. .
[0016]
The common transfer chamber 34 is provided with a preheating area 50 and an ultraviolet irradiation area 52, which are the features of the present invention. In FIG. 1, the two area portions 50 and 52 are installed on opposite sides of the internal transport means 40 as a center.
The preheating area unit 50 is attached to the wafer surface by degassing, natural oxide film removal processing, or the like that irradiates the semiconductor wafer W with heat rays, raises the temperature to a predetermined temperature, and removes adhering moisture or gas. The reaction by-product is removed, the wafer is prevented from warping by preheating, and the ultraviolet irradiation area 52 irradiates the semiconductor wafer W with the ultraviolet rays and adheres to the wafer surface. The degas process etc. which decompose | disassemble and fly are performed.
[0017]
Specifically, as shown in FIG. 2, first, in the preheating area portion 50, an opening 56 is formed in the upper partition wall 54 of the common transfer chamber 34 corresponding to the area portion 50. A transmission window 60 made of quartz or the like is hermetically joined to 56 via a sealing member 58 such as an O-ring. A casing 62 that also serves as a reflector is provided above the transmission window 60, and a plurality of heating lamps 64 are provided in the casing 62 so as to correspond to the wafer surface in a plane. ing. As the heating lamp 64, for example, a halogen lamp having a large calorific value is used.
The upper partition wall 54 around the transmission window 60 is provided with a plurality of inert gas nozzles 66 that constitute an inert gas supply means so as to penetrate through the upper partition wall 54, and the inside of the preheating area 50. For example, N as an inert gas2 The gas can be supplied when necessary while controlling the flow rate.
[0018]
In addition, a vacuum exhaust port 72 is formed in the lower partition wall 70 of the common transfer chamber 34 so as to face the center position of the preheating area 50, and a vacuum (not shown) is formed in the vacuum exhaust port 72. An evacuation system 74 having a pump or the like interposed therebetween is connected, so that the atmosphere in the common transfer chamber 34 can be evacuated.
Further, a cylindrical shutter member 76 as shown in FIG. 3 is provided in the common transfer chamber 34 so as to be able to move up and down so as to surround or partition the periphery of the preheating area 50. Specifically, the shutter member 76 is formed, for example, by alumina or the like into a cylindrical body that is large enough to accommodate the semiconductor wafer W therein, and the transfer means 40 (see FIG. 1) bends and stretches. An opening recess 78 for avoiding interference with the arm portion of the transport means 40 is formed on the side wall in the transport direction. The shutter member 76 is supported by a plurality of, for example, three elevating rods 80 (only two are shown in FIG. 2), and each supporting rod 80 extends through a through hole 82 formed in the lower partition wall 70. It is inserted in the direction. The insertion portion of each support rod 80 is provided with a bellows 84 that can be expanded and contracted, and the lifting rods 74 are integrally moved up and down while maintaining the airtightness in the common transfer chamber 34. It can be done. FIG. 4 shows a state where the lifting rod 74 is raised and the shutter member 76 is moved upward.
[0019]
On the other hand, the configuration of the ultraviolet irradiation area portion 52 shown in FIG. 5 is different from that of the preheating area portion 50 shown in FIG. 2 except that the ultraviolet lamp 86 is used as the ultraviolet irradiation means in place of the heating lamp 64. The configuration is the same as the configuration of the preheating area unit 50.
Specifically, as shown in FIG. 5, first, in the ultraviolet irradiation area portion 52, an opening 90 is formed in the upper partition wall 54 of the common transfer chamber 34 corresponding to the area portion 52. A transmission window 94 made of quartz or the like is airtightly joined to 90 via a seal member 92 such as an O-ring. A casing 96 also serving as a reflector is provided above the transmission window 94, and a plurality of the ultraviolet lamps 86 are arranged in a plane in the casing 96 as ultraviolet irradiation means corresponding to the wafer surface. Provided.
The upper partition wall 54 around the transmission window 94 is provided with a plurality of gas nozzles 98 that constitute gas supply means so as to penetrate the upper partition wall 54, and an inert gas is provided in the ultraviolet irradiation area 52. For example, N2 Depending on the gas or degree of vacuum, a small amount of O2 The gas can be supplied when necessary while controlling the flow rate.
[0020]
In addition, a vacuum exhaust port 100 is formed in the lower partition wall 70 of the common transfer chamber 34 so as to face the center position of the ultraviolet irradiation area 52, and a vacuum (not shown) is formed in the vacuum exhaust port 100. A vacuum exhaust system 102 having a pump or the like interposed therebetween is connected, so that the atmosphere in the common transfer chamber 34 can be evacuated. The evacuation system 102 is connected to the evacuation system 74 (see FIG. 2) of the preheating area 50.
Further, a cylindrical shutter member 104 having a structure similar to that shown in FIG. 3 can be moved up and down in the common transfer chamber 34 so as to surround or partition the ultraviolet irradiation area 52. Provided. Specifically, the shutter member 104 is formed of, for example, alumina, transparent quartz or the like into a cylindrical body having a size that can accommodate the semiconductor wafer W therein, and the transfer unit 40 (see FIG. 1). An opening recess 106 for avoiding interference with the arm portion of the transport means 40 is formed on the side wall in the transport direction in which the bending and stretching of the arm is performed. The shutter member 104 is supported by a plurality of, for example, three elevating rods 108, and each support rod 108 is inserted downward through a through hole 110 formed in the lower partition wall 70. A bellows 112 that can be extended and contracted is interposed in the insertion portion of each support rod 108, and the support rods 108 are integrally moved up and down while maintaining airtightness in the common transfer chamber 34. It can be done.
[0021]
Next, operations performed using the processing system 30 as described above will be described.
First, the important point about the present invention is that when performing a degassing process for removing organic components adhering to the surface of the semiconductor wafer W, this process is performed in the ultraviolet irradiation area 52, and the semiconductor wafer W Pre-heat treatment for degas treatment to remove moisture and gas components adhering to the surface, pre-heat treatment for removal of reaction by-products adhering to the wafer surface when removing the natural oxide film, and prevention of wafer warpage The pre-heat treatment is performed in the pre-heating area unit 50.
First, an example of the flow of the semiconductor wafer W will be briefly described here.
For example, one of the two cassette chambers 36A and 36B, for example, an unprocessed semiconductor wafer W from the cassette C1 in the cassette chamber 36A is driven by one of the picks by driving the transfer means 40, for example, The wafer W is picked up and held by the pick 40 </ b> A, and the wafer W is transferred to the alignment mechanism 44 in the common transfer chamber 34 which is previously evacuated.
[0022]
Next, the unprocessed wafer held on the pick 40 </ b> A of the transfer means 40 is placed on the turntable 46 that is in an empty state. Then, by rotating the wafer W, the amount of positional deviation is obtained and alignment is performed.
The wafer W thus aligned is subjected to pre-heat treatment for necessary degas processing or pre-heat treatment for warpage prevention, and then necessary processing is sequentially performed in each processing apparatus 32A to 32D. Done continuously. Further, when a natural oxide film removal process is performed during the continuous process, reaction by-products are attached to the wafer surface immediately after that, so that the wafer W is used in the next processing apparatus. Prior to the treatment, the removal process of the reaction by-product is performed in the preheating area unit 50.
Here, the flow of the wafer W will be described by taking a specific processing method as an example.
First, the processing apparatus 32A performs a natural oxide film removing process for removing the natural oxide film adhering to the wafer surface by plasma (without heating), and the processing apparatus 32B has an oxide film (SiO2 In the processing apparatus 32C, a ZrSiO film is formed on the wafer surface at about 450 ° C., and the wafer is annealed at about 750 ° C. in the processing apparatus 32D. A case will be described as an example.
[0023]
First, the wafer W aligned by the alignment mechanism 44 in the common transfer chamber 34 is driven to turn the transfer means 40 so that the wafer W is positioned in the ultraviolet irradiation area 52.
Here, while holding the wafer W with the pick 40A, for example, the shutter member 104 (see FIG. 5) is raised to surround the periphery of the wafer W with the shutter member 104. The position of the shutter member 104 at this time is the same as the position of the shutter section 76 in the preheating area section 50 shown in FIG. Next, the ultraviolet lamp 86 is turned on, and the ultraviolet ray UV generated thereby is irradiated onto the surface of the wafer W through the transmission window 94 to decompose and vaporize organic components adhering to the surface by the ultraviolet ray UV. To remove.
At this time, N as an inert gas from the gas nozzle 98.2 Depending on the gas or degree of vacuum, a small amount of O2 The gas generated by decomposing the organic component by flowing the gas while controlling the flow rate and evacuating the atmospheric gas from the vacuum exhaust port 100 directly below is supplied N2 It is efficiently removed by the gas flow, and is smoothly removed from the peripheral portion of the wafer W toward the vacuum exhaust port 100 in the downward direction. Further, since the area 52 is surrounded and partitioned by the shutter member 104, it is possible to suppress the generated gas component from leaking from the area 52 to other areas in the common transfer chamber 34 as much as possible. Is possible. In this organic component processing apparatus, the temperature of the wafer W hardly rises due to the ultraviolet rays UV.
[0024]
As described above, when the organic component removal process is completed, the transfer means 40 is driven to load the wafer W into the processing apparatus 32A. In the processing apparatus 32A, for example, NHThree Gas, N2 Gas, H2 Plasma is generated in the presence of gas to remove the natural oxide film adhering to the surface of the wafer W. At this time, the wafer W is slightly heated by the plasma heat, but is not actively heated.
In this natural oxide film removal process, for example, SiF is applied to the wafer surface.Four And NHThree It is inevitable that reaction by-products consisting of such compounds adhere.
[0025]
Next, the wafer W that has been subjected to the removal process of the natural oxide film is driven out of the processing apparatus 32 </ b> A by driving the transfer means 40, and the wafer W is positioned in the preheating area 50.
Here, while the wafer W is held by, for example, the pick 40A, the shutter member 76 (see FIG. 2) is raised to surround the periphery of the wafer W with the shutter member 76. The position of the shutter member 76 at this time is shown in FIG. Next, the heating lamp 64 made of a halogen lamp is turned on, and the heat ray IR generated thereby is irradiated onto the surface of the wafer W through the transmission window 60 to raise the temperature of the wafer W to a range of about 100 to 450 ° C. Then, the reaction by-product adhering to the surface is decomposed and vaporized to be removed.
At this time, N as an inert gas from the inert gas nozzle 66.2 The gas generated by decomposing the reaction by-product by flowing the gas while controlling the flow rate and evacuating the atmospheric gas from the vacuum exhaust port 72 directly below is supplied to the supplied N2 The gas is efficiently removed by the gas flow, and is smoothly removed from the peripheral portion of the wafer W toward the vacuum exhaust port 72 in the downward direction. Furthermore, since the area 50 is surrounded by a shutter member 76, the generated gas component is prevented from leaking from the area 50 to other areas in the common transfer chamber 34 as much as possible. Is possible. In particular, since a larger amount of impurity gas is generated in the removal process of the reaction by-product than the degas process, the action of the shutter member 76 is important.
Further, as described above, since the wafer W is preheated to a predetermined temperature, for example, about 100 to 450 ° C., the wafer W is warped when the temperature of the wafer W is further increased in the next film forming process. It can also be prevented.
[0026]
In this way, when the degas processing for decomposing and removing the reaction by-products is completed, the transfer means 40 is driven to carry the wafer W into the processing apparatus 32B, where the oxide film on the wafer surface is SiO.2 A film forming process is performed. This SiO2 In the film formation process, the temperature of the wafer W is raised, for example, the process temperature is set to about 650 ° C., and O2 SiO on the wafer surface in the presence of gas and ultraviolet light2 A film is formed.
As described above, since the temperature of the wafer W has already been raised to about 100 to 450 ° C. in the removal process of the reaction by-product that is the pretreatment, the temperature from this temperature to about 650 ° C., which is the process temperature, It is possible to increase the temperature without causing a large temperature difference between the upper and lower surfaces of the wafer W, and thus it is possible to reliably prevent the wafer W from warping.
[0027]
Thus, the oxide film (SiO2 When the film formation is completed, the transfer means 40 is driven to transfer the wafer W to the next processing apparatus 32C, where a ZrSiO film, for example, is deposited at a process temperature of about 450 ° C. by ALD (Atomic Layer Deposition). The film is formed by a method or the like.
When the formation of the ZrSiO film is completed, the transfer means 40 is driven to transfer the wafer W to the next processing apparatus 32D, where the wafer W is annealed at a process temperature of about 750 ° C. Apply.
In this way, when the final annealing process is completed, the transfer means 40 is driven to transfer the processed wafer W to, for example, the original cassette C1.
[0028]
In the present embodiment, after the removal of the organic component on the wafer surface in the ultraviolet irradiation area 52, the natural oxide film is removed immediately in the processing apparatus 32A. Before the component removing process, the wafer W may be preheated as described above in the preheating area unit 50 to remove moisture and gas components adhering to the wafer surface.
Further, in this embodiment, the flow has been described focusing on one wafer W, but in actual processing, the processing proceeds while replacing the preceding wafer and the succeeding wafer using two picks 40A and 40B. Go on. In addition, since each process time in each of the processing apparatuses 32A to 32D is longer than that of the degas process or the reaction byproduct removal process, the degas process or reaction is performed during the waiting time of the wafer W with respect to the process apparatuses 32A and 32B. By-product removal processing is performed.
Further, the installation positions of the area portions 50 and 52 are not limited to the positions described above, and may be provided anywhere as long as they are vacant spaces in the common transfer chamber 34.
[0029]
Furthermore, in this embodiment, the case where the two area portions 50 and 52 are provided at different positions has been described as an example. However, the present invention is not limited to this, and both area portions 50 and 52 are installed at the same place in the common transfer chamber 34. May be. FIG. 6 shows such a modification of the present invention. Here, in FIG. 1 and FIG. 2, the function of the preheating area unit 50 is provided at the position where the ultraviolet irradiation area unit 52 is installed. In this case, the heating lamp 54 and the like shown in FIG. 2 are provided on the lower partition wall 70 side. That is, an opening 56 is formed in the lower partition wall 70, a transmission window 60 is provided in the opening 56 via a seal member 58, and a heating made of a halogen lamp is provided in a casing 62 provided below the transmission window 60. A lamp 64 is provided, and both the lamps 64 and 86 of the lamp 64 and the upper ultraviolet lamp 86 can be turned on selectively or simultaneously. According to this configuration, by simultaneously lighting both lamps 64 and 86, it is possible to simultaneously remove moisture, gas, organic components, etc. adhering to the wafer surface, and the equipment cost can be reduced.
In addition, in FIG. 6, the vacuum exhaust port is not described, but this is provided separately. Further, both the heating lamp 64 and the ultraviolet lamp 86 may be provided by being assembled on either one of the upper and lower partition walls.
Although the case where the heating lamp 64 is used as the heating unit has been described as an example here, the present invention is not limited to this, and a resistance heater may be used.
Further, in this embodiment, each process is merely an example, and it is needless to say that the present invention can be applied to any processing performed by the processing apparatus.
In the above embodiment, the semiconductor wafer W is described as an example of the object to be processed. However, the present invention is not limited to this, and the present invention can be applied to a glass substrate, an LCD substrate, and the like.
[0030]
【The invention's effect】
  As described above, according to the processing system of the present invention, the following excellent operational effects can be exhibited.
  Main departureAccording to Ming, by heating the object to be processed in the pre-heating area as necessary in the common transfer chamber, it is possible to remove adhering moisture and adhering gas components from the object to be processed, or by natural oxide film removal processing The generated reaction by-products can be removed, or the attached organic substances can be decomposed and removed by irradiating with ultraviolet rays in the ultraviolet irradiation area.
  In addition, since the preheating area part and the ultraviolet irradiation area part are provided together with the common transfer chamber, the occupied space is not increased and the space can be saved.
  In addition, if the object to be treated is preheated in the preheating area immediately before the object is heat-treated at a high temperature, it is not necessary to rapidly raise the temperature during the process. It is possible to prevent the occurrence of warpage by reducing the temperature difference at.
  Also predictSince the heating area area is partitioned by the shutter member, moisture, gas components, and decomposition gas components of reaction by-products scattered by preheating are suppressed as much as possible from entering other areas in the common transfer chamber. be able to.
  Claim4According to the invention defined in (1), the gas component generated in the preheating area can be discharged out of the system without flowing out to other areas in the common transfer chamber.
  Claim5According to the invention defined in (2), the gas component generated in the preheating area can be efficiently discharged out of the system.
  Claim6According to the invention defined in the above, preheating and ultraviolet irradiation of the object to be processed can be performed simultaneously.
[Brief description of the drawings]
FIG. 1 is a schematic plan view showing an example of a processing system according to the present invention.
FIG. 2 is a cross-sectional view showing a preheating heating area.
FIG. 3 is a perspective view showing a shutter member used in a preheating area.
FIG. 4 is a cross-sectional view showing a preheating area when the shutter member is raised.
FIG. 5 is a cross-sectional view showing an ultraviolet irradiation area.
FIG. 6 is a cross-sectional view showing a modification of the present invention.
FIG. 7 is a schematic configuration diagram showing an example of a conventional processing system.
[Explanation of symbols]
30 treatment system
32A to 32D processing equipment
34 Common transfer room
40 Conveying means
50 Preheating area
52 UV irradiation area
64 Heating lamp (heating means)
66,98 Inert gas nozzle (inert gas supply means)
72,100 Vacuum exhaust port
76, 104 Shutter member
86 UV lamp (UV irradiation means)
W Semiconductor wafer (object to be processed)

Claims (6)

被処理体に対して所定の処理を行う複数の処理装置と、
前記複数の処理装置に共通に接続されて真空引き可能になされた共通搬送室と、
前記共通搬送室内に設けられて前記処理装置との間で前記被処理体を搬送するための搬送手段と、
前記共通搬送室の上側区画壁側に設けた加熱手段としての加熱ランプを有し、前記被処理体を予備加熱するために前記搬送手段により保持された状態の前記被処理体の周囲を囲むことができるように昇降可能になされたシャッタ部材により区画される予備加熱エリア部と、
前記共通搬送室の上側区画壁側に設けた紫外線手段としての紫外線ランプを有し、前記被処理体に紫外線を照射するために前記搬送手段により保持された状態の前記被処理体の周囲を囲むことができるように昇降可能になされたシャッタ部材により区画される紫外線照射エリア部と、
を備えたことを特徴とする処理システム。
A plurality of processing devices for performing predetermined processing on the object to be processed;
A common transfer chamber commonly connected to the plurality of processing apparatuses and capable of being evacuated;
A transfer means provided in the common transfer chamber for transferring the object to be processed with the processing apparatus;
A heating lamp as a heating means provided on the upper partition wall side of the common transfer chamber is provided, and surrounds the periphery of the object to be processed held by the transfer means in order to preheat the object to be processed. A preheating area section defined by a shutter member that can be moved up and down so that
It has an ultraviolet lamp as an ultraviolet means provided on the upper partition wall side of the common transfer chamber, and surrounds the object to be processed which is held by the transfer means to irradiate the object to be processed with ultraviolet rays. An ultraviolet irradiation area section defined by a shutter member that can be moved up and down so that it can be moved;
A processing system comprising:
前記予備加熱エリア部に対応する前記上側区画壁には、開口が設けられると共に前記開口には、透過窓が気密に設けられ、前記透過窓の外側に前記加熱手段が設けられることを特徴とする請求項1記載の処理システム。The upper partition wall corresponding to the preliminary heating area is provided with an opening, and the opening is provided with a transmission window in an airtight manner, and the heating means is provided outside the transmission window. The processing system according to claim 1. 前記紫外線照射エリア部に対応する前記上側区画壁には、開口が設けられると共に前記開口には、透過窓が気密に設けられ、前記透過窓の外側に前記紫外線照射手段が設けられることを特徴とする請求項1又は2記載の処理システム。The upper partition wall corresponding to the ultraviolet irradiation area is provided with an opening, and the opening is provided with a transmission window in an airtight manner, and the ultraviolet irradiation means is provided outside the transmission window. The processing system according to claim 1 or 2. 少なくとも前記予備加熱エリア部に臨ませて真空排気口が設けられることを特徴とする請求項1乃至3のいずれか一項に記載の処理システム。The processing system according to any one of claims 1 to 3, wherein a vacuum exhaust port is provided so as to face at least the preheating area. 少なくとも前記予備加熱エリア部には、これに不活性ガスを供給する不活性ガス供給手段が設けられることを特徴とする請求項1乃至4のいずれか一項に記載の処理システム。  5. The processing system according to claim 1, wherein at least the preheating area portion is provided with an inert gas supply means for supplying an inert gas thereto. 前記予備加熱エリア部と前記紫外線照射エリア部とは同一場に設置されることを特徴とする請求項1乃至のいずれか一項に記載の処理システム。Processing system according to any one of claims 1 to 5, wherein the the preheating area portion and the ultraviolet irradiation area unit is installed in the same Venue.
JP2001177736A 2001-06-12 2001-06-12 Processing system Expired - Fee Related JP4876337B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001177736A JP4876337B2 (en) 2001-06-12 2001-06-12 Processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001177736A JP4876337B2 (en) 2001-06-12 2001-06-12 Processing system

Publications (2)

Publication Number Publication Date
JP2002367976A JP2002367976A (en) 2002-12-20
JP4876337B2 true JP4876337B2 (en) 2012-02-15

Family

ID=19018535

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001177736A Expired - Fee Related JP4876337B2 (en) 2001-06-12 2001-06-12 Processing system

Country Status (1)

Country Link
JP (1) JP4876337B2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009302397A (en) * 2008-06-16 2009-12-24 Nuflare Technology Inc Vapor growth method, and vapor growth device
US8328494B2 (en) 2009-12-15 2012-12-11 Varian Semiconductor Equipment Associates, Inc. In vacuum optical wafer heater for cryogenic processing
JP5485958B2 (en) * 2011-09-16 2014-05-07 東京エレクトロン株式会社 Joining method, program, computer storage medium, joining apparatus and joining system
KR102306567B1 (en) * 2017-05-18 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 Thermal chamber with improved thermal uniformity
KR102583558B1 (en) * 2020-12-10 2023-09-27 에이피시스템 주식회사 Substrate Impurity Removal Method and Substrate Processing Apparatus
KR102541982B1 (en) * 2022-04-14 2023-06-13 주성엔지니어링(주) System for Processing Substrate and Method for Processing Substrate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000299367A (en) * 1999-04-15 2000-10-24 Tokyo Electron Ltd Processing apparatus and transfer method of article to be processed
JP2001104776A (en) * 1999-10-06 2001-04-17 Tokyo Electron Ltd Treatment apparatus and method
JP4319287B2 (en) * 1999-05-14 2009-08-26 東京エレクトロン株式会社 Processing equipment
JP2001053066A (en) * 1999-05-28 2001-02-23 Tokyo Electron Ltd Ozone processor and ozone processing method

Also Published As

Publication number Publication date
JP2002367976A (en) 2002-12-20

Similar Documents

Publication Publication Date Title
US11629407B2 (en) Substrate processing apparatus and method for processing substrates
US6467491B1 (en) Processing apparatus and processing method
KR0155158B1 (en) Vertical wafer treatment apparatus and the method
US5769952A (en) Reduced pressure and normal pressure treatment apparatus
JP4821074B2 (en) Processing system
JP4131239B2 (en) Rapid atmosphere switching system and method for rapid heat treatment
JP2881371B2 (en) Vacuum processing apparatus and method of cleaning vacuum processing apparatus assembly
JP3966594B2 (en) Preliminary vacuum chamber and vacuum processing apparatus using the same
TWI558839B (en) A substrate processing apparatus, a manufacturing method and a program for a semiconductor device
JPH01319944A (en) Method and apparatus for forming thin film on surface of semiconductor substrate
JP2002324829A (en) Treating system
JP2002261148A (en) Treating system and preheating method of object to be treated
JP2000208589A (en) Apparatus for processing
JP3172331B2 (en) Vacuum processing equipment
JP4876337B2 (en) Processing system
US20030102084A1 (en) Cluster tool for wafer processing having an electron beam exposure module
CN109314071B (en) Dodecagon transfer chamber and processing system with same
JP2759368B2 (en) Vertical heat treatment equipment
JPH0794487A (en) Treating apparatus and cleaning method thereof
KR100719330B1 (en) Plasma enhanced chemical vapor deposition equipment for the fabrication of organic light emission diode and liquid crystal display panel
JP3066691B2 (en) Multi-chamber processing apparatus and cleaning method thereof
JP2000323554A (en) Processing apparatus
JP2001250780A (en) Application method of dummy substrate in semiconductor manufacturing device
JPH0917705A (en) Continuous heat treatment method
JP4319287B2 (en) Processing equipment

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111101

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111114

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees