JP4799465B2 - Semiconductor wafer, semiconductor device manufacturing apparatus, semiconductor device manufacturing method, and semiconductor wafer manufacturing method - Google Patents

Semiconductor wafer, semiconductor device manufacturing apparatus, semiconductor device manufacturing method, and semiconductor wafer manufacturing method Download PDF

Info

Publication number
JP4799465B2
JP4799465B2 JP2007096900A JP2007096900A JP4799465B2 JP 4799465 B2 JP4799465 B2 JP 4799465B2 JP 2007096900 A JP2007096900 A JP 2007096900A JP 2007096900 A JP2007096900 A JP 2007096900A JP 4799465 B2 JP4799465 B2 JP 4799465B2
Authority
JP
Japan
Prior art keywords
wafer
mark
main surface
light
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007096900A
Other languages
Japanese (ja)
Other versions
JP2007227953A (en
Inventor
政雄 岩瀬
伸一 新田
守也 宮下
淳二 菅元
浩玲 山田
元 永野
勝二郎 丹沢
祐宗 有働
壮一 灘原
憲彦 土屋
宏 松下
幸広 牛久
経敏 有門
勝弥 奥村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2007096900A priority Critical patent/JP4799465B2/en
Publication of JP2007227953A publication Critical patent/JP2007227953A/en
Application granted granted Critical
Publication of JP4799465B2 publication Critical patent/JP4799465B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

本発明は、個々のウェーハを識別するためのIDマークをウェーハ外周部に付した半導体ウェーハ、及びその半導体ウェハの製造方法に関する。更に、この半導体ウェーハを用いた半導体装置の製造方法及び半導体装置の製造装置に関する。   The present invention relates to a semiconductor wafer having an ID mark for identifying an individual wafer attached to the outer periphery of the wafer, and a method for manufacturing the semiconductor wafer. Furthermore, the present invention relates to a semiconductor device manufacturing method and a semiconductor device manufacturing apparatus using the semiconductor wafer.

通常、半導体装置の一連の製造工程の中で、製造条件などを管理する必要がある工程は数百工程にも及ぶ。かかる製造工程においては、各工程毎に多様で且つ厳密な製造条件を設定する必要がある。これらの製造工程の管理は、半導体ウェハの表面の一部分に付された、数字、文字、或いはバーコードなどからなるマーキングにより行われる。
マーキングは、ウェーハを識別するために、通常、製造履歴を示す番号または記号から構成されている。マーキングとして、表面に刻印するソフトマークと裏面に刻印するハードマークとが一般的に知られている。いずれもレーザービームを照射してシリコンを局所的にアブレーションさせて形成された複数の凹形状のドットマークからなる。即ち、マーキングは、連続パルスレーザビームを光学系を介して半導体ウェーハ上に照射することによって形成される。
マーキングは、素子形成領域を出来る限り阻害しないように極めて狭い領域に限定して形成される。しかし、作業者の視認性を確保するため、現状では数mm×数cmサイズのマーキングが刻印されており、基板表面におけるロスは大きい。
また一般的に、ドットマークは、大エネルギーのレーザービームを照射して、半導体ウェーハ表面の一部をスポット状に溶融除去して形成される。この場合に、溶融除去されたシリコン(パーティクル)がドットマーク周辺に飛散してウェーハ表面に再堆積する。このパーティクルは、素子形成を阻害し、製品の品質に大きな影響を与える。
さらに、ウェーハ表面に形成されるソフトマークは、繰り返し行われる堆積工程や化学的機械的研磨(CMP)工程により平坦化され、認識率が悪くなってしまう。ウェーハ裏面に形成されるハードマークは、ウェーハ裏面に僅かな凹凸を形成してリソグラフィにおける焦点ぼけを誘発し、また、ハードマークを検出するためのウェーハを裏返す作業を増やす。
上記技術に代わる新しいマーキング方法として、特許文献1〜5等において、ウェーハ外周部に形成されるベベル部に極微小なマークを刻印する方法が提案されている。例えば、液晶を用いて形成した極微細なマークパターンにレーザを照射し、光学系を通してベベル部にマークを結像させる等の技術が開示されている。レーザはアブレーションが起きる寸前のエネルギーを有するため、パーティクルの発生がない。
特開平08−276284号公報 特開平08−243765号公報 特開平07−164170号公報 特開平05−309482号公報 特開平05−42379号公報
Usually, in a series of manufacturing processes of a semiconductor device, several hundred processes are required to manage manufacturing conditions. In such a manufacturing process, it is necessary to set various and strict manufacturing conditions for each process. Management of these manufacturing processes is performed by marking made of numerals, letters, bar codes, or the like, which is attached to a part of the surface of the semiconductor wafer.
The marking usually consists of a number or symbol indicating the manufacturing history in order to identify the wafer. As marking, a soft mark stamped on the front surface and a hard mark stamped on the back surface are generally known. Each of them consists of a plurality of concave dot marks formed by irradiating a laser beam to locally ablate silicon. That is, the marking is formed by irradiating a semiconductor wafer with a continuous pulse laser beam via an optical system.
The marking is limited to an extremely narrow area so as not to obstruct the element forming area as much as possible. However, in order to ensure the visibility of the operator, markings of several mm × several cm size are currently engraved, and the loss on the substrate surface is large.
In general, the dot mark is formed by irradiating a laser beam of high energy to melt and remove a part of the surface of the semiconductor wafer in a spot shape. In this case, the melted and removed silicon (particles) is scattered around the dot mark and redeposited on the wafer surface. This particle hinders element formation and has a great influence on the quality of the product.
Furthermore, soft marks formed on the wafer surface are flattened by repeated deposition processes and chemical mechanical polishing (CMP) processes, resulting in a poor recognition rate. The hard mark formed on the back surface of the wafer forms a slight unevenness on the back surface of the wafer to induce defocusing in lithography, and increases the work of turning the wafer over to detect the hard mark.
As a new marking method that replaces the above technique, Patent Documents 1 to 5 and the like propose a method of imprinting a very small mark on a bevel portion formed on the outer peripheral portion of a wafer. For example, a technique is disclosed in which a very fine mark pattern formed using liquid crystal is irradiated with a laser and a mark is imaged on a bevel portion through an optical system. Since the laser has energy just before ablation occurs, there is no generation of particles.
Japanese Patent Laid-Open No. 08-276284 Japanese Patent Laid-Open No. 08-243765 JP 07-164170 A JP 05-309482 A JP 05-42379 A

上述した従来のマーキング方法は以下に示す問題点を有する。半導体ウェーハは、製造番号を示すマーキングを個々に有するが、半導体製造工程においては、一般的には25枚乃至はそれ以下にグルーピングされたバッチ毎に管理される。半導体ウェーハの処理条件は、バッチ処理であれ、或いは枚葉処理であれ、グルーピングされたバッチ毎に決められている。個々のバッチは半導体製造工程において同様の製造履歴を辿ってきたものとみなして管理されているため、個々の半導体ウェーハのバラツキを包含するようなプロセス条件が組まれている。   The conventional marking method described above has the following problems. Semiconductor wafers have individual markings indicating manufacturing numbers, but in the semiconductor manufacturing process, they are generally managed in batches grouped into 25 or less. The processing conditions of the semiconductor wafer are determined for each grouped batch, whether batch processing or single wafer processing. Since individual batches are managed as if they have followed the same manufacturing history in the semiconductor manufacturing process, process conditions are set to include variations in individual semiconductor wafers.

したがって、プロセス条件はプロセス時間を長く設定する等、冗長な条件となり、また極端な場合には余分なプロセスを付加される場合も有る。よって、製品の性能を落す、製造コストを上げるなどの問題が生じてしまう。   Therefore, the process condition becomes a redundant condition such as setting a long process time. In an extreme case, an extra process may be added. Therefore, problems such as degradation of product performance and increase of manufacturing costs arise.

半導体ウェーハに刻印されたマーキングは、バッチ毎にホストコンピュータにより通常管理されている。製品の種類、製造プロセス、プロセス条件、製造工程内での測定データ等は、ホストコンピュータとやり取りしながら処理されていく。しかしながら、このホストコンピュータとのやり取りは、非常に時間要するため、現状ではバッチ毎のプロセス条件を呼び出すのみである。従って、各製造工程内での個々の半導体ウェーハの膜厚等の測定データを、次の製造工程における個々の半導体ウェーハのプロセス条件へ反映させることは困難となっている。   The marking imprinted on the semiconductor wafer is usually managed by the host computer for each batch. Product types, manufacturing processes, process conditions, measurement data in the manufacturing process, and the like are processed while being exchanged with the host computer. However, since this exchange with the host computer takes a very long time, at present, only the process condition for each batch is called. Therefore, it is difficult to reflect measurement data such as the film thickness of each semiconductor wafer in each manufacturing process in the process conditions of each semiconductor wafer in the next manufacturing process.

本発明は上述の如き従来技術の問題点を解決するためになされたものであり、その目的は、ウェーハ間の性能バラツキが少なく、スループットの高い半導体ウェハ、その製造方法、その半導体ウェーハを用いて製造される半導体装置の製造方法及び半導体装置の製造装置を提供することである。   The present invention has been made to solve the problems of the prior art as described above, and its purpose is to reduce the performance variation between wafers and to provide a high throughput semiconductor wafer, its manufacturing method, and its semiconductor wafer. A manufacturing method of a semiconductor device to be manufactured and an apparatus for manufacturing a semiconductor device are provided.

上記目的を達成するために、本発明の第1の特徴は、半導体素子が形成される第1主面が円形であるウェーハと、ウェーハの外周部に形成されたベベル部と、ベベル部に付されたウェーハの結晶方位を示す基準IDマークとを具備し、ベベル部は、ウェーハの第1主面の側に位置する第1ベベル部と、第1主面に対向する第2主面の側に位置する第2ベベル部とを具備し、基準IDマークは、第1ベベル部及び第2ベベル部にそれぞれ付されている半導体ウェーハであることである。 In order to achieve the above object, the first feature of the present invention is that a first main surface on which a semiconductor element is formed is a circle, a bevel formed on the outer periphery of the wafer, a bevel attached to the bevel. And a reference ID mark indicating the crystal orientation of the wafer, and the bevel portion includes a first bevel portion located on the first main surface side of the wafer and a second main surface side facing the first main surface. The reference ID mark is a semiconductor wafer attached to each of the first bevel part and the second bevel part .

本発明の第の特徴は、半導体素子が形成される第1主面が円形であるウェーハと、ウェーハ上に付された、ウェーハの結晶方位を示す基準IDマークと、ウェーハの外周部の一部分に形成された、第1主面に対して傾斜した底面を有する凹部と、凹部の底面に形成され、第1主面に表出した第1結晶面とは異なる第2結晶面が表出したエッチピットとを具備する半導体ウェーハであることである。なお、エッチピットは、ウェーハの研磨処理後も残留する。 According to a second aspect of the present invention, a wafer having a circular first main surface on which a semiconductor element is formed, a reference ID mark indicating a crystal orientation of the wafer, and a part of the outer peripheral portion of the wafer. A recess having a bottom surface that is inclined with respect to the first main surface and a second crystal plane that is formed on the bottom surface of the recess and that is different from the first crystal surface that is exposed on the first main surface are formed. It is a semiconductor wafer having an etch pit. Etch pits remain even after the wafer is polished.

本発明の第の特徴は、ウェーハの外周部の一部分に、底面が半導体素子が形成されるウェーハの第1主面に対して傾斜した凹部を形成し、結晶方位によりエッチング速度が異なるエッチング処理をウェーハに対して施して凹部の底面にエッチピットを形成し、エッチピットの形状から凹部の結晶方位を求め、ウェーハ上にウェーハの結晶方位を示す基準IDマークを付する半導体装置の製造方法であることである。エッチピットには、第1主面に表出した第1結晶面とは異なる第2結晶面が表出している。 A third feature of the present invention is that an etching process in which an etching rate varies depending on a crystal orientation, wherein a concave portion whose bottom surface is inclined with respect to a first main surface of a wafer on which a semiconductor element is formed is formed in a part of the outer peripheral portion of the wafer Is applied to the wafer to form an etch pit on the bottom surface of the recess, obtain the crystal orientation of the recess from the shape of the etch pit, and attach a reference ID mark indicating the crystal orientation of the wafer on the wafer. That is. In the etch pit, a second crystal plane different from the first crystal plane exposed on the first main surface is exposed.

本発明の第の特徴は、ウェーハステージと、ウェーハステージ上に載置されたウェーハの主面に光を照射する光源と、ウェーハの主面に形成されたエッチピットによって散乱された光の強度を測定する受光素子と、散乱された光の強度の回転角度依存性に関するデータを解析するコンピュータと、ウェーハ上にウェーハの結晶方位を示す基準IDマークを付するマーク刻印器と、少なくともウェーハステージ、ウェーハ、光源、受光素子を覆い隠し、外部から侵入する光を遮断するチャンバーとを有する半導体装置の製造装置であることである。なお、受光素子は、光の出射口の外周を取り囲み、光の照射方向に対して傾斜して配置されたリング状の受光面を有する。 According to a fourth aspect of the present invention, there is provided a wafer stage, a light source for irradiating light on a main surface of the wafer placed on the wafer stage, and an intensity of light scattered by etch pits formed on the main surface of the wafer. A light receiving element that measures the rotational angle dependence of the scattered light intensity, a mark stamper that attaches a reference ID mark indicating the crystal orientation of the wafer on the wafer, at least a wafer stage, The semiconductor device manufacturing apparatus includes a chamber that covers and hides a wafer, a light source, and a light receiving element and blocks light entering from the outside. The light receiving element has a ring-shaped light receiving surface that surrounds the outer periphery of the light emission port and is inclined with respect to the light irradiation direction.

本発明の第の特徴は、単結晶インゴットに対してスライス加工を施してウェーハを形成し、このウェーハの主面に対して、主面の大きなうねりを除去するために、アルカリ溶液を用いた結晶方位によりエッチング速度が異なるエッチング処理を施し、このエッチング処理によりウェーハの主面に形成されたエッチピットを用いてウェーハの結晶方位を測定し、ウェーハ上にウェーハの結晶方位を示す基準IDマークを付し、エッチピットを除去する半導体ウェーハの製造方法であることである。 A fifth feature of the present invention is that a single crystal ingot is sliced to form a wafer, and an alkaline solution is used to remove large waviness of the main surface with respect to the main surface of the wafer. Etching is performed at different etching rates depending on the crystal orientation, and the crystal orientation of the wafer is measured using etch pits formed on the main surface of the wafer by this etching treatment, and a reference ID mark indicating the crystal orientation of the wafer is placed on the wafer. It is a method for manufacturing a semiconductor wafer in which etch pits are removed.

本発明の第の特徴は、外周の形状が円形である基体ウェーハの外周部にベベル部を形成し、ベベル部にSOI層用ウェーハの結晶方位を示す為の基準IDマークを付し、結晶方位を示す基準位置を有するSOI層用ウェーハを形成し、SOI層用ウェーハの第1主面に絶縁層を形成し、基準IDマークと基準位置とを合わせた状態で、基体ウェーハとSOI層用ウェーハの第1主面とを貼り合わせる半導体ウェーハの製造方法であることである。 A sixth feature of the present invention is that a bevel portion is formed on the outer peripheral portion of a base wafer having a circular outer periphery, and a reference ID mark for indicating the crystal orientation of the SOI layer wafer is attached to the bevel portion. An SOI layer wafer having a reference position indicating an orientation is formed, an insulating layer is formed on the first main surface of the SOI layer wafer, and the reference ID mark and the reference position are aligned with each other for the base wafer and the SOI layer. This is a method for manufacturing a semiconductor wafer that is bonded to the first main surface of the wafer.

以上詳細に説明したように本発明によれば、次のような効果を奏する。   As described above in detail, the present invention has the following effects.

(1)ウェーハ外周部のベベル部に、当該ウェーハに形成された製造物に関する情報を示すマーキングを施した半導体ウェーハであって、前記マーキングは、前記各製造物のそれぞれの製造工程時にその都度、必要な回数、施したマーキングとしたので、例えば、個々の製造プロセスにおいて、ホストコンピュータヘのアクセスを必要とせずに高速にそれぞれに最適なプロセス条件を採用することが可能となり、ウェーハ間の製造バラツキを抑制することが可能になる。   (1) A semiconductor wafer that has been marked on the bevel portion of the outer peripheral portion of the wafer to indicate information related to the product formed on the wafer, and the marking is in each manufacturing step of each product, Since the markings are applied as many times as necessary, for example, it is possible to adopt optimum process conditions for each of the manufacturing processes at high speed without requiring access to the host computer. Can be suppressed.

(2)ウェーハ外周部のベベル部に、当該ウェーハを識別するためのIDマークを設けた半導体ウェーハにおいて、前記IDマークは、前記ベベル部表面の平滑化された領域に形成したので、ウェーハ自体に予め基準位置がない半導体ウェーハにおいても、マークの高速読み取りが可能となる。   (2) In a semiconductor wafer in which an ID mark for identifying the wafer is provided on a bevel portion on the outer periphery of the wafer, the ID mark is formed in a smoothed area on the surface of the bevel portion. Even on a semiconductor wafer having no reference position in advance, the mark can be read at high speed.

(3)ウェーハ外周部に、当該ウェーハを識別するためのIDマークを複数個設けた半導体ウェーハにおいて、前記各IDマークは、同一の内容で、当該ウェーハの横方向に位置を変えると共に、ウェーハの厚さ方向にも位置を変えて配置したので、ウェーハベベル形状の精密な計測が不要となり、高度な技術を必要とせずにマーキングのスループットの向上を図ることが可能となる。   (3) In a semiconductor wafer in which a plurality of ID marks for identifying the wafer are provided on the outer periphery of the wafer, each ID mark has the same contents, and the position of the wafer is changed in the lateral direction. Since the position is also changed in the thickness direction, precise measurement of the wafer bevel shape is not required, and it is possible to improve the marking throughput without requiring advanced techniques.

(4)ウェーハ外周部のベベル部に、当該ウェーハを識別するためのIDマークを設けた半導体ウェーハにおいて、前記IDマークは、前記ベベル部の基準位置を境にして左右に形成したので、半導体製造工程中にウェーハIDを読み取る時間を短縮でき、生産性を高めることが可能となる。   (4) In a semiconductor wafer in which an ID mark for identifying the wafer is provided on the bevel portion of the outer peripheral portion of the wafer, the ID mark is formed on the left and right sides of the reference position of the bevel portion. The time for reading the wafer ID during the process can be shortened, and the productivity can be increased.

(5)基体ウェーハ上に酸化膜と単結晶シリコン層を順次配置したSOIウェーハにおいて、前記基体ウェーハの領域に、当該ウェーハを識別するためのIDマークを設けたので、デバイス工程でダストの原因を発生させる等の不具合が生ぜず、通常ウェーハと同様にマーキングが可能である。また、さらに、従来の製造方法に比べ付加工程を付けることなく、コストアップ無しに工業的に安価に提供することができる。   (5) In an SOI wafer in which an oxide film and a single crystal silicon layer are sequentially arranged on a substrate wafer, an ID mark for identifying the wafer is provided in the region of the substrate wafer. It does not cause problems such as generation, and marking is possible in the same way as a normal wafer. Furthermore, compared with the conventional manufacturing method, it can provide industrially cheaply without adding an additional process and without an increase in cost.

(6)ウェーハ外周部のベベル面の一部にレーザ光を照射して表面を平滑化する工程と、該平滑化した領域にドットマークを形成する工程とを実行し、このマーキング方法により、ウェーハ外周部のベベル面の一部に形成されたドットマークを前記ベベル面に照明を当てながら光量をモニターし、その光量が最大となる位置を前記ドットマークの形成領域として検知するようにしたので、ウェーハのベベル部に形成した微小なドットマークの位置検出を高速に行うことができる。さらに、半導体装置製造工程中で凹凸が生じたウェーハ表面に対しても、認識率の高いドットマークの追加書き込みが実現可能になる。   (6) A step of smoothing the surface by irradiating a part of the bevel surface of the outer periphery of the wafer with a laser beam and a step of forming a dot mark in the smoothed region are performed. Since the dot mark formed on a part of the bevel surface of the outer peripheral portion is illuminated while illuminating the bevel surface, the light quantity is monitored, and the position where the light quantity is maximum is detected as the formation area of the dot mark. Position detection of minute dot marks formed on the bevel portion of the wafer can be performed at high speed. Furthermore, additional writing of dot marks with a high recognition rate can be realized even on a wafer surface with irregularities in the semiconductor device manufacturing process.

(7)本発明の半導体装置のマーキング方法を用いて施されたマーキングにより、半導体製造工程を管理するようにしたので、これまで1つの認識番号(マーク)により非常に膨大な工程情報が管理され、製造工程中に必要な情報の読み出しに非常に時間がかかっていた管理方法に対して、個々のウェーハのベベル部に工程情報をマーキングするため、必要な情報を短時間に読み出すことが可能となる。   (7) Since the semiconductor manufacturing process is managed by the marking performed using the marking method of the semiconductor device of the present invention, a very large amount of process information has been managed by one identification number (mark) so far. In contrast to the management method that required a very long time to read out the necessary information during the manufacturing process, the process information is marked on the bevel part of each wafer, so the necessary information can be read out in a short time. Become.

さらに、個々の半導体製造装置でそのマーキングの読み取りを行うことにより、短時間に個々のウェーハの工程情報を次工程にフィードフォワードし、個々の工程の揺らぎに応じたプロセスを組むことができ、半導体装置の性能バラツキを抑えることが可能となる。特に、技術開発段階にある製造プロセスのように未成熟なプロセスであっても個々に条件を設定できるために、いち早く生産ラインでの適用が可能となる。   Furthermore, by reading the marking with each semiconductor manufacturing equipment, it is possible to feed forward the process information of each wafer to the next process in a short time, and to build a process according to the fluctuation of each process. It becomes possible to suppress variations in the performance of the apparatus. In particular, even in an immature process such as a manufacturing process in the technology development stage, conditions can be set individually, so that it can be applied to a production line quickly.

(第1の実施の形態)
図1は、本発明の第1の実施の形態に係る半導体ウェーハの部分外観図である。第1の実施の形態に係る半導体ウェーハは、ウェーハ11と、ウェーハ11の外周部に形成されたベベル部12と、ウェーハ11上に形成された製造物15と、ベベル部12に付されたIDマーク(14a〜d)とを具備する。ここで、IDマーク(14a〜d)は、製造物15、製造物15の製造条件、及び製造物15についての検査結果を少なくとも示す。ここで、製造物15、製造物15の製造条件、及び製造物15についての検査結果を、「製造物に関する情報」と定義する。IDマーク(14a〜d)は、各製造物のそれぞれの製造工程時にその都度、必要な回数、マーキングされる。なお、「製造物に関する情報」には、ウェーハ上に形成された製造物のロット番号もしくは製造順番、製造物の機能、及び製造工程の検査結果が含まれる。
(First embodiment)
FIG. 1 is a partial external view of a semiconductor wafer according to the first embodiment of the present invention. The semiconductor wafer according to the first embodiment includes a wafer 11, a bevel portion 12 formed on the outer peripheral portion of the wafer 11, a product 15 formed on the wafer 11, and an ID attached to the bevel portion 12. And marks (14a-d). Here, the ID mark (14a-d) indicates at least the product 15, the manufacturing conditions of the product 15, and the inspection result of the product 15. Here, the product 15, the manufacturing conditions of the product 15, and the inspection result of the product 15 are defined as “information about the product”. The ID mark (14a-d) is marked as many times as necessary during each manufacturing process of each product. The “information about the product” includes the lot number or manufacturing order of the product formed on the wafer, the function of the product, and the inspection result of the manufacturing process.

ウェーハ11の外周部とは、半導体集積回路が形成されないウェーハ11の表面の外周部分、ウェーハ11の側面、及びウェーハ11の裏面の外周部分を含む意である。また、製造物15とは、ウェハ11の表面に所望の半導体集積回路を形成する為の一連の半導体製造工程において製造される総ての製造物を含む意である。具体的には、製造物15には、ウェーハ11の表面に形成されたn型或いはp型の半導体領域、ウェーハ11上に堆積された絶縁物、半導体、導電体からなる膜、及びこれらの膜を選択的に除去して所望の形状に加工されたパターンが含まれる。   The outer peripheral portion of the wafer 11 is meant to include the outer peripheral portion of the front surface of the wafer 11 where the semiconductor integrated circuit is not formed, the side surface of the wafer 11, and the outer peripheral portion of the back surface of the wafer 11. The product 15 is intended to include all products manufactured in a series of semiconductor manufacturing processes for forming a desired semiconductor integrated circuit on the surface of the wafer 11. Specifically, the product 15 includes an n-type or p-type semiconductor region formed on the surface of the wafer 11, films made of an insulator, a semiconductor, and a conductor deposited on the wafer 11, and these films. Are selectively removed to include a pattern processed into a desired shape.

より具体的には、高温で清浄な雰囲気でウェーハ11を熱酸化して形成された熱酸化膜、ウェーハ11上にCVD法などにより堆積された酸化膜や窒化膜等の絶縁膜、塗布/キュアされたレジスト膜、レジスト膜の露光/現像により形成されたレジストパターン、レジストパターンをマスクとして絶縁膜を選択的にエッチングして形成された絶縁物からなるパターン、不純物元素のイオン注入や熱拡散等により形成される所定の導電型及び導電率を有する半導体領域、半導体膜が、ここで言う製造物15に含まれる。即ち、製造物15には、ウェーハ11上にトランジスタ、キャパシタ、或いはこれらの素子間を接続する金属配線を形成するために繰り替えされる膜の堆積、不純物の添加、パターニング、エッチングにおいて形成される製造物を示す。   More specifically, a thermal oxide film formed by thermally oxidizing the wafer 11 in a clean atmosphere at a high temperature, an insulating film such as an oxide film or a nitride film deposited on the wafer 11 by a CVD method, coating / curing, etc. Resist film formed, resist pattern formed by exposure / development of resist film, pattern made of insulator formed by selectively etching insulating film using resist pattern as mask, ion implantation of impurity element, thermal diffusion, etc. The product 15 referred to here includes a semiconductor region and a semiconductor film having a predetermined conductivity type and conductivity formed by the above. That is, the product 15 is a product formed by depositing a film, adding impurities, patterning, and etching to form transistors, capacitors, or metal wirings connecting these elements on the wafer 11. Showing things.

更に、IDマーク14が示す情報には、ウェーハ11そのものに関する情報が含まれる。具体的には、IDマーク14には、単結晶インゴットの製造からスライス加工及びラッピング加工を経て製造されるウェーハの製造履歴及び製造条件、ウェーハ11自身の属性、特性を示すものが含まれる。また、IDマークは、ウェーハ上の特定の部分に付することにより、ウェーハ主面内の結晶方位を示すための基準となるマーク(以後、「基準IDマーク」という)となる。基準IDマークについては、第6乃至第11の実施の形態において詳細に述べる。   Further, the information indicated by the ID mark 14 includes information regarding the wafer 11 itself. Specifically, the ID mark 14 includes a manufacturing history and manufacturing conditions of a wafer manufactured through a slicing process and a lapping process from the manufacture of a single crystal ingot, and attributes and characteristics of the wafer 11 itself. The ID mark is used as a reference mark (hereinafter referred to as “reference ID mark”) for indicating the crystal orientation in the main surface of the wafer by being attached to a specific portion on the wafer. The reference ID mark will be described in detail in the sixth to eleventh embodiments.

IDマーク14は、英数字、1次元コード、または2次元コードのうちの何れであっても構わない。本発明の実施の形態では、特に指定しない限り、2次元コードである場合について説明する。2次元コードは、複数のドットマークから構成される。ドットマークの形状は、例えば、幅約5um、高さ約0.5umの凸形状を有する。2次元コードは、縦に8個及び横に32個や、縦16個及び横16個等のマトリックス状に配置された複数のドットマークからなり、製造物15に関する情報を有する。2次元コードの大きさは、一般に、横100〜200μm、縦50〜100μm程度と極めて微細である。よって、人間の目で読み取ることは勿論不可能である。したがって、実施の形態においてIDマーク14は専用の読み取り機を用いて読み取られる。   The ID mark 14 may be any one of alphanumeric characters, one-dimensional codes, and two-dimensional codes. In the embodiment of the present invention, a case of a two-dimensional code will be described unless otherwise specified. The two-dimensional code is composed of a plurality of dot marks. The dot mark has, for example, a convex shape having a width of about 5 μm and a height of about 0.5 μm. The two-dimensional code is composed of a plurality of dot marks arranged in a matrix such as 8 vertically and 32 horizontally, 16 vertically and 16 horizontally, and has information on the product 15. The size of the two-dimensional code is generally extremely fine, such as about 100 to 200 μm in width and about 50 to 100 μm in length. Therefore, it cannot be read with human eyes. Therefore, in the embodiment, the ID mark 14 is read using a dedicated reader.

IDマーク14を刻印し、また読み取るためには、ウェーハ11内での基準位置が必要である。基準位置としてノッチ13を用いることができる。ノッチ13はウェーハ11の結晶方位を示すために形成された基準物であり、ウェーハ11の外周部に形成されている。また、IDマーク14はウェーハ11のベベル部12に刻印されているため、複数のウェーハ11のIDマーク14を、ウェーハカセットに入った状態において連続的に読み取ることが可能である。   In order to imprint and read the ID mark 14, a reference position in the wafer 11 is necessary. The notch 13 can be used as a reference position. The notch 13 is a reference object formed to indicate the crystal orientation of the wafer 11, and is formed on the outer periphery of the wafer 11. In addition, since the ID mark 14 is engraved on the bevel portion 12 of the wafer 11, the ID mark 14 of the plurality of wafers 11 can be continuously read in a state of being contained in the wafer cassette.

次に、図1に示す半導体ウェーハを用いて製造される半導体装置の製造方法を説明する。   Next, a method for manufacturing a semiconductor device manufactured using the semiconductor wafer shown in FIG. 1 will be described.

(イ)まず、一連の半導体製造工程の最初に、ウェーハ11自身の認識符号(IDマーク)14aをウェーハ11の外周部に形成されたベベル部12に刻印する。IDマーク14aは、製造物に関する情報の他に、製品の種類、製造場所、製造開始日、使用する製造プロセス、及び製造担当者に関する情報を有し、ウェーハ11のノッチ部分13を基準にして右側に基板端部より例えば100um位の位置に刻印される。IDマーク14aを構成する複数のドットマークは、連続パルスレーザビームを光学系を介してベベル部12に照射して、ベベル部12表面を局所的に溶融することにより形成される。   (A) First, at the beginning of a series of semiconductor manufacturing processes, the identification code (ID mark) 14a of the wafer 11 itself is imprinted on the bevel portion 12 formed on the outer peripheral portion of the wafer 11. The ID mark 14a has information on the product type, the manufacturing location, the manufacturing start date, the manufacturing process to be used, and the person in charge of manufacturing in addition to the information on the product. Is stamped at a position of about 100 μm from the edge of the substrate. The plurality of dot marks constituting the ID mark 14a are formed by irradiating the bevel portion 12 with a continuous pulse laser beam via an optical system and locally melting the surface of the bevel portion 12.

(ロ)そして、IDマーク14aを基にして一連の半導体製造工程が開始される。例えば、まず酸化工程に始まり、パターン形成のマスクとなる化学的気相成長(CVD)工程に引継がれる。ここで、バッチ内の各ウェーハ11に対して、ウェーハ11上に形成されたマスク材の膜厚を計測する。膜厚の測定値は、個々のウェーハ11の膜厚値としてホストコンピュータに収集されると同時に、膜種および膜厚の測定値を示すIDマーク14bとして、IDマーク14aの隣に追記される。   (B) Then, a series of semiconductor manufacturing processes is started based on the ID mark 14a. For example, the process first starts with an oxidation process and is continued with a chemical vapor deposition (CVD) process that serves as a mask for pattern formation. Here, the film thickness of the mask material formed on the wafer 11 is measured for each wafer 11 in the batch. The measured value of the film thickness is collected by the host computer as the film thickness value of each wafer 11, and at the same time, the ID mark 14b indicating the measured value of the film type and the film thickness is added next to the ID mark 14a.

なお、従来技術においては、1つのバッチの中から選ばれたテストピースの膜厚が計測されていた。そして、テストピースの膜厚値が、バッチの典型的な膜厚としてホストコンピュータに収集されていた。テストピースの膜厚値は、グルーピングされた複数のウェーハ11を代表する膜厚値として扱われるため、各ウェーハ11の膜厚値はテストピースの膜厚値に対してバラツキを持っている。そこで、次の製造工程における製造条件が、各ウェーハ11の膜厚値のバラツキを考慮して経験的に設定されていた。   In the prior art, the film thickness of a test piece selected from one batch is measured. And the film thickness value of the test piece was collected by the host computer as a typical film thickness of the batch. Since the film thickness value of the test piece is handled as a film thickness value representative of the plurality of grouped wafers 11, the film thickness value of each wafer 11 varies with respect to the film thickness value of the test piece. Therefore, the manufacturing conditions in the next manufacturing process are set empirically in consideration of variations in the film thickness values of the wafers 11.

(ハ)その後、マスク材はレジストを用いてパターニングされ、ドライエッチング工程に送られて加工される。その際、ドライエッチング装置内に装備された、IDマークの読み取り装置によって、IDマーク14aが読み取られる。そして、パターン情報や膜種、膜厚情報等がドライエッチング装置に与えられ、個々のウェーハ11に対して最適なエッチングプロセス条件が選択される。   (C) Thereafter, the mask material is patterned using a resist, and sent to a dry etching process to be processed. At that time, the ID mark 14a is read by the ID mark reading device provided in the dry etching apparatus. Then, pattern information, film type, film thickness information, and the like are given to the dry etching apparatus, and an optimum etching process condition for each wafer 11 is selected.

(ニ)そして、種々の洗浄工程を経た後に、ウェーハ11の第1主面を選択的にエッチングし、形成したシリコン基板の溝のCVD膜を埋め込み、素子分離を形成する。   (D) After various cleaning steps, the first main surface of the wafer 11 is selectively etched, and a CVD film in the groove of the formed silicon substrate is buried to form element isolation.

次に、イオン注入によりウェルを形成した後にトランジスタ工程へと進む。ゲート絶縁膜を形成した後にゲート電極を形成する。ゲート電極を形成する工程においても、先のマスク工程と同様に、CVD法により形成した電極膜の膜厚情報を表すIDマーク14cがマーク14bの隣に追記される。電極膜の膜厚情報が、ドライエッチングプロセスにフィードバックされることにより、オーバーエッチング時間を個々のウェーハ11において制御することが可能となる。   Next, after forming a well by ion implantation, the process proceeds to a transistor process. A gate electrode is formed after forming the gate insulating film. Also in the process of forming the gate electrode, an ID mark 14c indicating the film thickness information of the electrode film formed by the CVD method is additionally written next to the mark 14b, as in the previous mask process. By feeding back the film thickness information of the electrode film to the dry etching process, the overetching time can be controlled in each wafer 11.

(ホ)さらに、ソース・ドレインの形成工程においては、カバー膜となる酸化膜の膜厚情報を示すIDマーク14dをIDマーク14cの隣に追記する。IDマーク14dによって、個々のウェーハ11に対して最適なイオン注入条件が調整され、トランジスタ特性のバラツキが低減される。   (E) Further, in the step of forming the source / drain, an ID mark 14d indicating the film thickness information of the oxide film serving as the cover film is additionally written next to the ID mark 14c. The ID mark 14d adjusts the optimum ion implantation conditions for each wafer 11 and reduces variations in transistor characteristics.

(へ)また、枚葉式のウェットエッチング工程においても、ドライエッチング工程と同様に、膜厚情報を示すIDマークがベベル部12に追記される。膜厚情報を示すIDマークによって、エッチング時間が個々のウェーハ11において設定され、仕上がり形状を一定にすることができる。   (F) Also, in the single-wafer wet etching process, an ID mark indicating film thickness information is additionally written on the bevel portion 12 as in the dry etching process. The etching time is set in each wafer 11 by the ID mark indicating the film thickness information, and the finished shape can be made constant.

このように、各製造工程において形成される製造物に関する情報を表す複数個のIDマーク(14a〜d)を、ウェーハ11の外周部に形成されたベベル部12に順に追記していく。例えば、個々のIDマーク(14a〜d)を連続的にある一定の距離、例えば100umおきに刻印する。読み取り装置は、IDマーク(14a〜d)の規則的な配置情報によりノッチ13を基準にして1番右端に位置する最新の情報にアクセスすることができる。   As described above, a plurality of ID marks (14 a to 14 d) representing information related to a product formed in each manufacturing process are sequentially added to the bevel portion 12 formed on the outer peripheral portion of the wafer 11. For example, individual ID marks (14a to 14d) are continuously imprinted at a certain distance, for example, every 100 μm. The reading device can access the latest information located at the rightmost position with reference to the notch 13 based on the regular arrangement information of the ID marks (14a to 14d).

個々のウェーハ11に直接IDマーク14を刻印する。その後の製造工程において、ウェーハ11に刻印したIDマーク14を読み取り、ウェーハ11ごとに製造条件を設定する。ホストコンピュータヘアクセスすることなく、個々のウェーハ11に最適なプロセス条件を選択することが可能となり、バッチ内のウェーハ間で発生する製造バラツキを抑制することができる。なお、IDマーク(14a〜d)の刻印位置は、規則性を持たせていれば、ノッチ13に対して、45度の位置、90度、或いは180度の位置に刻印するように設定しても構わない。   An ID mark 14 is directly stamped on each wafer 11. In the subsequent manufacturing process, the ID mark 14 stamped on the wafer 11 is read, and manufacturing conditions are set for each wafer 11. It is possible to select optimum process conditions for each wafer 11 without accessing the host computer, and to suppress manufacturing variations occurring between wafers in a batch. The marking positions of the ID marks (14a to 14d) are set so as to be stamped at 45 degrees, 90 degrees, or 180 degrees with respect to the notches 13 if regularity is provided. It doesn't matter.

また、1つの認識符号(IDマーク)14により非常に膨大な工程情報が管理され、製造工程中に必要な情報の読み出しに非常に時間がかかっていた。これに対して、ベベル部12に個々の製造工程の工程情報をそれぞれ刻印するため、必要な情報を短時間に読み出すことが可能となる。   Also, a very large amount of process information is managed by one recognition code (ID mark) 14, and it takes a very long time to read out information necessary during the manufacturing process. On the other hand, since the process information of each manufacturing process is imprinted on the bevel portion 12, necessary information can be read out in a short time.

さらに、各半導体製造装置でIDマーク(14a〜d)の読み取りを行う。これにより、短時間に個々のウェーハ11の工程情報を次工程にフィードフォワードし、各製造工程の揺らぎに応じたプロセスを組むことができる。したがって、IDマーク(14a〜d)が付された半導体ウェーハによって製造される半導体装置の性能バラツキを抑えることが可能となる。特に、技術開発段階にある製造プロセスのように未成熟なプロセスであっても個々に製造条件を設定できるため、いち早く生産ラインでの適用が可能となる。   Further, the ID mark (14a-d) is read by each semiconductor manufacturing apparatus. Thereby, the process information of each wafer 11 can be fed forward to the next process in a short time, and a process corresponding to the fluctuation of each manufacturing process can be assembled. Therefore, it becomes possible to suppress the performance variation of the semiconductor device manufactured by the semiconductor wafer to which the ID mark (14a-d) is attached. In particular, even in an immature process such as a manufacturing process in the technological development stage, manufacturing conditions can be set individually, so that it can be applied to a production line quickly.

(第1の実施の形態の変形例1)
第1の実施の形態では、製造物に関する情報を、製造物15ごとにIDマーク(14a〜d)として次々と追記してゆき、個々の製造工程において必要な情報を有するIDマーク(14a〜d)を選択的に読み出す場合について示した。しかしながら、一連の半導体製造工程を通して、初期のIDマーク14aに含まれる情報を、その後の製造工程において付されるIDマーク(14b〜d)にも含ませることにより、既製の製造物15の認識を1つのIDマークとして上流から下流へと更新していくこともできる。即ち、先に付されたIDマークに隣接して、当該IDマークが付された後に形成された製造物に関する情報及び先に付されたIDマークが示す情報を含む新たなIDマークを付することもできる。
(Modification 1 of the first embodiment)
In the first embodiment, information on products is sequentially added as ID marks (14a to 14d) for each product 15, and ID marks (14a to 14d) having information necessary for individual manufacturing processes. ) Was selectively read. However, through the series of semiconductor manufacturing processes, the information included in the initial ID mark 14a is also included in the ID marks (14b to 14d) attached in the subsequent manufacturing process, thereby recognizing the ready-made product 15. It can also be updated from upstream to downstream as one ID mark. That is, a new ID mark including information related to a product formed after the ID mark is attached and information indicated by the ID mark attached first is attached adjacent to the ID mark attached previously. You can also.

例えば、図1において、まず製造物認識符号(IDマーク)14aをノッチ13の右に刻印する。次に所望の工程を経た後に、得られた膜厚情報を初期のIDマーク14aを含めた新たなIDマーク14bを、初期の製造物認識符号(IDマーク)14aの右に刻印する。半導体製造装置はベベル部12の1番右端にあるIDマーク14bが最新の情報として認識する。   For example, in FIG. 1, a product recognition code (ID mark) 14 a is first imprinted on the right of the notch 13. Next, after a desired process, a new ID mark 14b including the obtained film thickness information including the initial ID mark 14a is imprinted to the right of the initial product recognition code (ID mark) 14a. The semiconductor manufacturing apparatus recognizes the ID mark 14b at the first right end of the bevel portion 12 as the latest information.

第1の実施の形態では、個々の情報が個々のIDマーク(14a〜d)に分割されているので、容量の小さなマトリックスで刻印することが可能であるという利点を持つ。これに対して、第1の実施の形態の変形例1では、すべての情報を新たに刻印し直すので、マトリックスの容量が大きくなるが、1つのIDマーク(14a〜d)からすべての工程情報を一度に引き出すことができる利点を持つ。   In the first embodiment, since each piece of information is divided into individual ID marks (14a to 14d), there is an advantage that it can be stamped with a matrix having a small capacity. On the other hand, in the first modification of the first embodiment, since all information is newly imprinted, the capacity of the matrix increases, but all process information from one ID mark (14a-d). It has the advantage that it can be pulled out at once.

(第1の実施の形態の変形例2)
種々の製品を混載した半導体ウェハを製造ラインへ流す場合に対して、第1の実施の形態を適用した例を説明する。LSIの製造工程は、通常、まずShallow Trench Isolation(STI)などによる素子分離工程を行い、次にゲート形成工程、コンタクト形成工程及びキャパシタ形成工程を経て、配線形成工程を行う。
(Modification 2 of the first embodiment)
An example in which the first embodiment is applied to a case where a semiconductor wafer in which various products are mixedly flowed to the production line will be described. In an LSI manufacturing process, an element isolation process such as shallow trench isolation (STI) is usually performed first, and then a wiring formation process is performed through a gate formation process, a contact formation process, and a capacitor formation process.

個々のモジュール毎にウェーハを管理する方法について述べる。図1に示したように、最初に、ウェーハ11に認識番号を表すIDマーク14aを刻印する。そして、酸化工程、CVD工程を経てSTIのマスク形成工程へと進む。この際に第1の実施の形態でも述べたように、マスク膜厚等のプロセス条件を表すIDマーク14bがウェーハ11に刻印される。そして、個々のIDマーク14bが反応性イオンエッチング(RIE)装置において読み取られることにより、個々の半導体ウェーハ11に対する最適条件が設定され、マスク加工が行われる。   A method for managing a wafer for each module will be described. As shown in FIG. 1, first, an ID mark 14 a representing an identification number is imprinted on the wafer 11. Then, the process proceeds to an STI mask formation process through an oxidation process and a CVD process. At this time, as described in the first embodiment, an ID mark 14b indicating a process condition such as a mask film thickness is imprinted on the wafer 11. The individual ID marks 14b are read by a reactive ion etching (RIE) apparatus, whereby optimum conditions for the individual semiconductor wafers 11 are set and mask processing is performed.

引き続き、Siエッチング工程、酸化工程、埋め込み工程を経て、STI用の溝に絶縁膜が埋め込まれる。次に、化学的機械的研磨(CMP)工程へと進む前に、研磨すべき酸化膜厚は計測され、その値を表すIDマーク14cがウェーハ11に刻印されている。IDマーク14cを基にしてCMPのポリッシング時間が個々のウェーハ11毎に最適化される。   Subsequently, an insulating film is embedded in the STI trench through an Si etching process, an oxidation process, and a filling process. Next, before proceeding to the chemical mechanical polishing (CMP) process, the oxide film thickness to be polished is measured, and an ID mark 14 c representing the value is imprinted on the wafer 11. Based on the ID mark 14 c, the CMP polishing time is optimized for each individual wafer 11.

その後、洗浄工程を経て、STIによる素子分離が完成するが、この際に、どのようなマスクやプロセスを経て形成された素子分離基板であるかを示すIDマーク14dをウェーハ11のベベル部12に刻印しておく。そして、素子分離を形成したウェーハ11を作りだめしておき、製品の需要に応じて次工程へと進める。ウェーハ11はこの刻印されたIDマーク14dによって管理される。   After that, the element separation by STI is completed through a cleaning process. At this time, an ID mark 14d indicating what kind of mask or process the element separation substrate is formed on the bevel portion 12 of the wafer 11 Engrave it. Then, the wafer 11 on which the element isolation is formed is prepared, and the process proceeds to the next process according to the demand for the product. The wafer 11 is managed by the stamped ID mark 14d.

また、ゲート形成後においても同様に、ゲート形成までのマスク、プロセス条件、検査結果等を含む情報を表すIDマーク14dをゲート形成完了時に刻印し、IDマーク14dによりウェーハを管理する。このようにウェーハ11を管理することにより、製品需要にフレキシブルに対応できる生産体系を採ることができる。   Similarly, after the gate formation, an ID mark 14d representing information including a mask until gate formation, process conditions, inspection results, and the like is imprinted when the gate formation is completed, and the wafer is managed by the ID mark 14d. By managing the wafer 11 in this way, it is possible to adopt a production system that can flexibly respond to product demand.

(第1の実施の形態の変形例3)
ウェーハ工程が終了し、アッセンブリ工程へと進む際のチップの管理方法に適用した例を示す。ウェーハ工程が終了した後のチップ検査工程において、半導体ウェハ上の半導体集積回路装置は、良品チップと不良品チップとに振り分けられる。第1実施形態及びその変形例1、2に示したIDマーク(14a〜d)に含まれているプロセス情報や、ウェーハ11上の半導体チップの位置情報、検査結果を含む新たなIDマークを半導体チップ毎に付する。
(Modification 3 of the first embodiment)
An example applied to the chip management method when the wafer process is completed and the process proceeds to the assembly process will be described. In the chip inspection process after the wafer process is completed, the semiconductor integrated circuit device on the semiconductor wafer is divided into good chips and defective chips. The process information included in the ID marks (14a to 14d) shown in the first embodiment and the modifications 1 and 2 thereof, the position information of the semiconductor chip on the wafer 11, and a new ID mark including the inspection result are stored in the semiconductor. Attached to each chip.

ウェーハ11を切断して半導体チップを形成した後においては、ウェーハのプロセス情報、ウェーハ上でのチップの位置情報、及びチップ毎の検査結果を、半導体チップごとにまとめておくことは一般的に困難である。このことは、半導体チップとなってしまってからの不良解析を困難にしている。   After forming the semiconductor chip by cutting the wafer 11, it is generally difficult to collect the wafer process information, the chip position information on the wafer, and the inspection result for each chip for each semiconductor chip. It is. This makes it difficult to analyze defects after becoming a semiconductor chip.

これらの情報を一括管理できるIDマークを半導体チップに施し、このIDマークを用いて半導体チップを管理することにより、半導体チップの不良解析を容易にすることができる。また、市場に出ていった半導体チップに不良が発生した場合においても、半導体チップに刻印したIDマークに全ての情報が含まれているので、故障解析を容易にすることができる。   By applying an ID mark capable of managing these pieces of information collectively to a semiconductor chip and managing the semiconductor chip using this ID mark, it is possible to facilitate the analysis of defects in the semiconductor chip. Further, even when a defect occurs in a semiconductor chip that has been put on the market, since all information is included in the ID mark stamped on the semiconductor chip, failure analysis can be facilitated.

(第2の実施の形態)
第2の実施の形態においては、半導体ウェーハへIDマークを付する方法について説明する。図2(a)〜(c)は、本発明の第2実施形態に係る半導体ウェーハのマーキング方法を示すフローチャートである。
(Second Embodiment)
In the second embodiment, a method for attaching an ID mark to a semiconductor wafer will be described. 2A to 2C are flowcharts showing a marking method for a semiconductor wafer according to the second embodiment of the present invention.

(イ)第2の実施の形態に係るドットマークの形成方法は、半導体装置の製造工程の最初に実行される。まず図2(a)に示すように、使用するウェーハ16は、ベベル部の表面が素子形成領域に比較してラフネスが大きいものであり、具体的にはベベル部にサイズ0.2μm、段差0.2μmの凹凸17を有する。   (A) The dot mark forming method according to the second embodiment is executed at the beginning of the manufacturing process of the semiconductor device. First, as shown in FIG. 2A, the wafer 16 to be used has a surface whose bevel portion has a larger roughness than the element formation region. Specifically, the bevel portion has a size of 0.2 μm and a step of 0. It has unevenness 17 of 2 μm.

(ロ)このウェーハ16に対して、図2(b)に示すように、例えばガウシアン形状のエネルギー密度分布を持つHe−Neレーザ光18の結像をベベル部表面からずらした状態でベベル部の一部を走査する。これによって、レーザ光18が照射されたベベル部表面の凹凸17が溶融、再結晶化する過程で、レーザ照射面が平滑化される。   (B) For this wafer 16, as shown in FIG. 2B, for example, the image of the He-Ne laser light 18 having a Gaussian-shaped energy density distribution is shifted from the surface of the bevel portion. Scan a part. As a result, the laser irradiation surface is smoothed in the process in which the unevenness 17 on the surface of the bevel portion irradiated with the laser beam 18 is melted and recrystallized.

(ハ)次に、図2(c)に示すように、例えばガウシアン形状のエネルギー密度分布を持つHe−Neレーザ光19をベベル部表面で結像した状態で照射する。これによって、ウェーハ16表面が溶融、再結晶化される過程で、例えばサイズ5μm、段差0.5μmの微小突起部からなるドットマーク20が刻印される。複数のドットマーク20を刻印することにより、二次元コード(IDマーク)を形成することができる。二次元コードは、縦8ケ×横32ケあるいは縦16ケ×横16ケのドットマークで構成される。   (C) Next, as shown in FIG. 2C, for example, He-Ne laser light 19 having a Gaussian-shaped energy density distribution is irradiated in a state of being imaged on the surface of the bevel portion. As a result, in the process of melting and recrystallizing the surface of the wafer 16, for example, a dot mark 20 made of a minute protrusion having a size of 5 μm and a step of 0.5 μm is imprinted. By imprinting a plurality of dot marks 20, a two-dimensional code (ID mark) can be formed. The two-dimensional code is composed of dot marks of 8 vertical x 32 horizontal or 16 vertical x 16 horizontal.

ウェーハ16のベベル部に照明を当てながら、反射される光の光量をCCDカメラでモニターする。反射光量が最大となる位置をドットマーク20が形成された領域として直接検知する。このようにしてドットマーク20を読み取る。   While illuminating the bevel portion of the wafer 16, the amount of reflected light is monitored by a CCD camera. The position where the amount of reflected light is maximum is directly detected as the area where the dot mark 20 is formed. In this way, the dot mark 20 is read.

なお、関連する技術においては、ドットマークの読み取りを容易にするために、ドットマークはウェーハのノッチを基準に形成していた。このため、ドットマークの読み取りを行う際には、半導体レーザ等を使用してウェーハのノッチ位置を探した後、このノッチ位置を基準にドットマークの形成領域をカメラ位置に移動して読み取りを行っていた。ウェーハ自体に基準となるノッチがない場合ではドットマークの形成領域を探し出せなかった。   In the related technology, the dot mark is formed based on the notch of the wafer in order to facilitate reading of the dot mark. For this reason, when reading the dot mark, use a semiconductor laser or the like to find the notch position on the wafer, then move the dot mark formation area to the camera position based on this notch position. It was. In the case where the wafer itself has no reference notch, the dot mark formation area could not be found.

しかし、第2の実施の形態では、ドットマーク20の形成を行う前にマーク形成領域を平滑化するため、ウェーハ16のベベル部に形成した微小なドットマーク20の位置検出が高速となる。また、半導体製造工程中で凹凸が生じたウェーハ表面に対しても、認識率の高いドットマーク20の追加書き込みが実現可能になる。また、ウェーハ16自体に基準位置となるノッチがない場合においても、ドットマーク20の高速読み取りが可能となる。第2の実施の形態に係る半導体ウェーハのマーキング方法によれば、ウェーハ自体に予め基準位置がない半導体ウェーハにおいても、ドットマーク20の高速読み取りが可能となる。したがって、半導体製造工程中にIDマークを読み取る時間を短縮することができる。   However, in the second embodiment, since the mark formation area is smoothed before the dot mark 20 is formed, the position detection of the minute dot mark 20 formed on the bevel portion of the wafer 16 is performed at high speed. In addition, additional writing of the dot mark 20 with a high recognition rate can be realized even on a wafer surface on which irregularities are generated during the semiconductor manufacturing process. Even when the wafer 16 itself does not have a notch serving as a reference position, the dot mark 20 can be read at high speed. According to the marking method of the semiconductor wafer according to the second embodiment, the dot mark 20 can be read at high speed even on a semiconductor wafer in which the wafer itself does not have a reference position in advance. Therefore, it is possible to shorten the time for reading the ID mark during the semiconductor manufacturing process.

(第2実施形態の変形例)
第2の実施の形態では、半導体装置の製造工程の最初におけるドットマークの形成方法について示した。第2実施形態の変形例では、半導体装置の製造工程の途中でドットマークを書き加える方法について説明する。
(Modification of the second embodiment)
In the second embodiment, the dot mark forming method at the beginning of the manufacturing process of the semiconductor device has been described. In the modification of the second embodiment, a method of adding dot marks during the manufacturing process of the semiconductor device will be described.

図2(a)乃至(c)において、半導体装置の製造工程の途中で、シリコン基板(ウェーハ)16をエッチングすることにより、ウェーハ16表面にサイズ2μm、段差0.2μmの凹凸17を形成する。凹凸17が形成されたウェーハ16表面に対して、第2実施形態と同様に、結像をウェーハ16表面からずらしたレーザ18を照射及び走査する。そして、ウェーハ16表面に結像されたレーザ19により微小突起部で構成されるドットマーク20を形成する。   2A to 2C, the silicon substrate (wafer) 16 is etched during the manufacturing process of the semiconductor device to form unevenness 17 having a size of 2 μm and a step of 0.2 μm on the surface of the wafer 16. As in the second embodiment, the surface of the wafer 16 on which the irregularities 17 are formed is irradiated and scanned with a laser 18 whose image is shifted from the surface of the wafer 16. Then, a dot mark 20 composed of minute protrusions is formed by a laser 19 imaged on the surface of the wafer 16.

このように、ドットマーク20の形成を行う前にマーク形成領域の平滑化を行っておくことにより、半導体装置の製造工程途中であっても認識性の高いドットマーク20の書き加えが可能となる。   As described above, by smoothing the mark formation region before the dot mark 20 is formed, it is possible to add the dot mark 20 with high recognizability even during the manufacturing process of the semiconductor device. .

(第3の実施の形態)
第1及び第2の実施の形態及びそれらの変形例において、IDマーク14は、ベベル部のどの位置に刻印するかについては特定していない。しかしながら、IDマーク14を、ベベル部の中のウェハ最外周部分に刻印しなければならない場合がある。ベベル部の中の製造物25が形成されるウェーハ表面に近い部位にIDマークが刻印されていると、最近の半導体製造工程で多用されるCMP工程で消失してしまう可能性が高いからである。そこで、第3の実施の形態においては、ベベル部内におけるIDマーク14の刻印位置及びIDマーク14の数について説明する。
(Third embodiment)
In the first and second embodiments and their modifications, the ID mark 14 does not specify which position on the bevel portion is to be stamped. However, in some cases, the ID mark 14 must be stamped on the outermost peripheral portion of the wafer in the bevel portion. This is because if the ID mark is engraved in a portion near the wafer surface where the product 25 in the bevel portion is formed, it is highly likely to disappear in the CMP process frequently used in the recent semiconductor manufacturing process. . Therefore, in the third embodiment, the marking position of the ID mark 14 and the number of ID marks 14 in the bevel portion will be described.

図3は、第3の実施の形態に係る半導体ウェーハの部分外観図である。第3の実施の形態に係る半導体ウェーハは、ウェハ21の外周部に形成されたノッチ23を基準にして、ウェーハ21の外周部22に同一内容の3つのIDマーク(24a〜c)が刻印されている。1つのIDマーク24は30μm×140μm角の長方形である。3つのIDマーク(24a〜c)は、縦方向及び横方向にそれぞれ30μmずらして刻印されている。ウェーハ21の表面近傍に刻印されたIDマーク24cがCMP工程で仮に消滅しても、ベベル部22のウェーハ裏面に近い部分に刻印されたIDマーク24aは残存し読み取ることができる。   FIG. 3 is a partial external view of a semiconductor wafer according to the third embodiment. In the semiconductor wafer according to the third embodiment, three ID marks (24a to 24c) having the same contents are imprinted on the outer peripheral portion 22 of the wafer 21 with reference to the notch 23 formed on the outer peripheral portion of the wafer 21. ing. One ID mark 24 is a rectangle of 30 μm × 140 μm square. The three ID marks (24a to 24c) are imprinted with a shift of 30 μm in the vertical and horizontal directions. Even if the ID mark 24c stamped in the vicinity of the front surface of the wafer 21 disappears in the CMP process, the ID mark 24a stamped on the portion near the wafer back surface of the bevel portion 22 remains and can be read.

また、第3の実施の形態では、例えばレーザ変位計または光の反射を利用して、ウェーハ外周部22上のマーキングすべき領域を大雑把に検出する。すなわち、ノッチ23を見つけた後にウェーハ外周部22のベベル部の形状を精密に計測しない。従って、図4に示すように、僅か2秒でウェーハ外周部22上のマーキングすべき最初の領域を検出することができる。このマーキングすべき領域を基準として、複数の同一内容のIDマーク(24a〜c)を横方向に位置を変えることは元より、ウェーハ厚み方向にある程度の距離を取って3箇所刻印する。刻印に要する時間は全部で20秒を要する。しかし、ウェーハ1枚の刻印を行うのに要する時間は36秒である。   In the third embodiment, a region to be marked on the wafer outer peripheral portion 22 is roughly detected by using, for example, a laser displacement meter or light reflection. That is, the shape of the bevel portion of the wafer outer peripheral portion 22 is not accurately measured after the notch 23 is found. Therefore, as shown in FIG. 4, the first area to be marked on the wafer outer peripheral portion 22 can be detected in only 2 seconds. Using the area to be marked as a reference, a plurality of ID marks (24a to 24c) having the same contents are stamped at three locations with a certain distance in the wafer thickness direction, as well as changing the position in the lateral direction. The total time required for the marking is 20 seconds. However, the time required for marking one wafer is 36 seconds.

このように第3の実施の形態によれば、ウェーハ21のベベル部の形状を精密に計測することが不要であり、その分だけマーク刻印のスループットの向上を図ることが可能である。以下に示す比較例に比して、半分以下の所要時間によって、マーク刻印を行うことができる。   As described above, according to the third embodiment, it is not necessary to precisely measure the shape of the bevel portion of the wafer 21, and the mark marking throughput can be improved correspondingly. Mark marking can be performed in less than half of the time required compared to the comparative example described below.

(第3の実施の形態の比較例)
CMP工程でIDマークが消失しないようにするために、ベベル部でもウェーハ表面からできるだけ離れたウェハ側面に近い部位に刻印することが求められる。そのために、ウェーハのベベル部を精密に計測し、ウェーハ端部に近い部分を狙ってレーザを照射する。
(Comparative example of the third embodiment)
In order to prevent the ID mark from disappearing in the CMP process, the bevel portion is also required to be stamped on a portion near the wafer side surface as far as possible from the wafer surface. For this purpose, the bevel portion of the wafer is precisely measured, and a laser beam is irradiated aiming at a portion close to the wafer end portion.

具体的には、まず、ウェーハを移載してノッチを検出する。そして、図5に示すように、ウェーハ26のベベル部27に光を照射して反射光を捕らえることによりベベル部27の形状を求める。次に、近似的に平面とみなすことができる領域28を探す。平面とみなせる領域28を探すためには、ベベル部27の計測が精密でなければならず、そのために長い時間を要する。例えば、図4に示すように、IDマークを刻印する時間自体は6秒であるのに対し、ベベル部27の形状の計測(平面とみなせる領域の探索を含む)に60秒を要している。検査とウェーハの移載にそれぞれ2秒と4秒を要し、1枚のウェーハにIDマークを刻印するのに要する合計時間は80秒となっている。このうちの大半をこのベベル部27の形状の計測が占めていることになる。   Specifically, first, a wafer is transferred and a notch is detected. And as shown in FIG. 5, the shape of the bevel part 27 is calculated | required by irradiating light to the bevel part 27 of the wafer 26, and capturing reflected light. Next, a region 28 that can be regarded as a plane approximately is searched. In order to search for the region 28 that can be regarded as a flat surface, the measurement of the bevel portion 27 must be precise, and therefore a long time is required. For example, as shown in FIG. 4, the time for imprinting the ID mark itself is 6 seconds, but it takes 60 seconds to measure the shape of the bevel portion 27 (including a search for an area that can be regarded as a plane). . Two seconds and four seconds are required for inspection and wafer transfer, respectively, and the total time required to imprint an ID mark on one wafer is 80 seconds. The measurement of the shape of the bevel portion 27 occupies most of them.

このように、第3の実施の形態によれば、高度な技術及び装置を必要とせず、簡便な方法によってベベル部の形状を計測することにより、IDマークのマーキングのスループットを向上させることができる。   As described above, according to the third embodiment, it is possible to improve the ID mark marking throughput by measuring the shape of the bevel portion by a simple method without requiring an advanced technique and apparatus. .

(第3実施形態の変形例1)
第3実施形態の変形例1では、刻印するIDマークの数を2つにした例を説明する。IDマークの数を2つにすることにより、ウェーハ1枚の処理に要する時間は、36秒(IDマークの数を3つにした場合)から30秒へ減少する。この時の懸念点は、十分な確度でIDマークを読み取ることができるか否かである。これを確認する為に、ウェーハ24枚のうち12枚に対して3箇所の刻印し、残りの12枚に2箇所だけ刻印した。
(Modification 1 of 3rd Embodiment)
In the first modification of the third embodiment, an example in which the number of ID marks to be engraved is two will be described. By setting the number of ID marks to two, the time required for processing one wafer is reduced from 36 seconds (when the number of ID marks is three) to 30 seconds. The concern at this time is whether or not the ID mark can be read with sufficient accuracy. In order to confirm this, 3 spots were stamped on 12 of 24 wafers, and only 2 spots were stamped on the remaining 12 wafers.

この際、それぞれのIDマークは、3箇所刻印する場合には縦方向及び横方向に30μmづつ間隔を取って刻印し、2箇所刻印する場合には縦方向及び横方向に50μmの間隔を取って刻印した。   At this time, each ID mark is engraved at intervals of 30 μm in the vertical and horizontal directions when imprinted at three locations, and at an interval of 50 μm in the vertical and lateral directions when engraved at two locations. Engraved.

これらのウェーハを用いてトレンチ型DRAMを作成し、ビット線形成工程が終了した段階でウェーハを抜き取り、IDマークの読み取り試験を行った。その結果を図6に示す。   Using these wafers, trench type DRAMs were prepared, and after completion of the bit line formation process, the wafers were extracted and subjected to ID mark reading tests. The result is shown in FIG.

「読み取り結果」の欄には、IDマークを読み取れた場合には○印、読み取れなかった場合には×印を付けている。「読み取れた位置」の欄は、ウェーハ外周部から見ていくつ目のIDマークで読み取れたかを示し、“1”は外周部に最も近いIDマークで、数字が大きくなるほど外周部から離れウェーハ表面部に近くなる。ウェーハ番号1〜12のウェーハには3つのIDマークが付され、ウェーハ番号13〜24のウェーハには2つのIDマークが付されている。   In the “reading result” column, “◯” is marked when the ID mark can be read, and “X” is marked when the ID mark cannot be read. The column “Reading position” indicates how many ID marks were read when viewed from the outer periphery of the wafer. “1” is the ID mark closest to the outer periphery, and the larger the number, the farther from the outer periphery, the more the wafer surface portion. Close to. Three ID marks are attached to the wafers with wafer numbers 1 to 12, and two ID marks are attached to the wafers with wafer numbers 13 to 24.

図6に示すように、ほとんどの場合、外周部に最も近い位置のIDマークを読み取ることができる。この結果は、IDマークは、ベベル部を精密に計測して正確な位置に刻印しなくても、十分読み取ることが可能なことを示している。大雑把にウェーハ外周の形状を検出し、複数個のIDマークを刻印しておけば、どれかが生き残り、どの工程でも何れかのIDマークを読み取ることができる。   As shown in FIG. 6, in most cases, the ID mark closest to the outer periphery can be read. This result indicates that the ID mark can be sufficiently read without precisely measuring the bevel portion and imprinting it at an accurate position. If the shape of the outer periphery of the wafer is roughly detected and a plurality of ID marks are engraved, any one survives and any ID mark can be read in any process.

(第3実施形態の変形例2)
図7は、第3の実施の形態の変形例2に係る半導体ウェーハの部分断面図である。図7に示すように、ベベル部32は、ウェーハ31の第1主面29の側に位置する第1ベベル部32aと、第1主面29に対向する第2主面30の側に位置する第2ベベル部32bとを具備する。同一内容を示すIDマーク(33a、33b)が、第1ベベル部32a及び第2ベベル部32bにそれぞれ付されている。即ち、変形例2では、同一内容のIDマーク(33a,33b)がウェーハ31の表面側外周部と裏面側外周部にそれぞれ刻印されている。なお、ここでは、第1主面29は、製造物を形成することで半導体集積回路が製造されるウェーハ31の表面を示し、第2主面30をウェーハ31の裏面を示す。
(Modification 2 of 3rd Embodiment)
FIG. 7 is a partial cross-sectional view of a semiconductor wafer according to Modification 2 of the third embodiment. As shown in FIG. 7, the bevel portion 32 is positioned on the first bevel portion 32 a positioned on the first main surface 29 side of the wafer 31 and on the second main surface 30 side facing the first main surface 29. And a second bevel portion 32b. ID marks (33a, 33b) indicating the same contents are respectively attached to the first bevel portion 32a and the second bevel portion 32b. That is, in Modification 2, ID marks (33a, 33b) having the same contents are stamped on the outer peripheral portion on the front surface side and the outer peripheral portion on the back surface side of the wafer 31, respectively. Here, the first main surface 29 indicates the surface of the wafer 31 on which the semiconductor integrated circuit is manufactured by forming a product, and the second main surface 30 indicates the back surface of the wafer 31.

このようにベベル部32をウェーハ31の厚さ方向に幾つかの領域に区分して、各区分に同一内容を示すIDマーク(33a,33b)を刻印する。ウェーハ31の表面29側外周部に刻印されたIDマーク33aがCMP工程で仮に消滅しても、ウェーハ31の裏面30に近い部分に刻印されたIDマーク33bは残存し読み取ることができる。結果的に、IDマークの刻印、及び読み取りの時間が短縮され、スループットの向上を図ることができる。   In this way, the bevel portion 32 is divided into several regions in the thickness direction of the wafer 31, and ID marks (33a, 33b) indicating the same contents are engraved in the respective regions. Even if the ID mark 33a stamped on the outer peripheral portion of the front surface 29 of the wafer 31 disappears in the CMP process, the ID mark 33b stamped on the portion near the back surface 30 of the wafer 31 remains and can be read. As a result, the time for imprinting and reading the ID mark is shortened, and the throughput can be improved.

(第4の実施の形態)
図8は、第4の実施の形態に係る半導体ウェーハの部分外観図である。図8に示すように、IDマーク(37、38)は、ベベル部35内に形成された基準位置36の両側にそれぞれ付されている。基準位置36は、ウェーハ34の結晶方位を示す基準物または基準記号である。基準物または基準記号には、ウェーハのオリエンテーションフラット、ノッチまたは微細刻印などが含まれる。ここでは、ウェーハメーカーで刻印した製造番号を示すIDマーク37、及びデバイスメーカーで刻印したIDマーク38がノッチ(基準位置)36を境にして左右に分けて刻印されている。
(Fourth embodiment)
FIG. 8 is a partial external view of a semiconductor wafer according to the fourth embodiment. As shown in FIG. 8, the ID marks (37, 38) are attached to both sides of the reference position 36 formed in the bevel portion 35, respectively. The reference position 36 is a reference object or a reference symbol indicating the crystal orientation of the wafer 34. The reference object or reference symbol includes a wafer orientation flat, a notch or a fine marking. Here, an ID mark 37 indicating a manufacturing number stamped by a wafer manufacturer and an ID mark 38 stamped by a device manufacturer are stamped separately on the left and right with a notch (reference position) 36 as a boundary.

一方、比較例として、図9に示すように、ノッチ36の端部を基準にして、ウェーハメーカーとデバイスメーカーとが同じ右側に並べてIDマーク(39、40)をそれぞれ刻印する場合がある。   On the other hand, as a comparative example, as shown in FIG. 9, the wafer manufacturer and the device manufacturer may be arranged on the same right side with the ID mark (39, 40) stamped on the end of the notch 36 as a reference.

この比較例と較べて、第4の実施の形態に係る半導体ウェーハのマーキング方法は、以下に示す理由から、IDマーク37、38の読み取りに要する時間を短縮することが可能である。   Compared to this comparative example, the semiconductor wafer marking method according to the fourth embodiment can reduce the time required for reading the ID marks 37 and 38 for the following reason.

図9に示すように、ノッチ36の端部を基準にして、ウェーハメーカーとデバイスメーカーとが同じ右側にIDマーク(ここでは、二次元コードを示す)39,40を並べて刻印する。―つの二次元コードのサイズは、縦約50μm、横約150μmである。二次元コードを2つ並べると、刻印時と刻印装置が異なるために2つのIDマーク39、40は不連続となる。また、読み取り装置の視野はおよそ300μmのため、2つ目のIDマーク40はノッチ36を基準にした視野から外れる。そのため、2番目のIDマーク40を読み取るためには、読み取り装置のカメラを僅かに移動しなければならない。   As shown in FIG. 9, with reference to the end of the notch 36, the wafer maker and the device maker imprint the ID marks (showing a two-dimensional code here) 39, 40 side by side on the same right side. -The size of one two-dimensional code is about 50 μm in length and about 150 μm in width. When two two-dimensional codes are arranged, the two ID marks 39 and 40 become discontinuous because the marking device and the marking device are different. Further, since the field of view of the reading device is about 300 μm, the second ID mark 40 is out of the field of view based on the notch 36. Therefore, in order to read the second ID mark 40, the camera of the reading device has to be moved slightly.

1番目のIDマーク39と2番目のIDマーク40の読み取りに要する時間を比較した結果を図10に示す。1番目のIDマーク39の読み取りは、ウェーハセットから始まって、基準位置36の検出時間と、読み取り時間とから成る。一方、2番目のIDマーク40を読み取る場合には、カメラの移動時間(100msec)が加わるために読み取りに要するまでの時間が非常に長くなることが分かる。   FIG. 10 shows the result of comparing the time required for reading the first ID mark 39 and the second ID mark 40. The reading of the first ID mark 39 starts from the wafer set and consists of the detection time of the reference position 36 and the reading time. On the other hand, when the second ID mark 40 is read, it takes a very long time to read because the camera movement time (100 msec) is added.

もし半導体製造工程中にIDマークが消失して新たなIDマークを刻印した場合には、3番目のIDマークとなるために、カメラはさらに移動しなければならず、さらに多くの時間を要することになる。   If the ID mark disappears and a new ID mark is engraved during the semiconductor manufacturing process, the camera must move further and take more time to become the third ID mark. become.

図8に示すように、ウェーハメーカーのIDマーク37とデバイスメーカーのIDマーク38を基準位置(ノッチ)36に対して左右に分けて刻印しておけば、少なくともカメラの移動を1回削減することが可能である。従って、その分だけ読み取りに要する時間を短縮することが可能である。   As shown in FIG. 8, if the wafer manufacturer ID mark 37 and the device manufacturer ID mark 38 are engraved separately on the left and right with respect to the reference position (notch) 36, the movement of the camera is reduced at least once. Is possible. Therefore, it is possible to reduce the time required for reading by that amount.

第4の実施の形態によれば、半導体製造工程中にIDマークを読み取る時間を短縮でき、生産性を高めることが可能となる。IDマークの読み取り機の視野を調整する作業を短縮して、作業効率を向上させることができる。また、読み取り機はまず基準位置を見つけ、その位置から見て最初見えるIDマークは読み取らず、その次にあるIDマークを読み取ることもある。この場合においても視野を調整する作業を少なくして生産性を向上させることができる。   According to the fourth embodiment, the time for reading the ID mark during the semiconductor manufacturing process can be shortened, and the productivity can be increased. The work of adjusting the field of view of the ID mark reader can be shortened and the work efficiency can be improved. In addition, the reader may first find the reference position, and may not read the first visible ID mark, but may read the next ID mark. Even in this case, productivity can be improved by reducing the work of adjusting the field of view.

なおここでは、左右への分け方を、刻印する者がウェーハメーカーであるかデバイスメーカーであるかによって区別した場合について示した。しかし、これに限られるものではなく、例えば、IDマークを、基準位置の一方側に付されたウェーハの製造履歴を示すウェーハIDマークと、基準位置の他方側に付された製造物の製造履歴を示す製造物IDマークとに分けても構わない。   In addition, here, the way of dividing into right and left is shown for the case where the person who stamps is a wafer maker or a device maker. However, the present invention is not limited to this. For example, a wafer ID mark indicating a manufacturing history of a wafer attached to one side of the reference position, and a manufacturing history of a product attached to the other side of the reference position. The product ID mark may be divided into

(第4実施形態の変形例)
第4の実施の形態と同様な効果は、図8に示したウェーハメーカーのIDマーク37とデバイスメーカーのIDマーク38をウェーハの表裏両面に分けてマーキングしても期待することができる。特に、ウェーハ裏面側のベベル部にウェーハメーカーが刻印し、表面側ベベルにデバイスメーカーが刻印した場合には、別の新たな効果を期待することができる。
(Modification of the fourth embodiment)
The same effect as that of the fourth embodiment can be expected even if the wafer manufacturer ID mark 37 and the device manufacturer ID mark 38 shown in FIG. In particular, when a wafer maker imprints on the bevel portion on the back side of the wafer and a device maker imprints on the front bevel, another new effect can be expected.

最近の半導体製造プロセスではCMP工程を多用する。CMP工程を経ると、表面側ベベル部に刻印したIDマークは消失しやすい。消失すれば改めて刻印すれば良いのであるが、刻印すべきIDマークが消失しているため、何を刻印すれば良いのか分からなくなってしまっている。   In a recent semiconductor manufacturing process, a CMP process is frequently used. After the CMP process, the ID mark engraved on the front-side bevel portion tends to disappear. If it disappears, it may be engraved again. However, since the ID mark to be engraved has disappeared, it is not possible to know what should be engraved.

そこで、ウェーハメーカーのIDマークをCMP工程でも消失しない裏面側ベベル部に刻印し、デバイスメーカーのIDマークを表面側ベベル部に刻印する。また、ウェーハメーカー及びデバイスメーカーのIDマークに含まれるウェハ及び製造物に関する情報を、ホストコンピュータに保存する。この様にすることで、製造工程途中にデバイスメーカーのIDマークが消失してしまっても、ウェーハメーカーのIDマークを読み取って、それに対応したデバイスメーカーのIDマークをホストコンピュータからダウンロードして再度刻印することが可能である。   Therefore, the wafer manufacturer's ID mark is imprinted on the backside bevel portion that does not disappear even in the CMP process, and the device manufacturer's ID mark is imprinted on the front surface side bevel portion. In addition, information regarding the wafer and the product included in the wafer manufacturer and device manufacturer ID marks is stored in the host computer. By doing this, even if the device manufacturer's ID mark disappears during the manufacturing process, the wafer manufacturer's ID mark is read, and the corresponding device manufacturer's ID mark is downloaded from the host computer and stamped again. Is possible.

IDマークの消失に対する対策としては、ウェーハ表裏両面のベベル部にデバイスメーカーの製造番号を刻印するという方法も考えられる。しかし、同じIDマークを2つ刻印するということは、刻印に2倍の時間を要するということであり、生産性を考慮すると好ましくはない。ウェーハメーカーで刻印してくるIDマークを用いる方が、生産効率上は有利である。   As a countermeasure against the disappearance of the ID mark, a method of engraving the device manufacturer's serial number on the bevel portions on both the front and back sides of the wafer is also conceivable. However, imprinting two identical ID marks means that it takes twice as long as the imprinting, which is not preferable in consideration of productivity. It is more advantageous in terms of production efficiency to use an ID mark engraved by a wafer manufacturer.

(第5の実施の形態)
図11は、第5の実施の形態に係る半導体ウェーハの全体平面図であり、図12はその要部斜視断面図である。図11及び図12に示すように、第5の実施の形態に係る半導体ウェーハは、単結晶シリコンからなる基体ウェーハ42と、基体ウェーハ42の主面上に配置された絶縁層45と、絶縁層45の上に配置された単結晶シリコンからなる単結晶シリコン層41と、単結晶シリコン層41上に形成された製造物46と、基体ウェーハ42に付され、製造物46、製造物46の製造条件、及び製造物46についての検査結果を少なくとも示すIDマーク44と、基体ウェーハ42の外周部に形成されたノッチ43とを具備するSilicon on Insulator(SOI)ウェーハである。ここでは、絶縁層45として埋め込み酸化膜を用いる。また、単結晶シリコン層をSOI層41を呼ぶ。埋め込み酸化膜45及びSOI層41は、基体ウェーハ42の外周部を除いた、基体シリコンウェーハ42の内側部分に配置されている。したがって、基体ウェーハ42の主面の外周部は露出している。ノッチ43が形成されている部分には、比較的広い領域の基体ウェーハ42が露出している。IDマーク44は、ノッチ43が形成されている部分の基体ウェーハ42の主面上に付されている。一連の半導体製造工程において、様々な製造物46をSOI層41の上に形成することにより、SOIウェーハの上に半導体集積回路を製造することができる。
(Fifth embodiment)
FIG. 11 is an overall plan view of a semiconductor wafer according to the fifth embodiment, and FIG. 12 is a perspective sectional view of an essential part thereof. As shown in FIGS. 11 and 12, the semiconductor wafer according to the fifth embodiment includes a base wafer 42 made of single crystal silicon, an insulating layer 45 disposed on the main surface of the base wafer 42, and an insulating layer. A single crystal silicon layer 41 made of single crystal silicon disposed on 45, a product 46 formed on the single crystal silicon layer 41, and a product 46 and a product 46 manufactured on the base wafer 42. This is a silicon on insulator (SOI) wafer having an ID mark 44 indicating at least the conditions and the inspection result of the product 46 and a notch 43 formed on the outer periphery of the base wafer 42. Here, a buried oxide film is used as the insulating layer 45. The single crystal silicon layer is called an SOI layer 41. The buried oxide film 45 and the SOI layer 41 are disposed on the inner portion of the base silicon wafer 42 excluding the outer peripheral portion of the base wafer 42. Therefore, the outer peripheral portion of the main surface of the base wafer 42 is exposed. A relatively wide area of the base wafer 42 is exposed at the portion where the notch 43 is formed. The ID mark 44 is attached on the main surface of the base wafer 42 where the notch 43 is formed. By forming various products 46 on the SOI layer 41 in a series of semiconductor manufacturing processes, a semiconductor integrated circuit can be manufactured on the SOI wafer.

次に、第5の実施の形態に係るSOIウェーハにおけるIDマークのマーキング方法を説明する。まず、200mmφのSOIウェーハを用意する。用意されたSOIウェーハにおいて、基体ウェーハ42、埋め込み酸化膜45、及びSOI層41は同一の平面形状を有している。フォトリソグラフィ法を用いてSOI層41の上に、図11に示すSOI層41と同一形状を有するレジストパターンを形成する。このレジストパターンをマスクとして、ウェーハ外周部のSOI層41をKOH水溶液でエッチングして、ウェーハ外周部の埋め込み酸化膜45を選択的に露出させる。   Next, an ID mark marking method for an SOI wafer according to the fifth embodiment will be described. First, a 200 mmφ SOI wafer is prepared. In the prepared SOI wafer, the base wafer 42, the buried oxide film 45, and the SOI layer 41 have the same planar shape. A resist pattern having the same shape as that of the SOI layer 41 shown in FIG. 11 is formed on the SOI layer 41 by photolithography. Using this resist pattern as a mask, the SOI layer 41 on the outer periphery of the wafer is etched with a KOH aqueous solution to selectively expose the buried oxide film 45 on the outer periphery of the wafer.

続いて、埋め込み酸化膜45をHF水溶液によりエッチングして除去して、IDマーク44を付す領域を含む基体ウェーハ42の外周部を選択的に露出させる。レジストパターンを除去した後に、基体ウェーハ42のノッチ43周辺に、YAGレーザを用いて深さ5um、直径30umのドットマークを複数刻印してIDマーク44を形成する。   Subsequently, the buried oxide film 45 is removed by etching with an HF aqueous solution to selectively expose the outer peripheral portion of the base wafer 42 including the region to which the ID mark 44 is attached. After removing the resist pattern, a plurality of dot marks having a depth of 5 μm and a diameter of 30 μm are formed around the notch 43 of the base wafer 42 by using a YAG laser to form an ID mark 44.

IDマーク44を読み取ったところ、認識率はバルクウェーハと差異はなく、また、基体シリコンウェーハ42上にIDマーク44を付すことでドット部の異常は全く発生しない。   When the ID mark 44 is read, the recognition rate is not different from that of the bulk wafer, and by attaching the ID mark 44 on the base silicon wafer 42, no abnormality of the dot portion occurs.

なおここでは、SOIウェーハの一部のSOI層41及び埋め込み酸化膜45をエッチングにより除去した。しかし、SOIウェーハの作成段階で異なる面積のウェーハを貼り合わせることで、面積差に相当する部分は基体ウェーハ42が露出する。したがって、この部分にIDマーク44を付しても構わない。ウェーハを貼り合わせる方法として、例えば、ノッチウェーハを基体ウェーハ42側、オリフラウェーハをSOI層41側に貼り合わせる方法がある。また、SIMOX法では、酸素イオン注入時にマーキングを施す所に遮蔽板を設置することで、基体ウェーハ42の露出部分を形成し、この部分にマーキングしても構わない。   Here, a part of the SOI layer 41 and the buried oxide film 45 of the SOI wafer are removed by etching. However, by bonding wafers with different areas in the SOI wafer creation stage, the base wafer 42 is exposed at a portion corresponding to the area difference. Therefore, the ID mark 44 may be attached to this portion. As a method of bonding the wafers, for example, there is a method of bonding the notch wafer to the base wafer 42 side and bonding the orientation flat wafer to the SOI layer 41 side. In the SIMOX method, an exposed portion of the base wafer 42 may be formed by placing a shielding plate at a place where marking is performed during oxygen ion implantation, and this portion may be marked.

このように第5の実施の形態では、SOIウェーハにおいて基体ウェーハ42の表面(SOI層41及び埋め込み酸化膜45の無い領域)にレーザにより複数のドットマークを刻印してIDマーク44を形成した。この様にして、SOIウェーハであっても通常のバルクウェーハと同様にマーキングが可能となる。   As described above, in the fifth embodiment, the ID mark 44 is formed by imprinting a plurality of dot marks with the laser on the surface of the base wafer 42 (region without the SOI layer 41 and the buried oxide film 45) in the SOI wafer. In this way, even an SOI wafer can be marked in the same way as a normal bulk wafer.

(第5の実施の形態の比較例)
比較例として、SOI層にレーザを照射してドットマークを形成する場合を示す。SOIウェーハは、図13に示すように、基体ウェーハ47、埋め込み酸化膜48、及びSOI層49が順番に積層された構成を有する。SOI層49の厚さはデバイスにより異なるが、高速MOSトランジスタを作成する場合は1um以下が一般的である。SOI層49に対してレーザを照射した場合、入射したレーザは、埋め込み酸化膜48の所で拡散してしまい、埋め込み酸化膜48下に比較的大きなドット50を形成してしまう。大きなドット50は、埋め込み酸化膜48の剥がれ、後のデバイス工程におけるダスト51の発生などの原因になるおそれがある。
(Comparative example of the fifth embodiment)
As a comparative example, a case where a dot mark is formed by irradiating an SOI layer with a laser is shown. As shown in FIG. 13, the SOI wafer has a structure in which a base wafer 47, a buried oxide film 48, and an SOI layer 49 are laminated in order. Although the thickness of the SOI layer 49 varies depending on the device, it is generally 1 μm or less when a high-speed MOS transistor is formed. When the SOI layer 49 is irradiated with laser, the incident laser diffuses at the buried oxide film 48 and forms a relatively large dot 50 under the buried oxide film 48. The large dots 50 may cause peeling of the buried oxide film 48 and generation of dust 51 in a subsequent device process.

第5の実施の形態では、基体ウェーハ42に対してレーザを照射するため、上記の問題が生じることがない。また、比較例の製造方法に比べ付加工程を付けることなく、コストアップ無しに工業的に安価に提供することができる。   In the fifth embodiment, since the laser beam is irradiated to the base wafer 42, the above problem does not occur. Moreover, it can provide industrially cheaply without adding an additional process compared with the manufacturing method of a comparative example, without an increase in cost.

以上説明したように、第5の実施の形態によれば、SOIウェーハにおいても、埋め込み酸化膜48の剥がれ、デバイス工程でのダスト51の発生を抑えて、通常ウェーハと同様にマーキングを行うことができる。   As described above, according to the fifth embodiment, marking can be performed in the same manner as in a normal wafer by suppressing the peeling of the buried oxide film 48 and the generation of dust 51 in the device process even in an SOI wafer. it can.

(第5実施形態の変形例)
図14は、第5の実施の形態の変形例に係る半導体ウェーハの要部外観図である。ここでは、200mmφのSOIウェーハ52を用意し、基体ウェーハの外周部に形成されたベベル部53aにYAGレーザを用いて深さ0.5um、直径5umのドットマークを複数形成してIDマーク54が付されている。IDマーク54を読み取ったところ、認識率はバルクウェーハと差異がなく、ドットマーク部の異常は全く発生しなかった。なお、SOIウェーハの製造方法は、SIMOX法でもあるいは貼り合わせ法でも構わない。
(Modification of the fifth embodiment)
FIG. 14 is an external view of a main part of a semiconductor wafer according to a modification of the fifth embodiment. Here, a 200 mmφ SOI wafer 52 is prepared, and a plurality of dot marks having a depth of 0.5 μm and a diameter of 5 μm are formed on a bevel portion 53a formed on the outer peripheral portion of the base wafer by using a YAG laser. It is attached. When the ID mark 54 was read, the recognition rate was not different from that of the bulk wafer, and no abnormality of the dot mark portion occurred. Note that the SOI wafer manufacturing method may be a SIMOX method or a bonding method.

(ノッチレスウェーハの実施例)
第1乃至第5の実施の形態では、製造物に関する情報を示すIDマークの刻印及び読み取りは、半導体ウェーハの基準位置であるノッチを基準にして行っていた。
(Example of notchless wafer)
In the first to fifth embodiments, the marking and reading of the ID mark indicating the information related to the product is performed based on the notch that is the reference position of the semiconductor wafer.

しかし、ノッチ或いはオリエンテーションフラットは、半導体ウェーハの形状的な観点からプロセス制御性を低下させ、製品の性能を落してしまうおそれがある。例えば、リソグラフィー工程において、レジスト塗布膜厚の不均一性に伴うレジストパターン寸法のばらつきが発生する。また、スピンエッチング装置において、エッチング量の不均一性に伴う絶縁膜の残存を招く。更に、酸化/LP−CVD装置において、ウェーハボートにウェーハを搬送する際にウェーハボートの固定爪に対してノッチ或いはオリエンテーションフラット部分からずらす必要がある。したがって、あらかじめ基準位置合わせを行わなければならない。即ち、ノッチ或いはオリエンテーションフラットが存在するが故に製造装置側に基準位置合わせ機構を付加させざるを得なくなり、製造装置のコストアップに繋がる。その他に、ノッチは特異点となるため均熱性が悪くなる。デバイス評価の結果、ノッチ近傍に不良チップが集中する場合がある。   However, the notch or the orientation flat may deteriorate the process controllability from the viewpoint of the shape of the semiconductor wafer and deteriorate the product performance. For example, in the lithography process, variations in resist pattern dimensions occur due to non-uniformity of the resist coating film thickness. Further, in the spin etching apparatus, the insulating film is left due to non-uniform etching amount. Further, in the oxidation / LP-CVD apparatus, when transferring wafers to the wafer boat, it is necessary to shift from the notch or the orientation flat portion with respect to the fixed claw of the wafer boat. Therefore, reference alignment must be performed in advance. That is, since there is a notch or an orientation flat, it is necessary to add a reference positioning mechanism to the manufacturing apparatus, leading to an increase in the cost of the manufacturing apparatus. In addition, since the notch becomes a singular point, the heat uniformity becomes worse. As a result of device evaluation, defective chips may concentrate near the notch.

ウェーハ表面及びベベル部に比べてノッチ部分(凹部分)には、製造工程中にレジスト剤のような堆積物が付着しやすく、この付着物を除去するのが難しい。したがって、後の工程中にノッチから遊離した付着物がパーティクルとしてウェーハを汚染する可能性が高い。また、オリエンテーションフラット、ノッチがあるために、その部分にデバイスを作ることが制限され、ウェーハ1枚当たり取れる総チップ数(Gross)を低下させていた。ノッチ或いはオリエンテーションフラットがウェーハに存在するが故に、以上示したような製造上の不具合を生じることがある。   Compared with the wafer surface and the bevel portion, deposits such as a resist agent easily adhere to the notch portion (recessed portion) during the manufacturing process, and it is difficult to remove the deposit. Therefore, there is a high possibility that deposits released from the notch during the subsequent process contaminate the wafer as particles. In addition, since there are orientation flats and notches, it is limited to make devices in those parts, and the total number of chips (Gross) that can be taken per wafer is reduced. Since notches or orientation flats are present on the wafer, the above-described manufacturing defects may occur.

しかし一方、結晶方位によってキャリアの移動度、エッチング速度、エピタキシャル成長層の成長速度などが異なる。従って、プロセス制御性向上の観点により、半導体ウェーハからノッチ或いはオリエンテーションフラットを無くした場合、ウェーハの結晶方位を制御することが困難となる。このことにより、例えば、イオン注入工程における不純物プロファイルのばらつき、またはトランジスタにおける移動度のばらつきを招き、製品の動作不良をもたらす。   However, the carrier mobility, the etching rate, the growth rate of the epitaxial growth layer, and the like differ depending on the crystal orientation. Therefore, from the viewpoint of improving process controllability, when the notch or orientation flat is eliminated from the semiconductor wafer, it becomes difficult to control the crystal orientation of the wafer. This causes, for example, a variation in impurity profile in the ion implantation process or a variation in mobility in the transistor, resulting in a malfunction of the product.

そこで、第6乃至第11の実施の形態では、ウェーハの基準位置としてウェーハの結晶方位を認知するための基準IDマークが形成されたウェーハであって、ノッチ或いはオリエンテーションフラットが存在しない、ウェーハの外周が円形である半導体ウェーハについて説明する。   Therefore, in the sixth to eleventh embodiments, the wafer is formed with a reference ID mark for recognizing the crystal orientation of the wafer as the reference position of the wafer, and there is no notch or orientation flat. A semiconductor wafer having a circular shape will be described.

(第6の実施の形態)
図15は、第6の実施の形態に係わる半導体ウェーハの第1主面全体を示す平面図である。ウェーハ60の外周部にはノッチ或いはオリエンテーションフラットが存在せず、ウェーハ60外周の形状は円形である。ウェーハ60の外周部にはベベル部が形成されている。ベベル部の内側には、製造物が形成されるウェーハ60の第1主面が配置されている。ウェーハ60の第1主面の形状も円形である。ウェーハ60の第1主面の面方位は、(100)である。したがって、ウェーハ60の第1主面内には[011] 方位線が存在する。[011] 方位線上のベベル部に、ウェーハ60の第1主面内の結晶方位を認知する為の基準IDマーク61が1ヶ形成されている。基準IDマーク61は、英数字、バーコード、二次元コードの何れであっても構わない。例えば、マトリックス式の二次元コードであれば、縦8ヶ×横32ヶ、あるいは縦16ヶ×横16ヶのドットマークで構成される。ここでは、基準IDマーク61が二次元コードである場合について説明を続ける。
(Sixth embodiment)
FIG. 15 is a plan view showing the entire first main surface of the semiconductor wafer according to the sixth embodiment. There are no notches or orientation flats on the outer periphery of the wafer 60, and the outer periphery of the wafer 60 has a circular shape. A bevel portion is formed on the outer peripheral portion of the wafer 60. A first main surface of the wafer 60 on which a product is formed is disposed inside the bevel portion. The shape of the first main surface of the wafer 60 is also circular. The plane orientation of the first main surface of the wafer 60 is (100). Accordingly, the [011] orientation line exists in the first main surface of the wafer 60. One reference ID mark 61 for recognizing the crystal orientation in the first main surface of the wafer 60 is formed on the bevel portion on the orientation line. The reference ID mark 61 may be any of alphanumeric characters, barcodes, and two-dimensional codes. For example, in the case of a matrix type two-dimensional code, it is composed of dot marks of 8 vertical x 32 horizontal or 16 vertical x 16 horizontal. Here, the description is continued for the case where the reference ID mark 61 is a two-dimensional code.

図16は、基準IDマーク61が形成されたベベル部を部分的に拡大した平面図である。基準IDマーク61は、L字ガイドセル62を含むマトリックス式の2次元コードからなる。L字ガイドセル62の位置を基準にして、第1主面(100)内の結晶方位線(例えば、 [011] 方位線)を特定する。ここでは、L字ガイドセル62は、 [011] 方位線に対して±1.0度の範囲に配置されている。即ち、L字ガイドセル62は結晶方位線にほぼ一致するように配置されている。   FIG. 16 is a partially enlarged plan view of the bevel portion on which the reference ID mark 61 is formed. The reference ID mark 61 is composed of a matrix type two-dimensional code including an L-shaped guide cell 62. With reference to the position of the L-shaped guide cell 62, a crystal orientation line (for example, [011] orientation line) in the first main surface (100) is specified. Here, the L-shaped guide cell 62 is arranged in a range of ± 1.0 degrees with respect to the [011] azimuth line. That is, the L-shaped guide cell 62 is disposed so as to substantially coincide with the crystal orientation line.

図17は、L字ガイドセル62を含むマトリックス式の2次元コード61を示す拡大平面図である。2次元コード61は、16×16のドットマークから構成されるデータマトリックスコードである。方形状の2次元コード61の一辺の長さは、例えば100μmである。L字ガイドセル62は、2次元コード61の互いに垂直に交わる2辺上に形成された31個のドットマークからなる。L字ガイドセル62を [011] 方位線上に配置することにより、[011] 方位線が特定される。   FIG. 17 is an enlarged plan view showing a matrix type two-dimensional code 61 including an L-shaped guide cell 62. The two-dimensional code 61 is a data matrix code composed of 16 × 16 dot marks. The length of one side of the rectangular two-dimensional code 61 is, for example, 100 μm. The L-shaped guide cell 62 is composed of 31 dot marks formed on two sides of the two-dimensional code 61 that are perpendicular to each other. By arranging the L-shaped guide cell 62 on the [011] azimuth line, the [011] azimuth line is specified.

ドットマークは、以下のようにして形成される。例えば、ガウシアン形状のエネルギー密度分布を持つHe−Neレーザ光をウェーハ表面で結像した状態で照射する。これにより、ウェーハ表面が溶融、再結晶化する過程で、例えばサイズ5μm、段差0.5μmの微小突起部(ドットマーク)が形成される。複数のドットマークからなる基準IDマーク61は、露光装置、およびイオン注入装置等に装備された読み取り装置によって検出することができる。   The dot mark is formed as follows. For example, He—Ne laser light having a Gaussian-shaped energy density distribution is irradiated in a state of being imaged on the wafer surface. As a result, in the process of melting and recrystallization of the wafer surface, for example, minute protrusions (dot marks) having a size of 5 μm and a step of 0.5 μm are formed. The reference ID mark 61 composed of a plurality of dot marks can be detected by a reading device equipped in an exposure device, an ion implantation device, or the like.

以上述べたように、ウェーハ60の基準位置となるIDマーク61を形成することにより、ノッチ或いはオリエンテーションフラットが存在しない円形のウェーハを使用して、ウェーハ間の製造ばらつきを抑制することが可能となる。したがって、ウェーハ60間の性能バラツキが少なく、スループットの高い半導体装置を製造することが可能となる。    As described above, by forming the ID mark 61 serving as the reference position of the wafer 60, it is possible to use a circular wafer having no notch or orientation flat and to suppress manufacturing variations between the wafers. . Therefore, it is possible to manufacture a semiconductor device with little performance variation between the wafers 60 and high throughput.

さらに、例えば、酸化/LP−CVD装置ではウェーハ搬送する際の基準位置合わせを省略することが可能となり、製造装置のコストダウンが実現できる。   Further, for example, in the oxidation / LP-CVD apparatus, it is possible to omit the reference position alignment at the time of wafer transfer, and the cost of the manufacturing apparatus can be reduced.

(第6の実施の形態の変形例1)
図18は、第6の実施の形態の変形例1に係わる半導体ウェーハの外観図である。図18に示すように、ウェーハ60は、ノッチ或いはオリエンテーションフラットが存在しない円形の面方位(100)が表出したウェーハである。ウェーハ外周部に形成されたベベル部に、ウェーハ60の結晶方位を認知する為の基準IDマーク(63a〜63d)が複数箇所に形成されている。具体的には、 [011] 方位線上のベベル部に2つの基準IDマーク(63b、63d)が形成され、[011] 方位線上のベベル部に2つの基準IDマーク(63a、63c)が形成されている。
(Modification 1 of 6th Embodiment)
FIG. 18 is an external view of a semiconductor wafer according to Modification 1 of the sixth embodiment. As shown in FIG. 18, the wafer 60 is a wafer in which a circular plane orientation (100) without a notch or an orientation flat is exposed. Reference ID marks (63a to 63d) for recognizing the crystal orientation of the wafer 60 are formed at a plurality of locations on the bevel portion formed on the outer periphery of the wafer. Specifically, [011] Two reference ID marks (63b, 63d) are formed on the bevel portion on the azimuth line, and two reference ID marks (63a, 63c) are formed on the bevel portion on the [011] azimuth line. ing.

複数の基準IDマークを形成することにより、仮にCMPなどにより一部の基準IDマークが消失されてしまっても、残りの基準IDマークを使用することができる。また、形成する基準IDマークの数を増やすことにより、結晶方位をより高精度に認知できるようになる。   By forming a plurality of reference ID marks, even if some reference ID marks are lost by CMP or the like, the remaining reference ID marks can be used. Further, by increasing the number of reference ID marks to be formed, the crystal orientation can be recognized with higher accuracy.

なお、図18においては、基準IDマーク(63a、63c)を総て結晶方位線上に形成した場合を示したが、これに限定されるものではない。例えば、図19に示すように、互いに直交する結晶方位線の間に、基準IDマーク(64a、64c)を形成しても構わない。この場合、基準IDマーク(64a、64c)には、結晶方位線とL字ガイドセルとの位置関係を示す情報が含まれている。   Although FIG. 18 shows the case where all the reference ID marks (63a, 63c) are formed on the crystal orientation line, the present invention is not limited to this. For example, as shown in FIG. 19, reference ID marks (64a, 64c) may be formed between crystal orientation lines orthogonal to each other. In this case, the reference ID mark (64a, 64c) includes information indicating the positional relationship between the crystal orientation line and the L-shaped guide cell.

(第6の実施の形態の変形例2)
図20は、第6の実施の形態の変形例2に係わる半導体ウェーハの外観図である。ウェーハ60には、 [011] 方位線上からずれた位置に基準IDマーク65が形成されている。基準IDマーク65には、結晶方位線に対する位置座標に関する情報が含まれている。ここでは、基準IDマーク65は、[011] 方位線から反時計方向に5°ずれた位置に形成されている。
(Modification 2 of the sixth embodiment)
FIG. 20 is an external view of a semiconductor wafer according to Modification 2 of the sixth embodiment. A reference ID mark 65 is formed on the wafer 60 at a position shifted from the [011] azimuth line. The reference ID mark 65 includes information regarding the position coordinates with respect to the crystal orientation line. Here, the reference ID mark 65 is formed at a position shifted by 5 ° counterclockwise from the [011] azimuth line.

図21は、基準IDマーク65が形成されたウェーハ60の外周部を拡大した平面図である。基準IDマーク65は、「011+5829TAC3」という英数字からなる。英数字の中の「011」は、 [011] 方位線を示す。「+5」は、 [011] 方位線から反時計方向に5°ずれた位置に「+」のマークが形成されていることを示す。   FIG. 21 is an enlarged plan view of the outer periphery of the wafer 60 on which the reference ID mark 65 is formed. The reference ID mark 65 is composed of alphanumeric characters “011 + 5829TAC3”. “011” in alphanumeric characters indicates [011] bearing line. “+5” indicates that a “+” mark is formed at a position shifted by 5 ° counterclockwise from the azimuth line.

(第6の実施の形態の変形例3)
第3の実施の形態の変形例2と同様にして、ベベル部をウェーハの厚さ方向に幾つかの領域に区分して、各区分に同一内容を示す基準IDマークをそれぞれ刻印しても構わない。
(Modification 3 of the sixth embodiment)
Similarly to the second modification of the third embodiment, the bevel portion may be divided into several regions in the thickness direction of the wafer, and reference ID marks indicating the same contents may be engraved in the respective portions. Absent.

図7に示したように、ベベル部32は、ウェーハ31の第1主面29の側に位置する第1ベベル部32aと、第1主面29に対向する第2主面30の側に位置する第2ベベル部32bとを具備する。同一内容を示す基準IDマーク(33a、33b)が、第1ベベル部32a及び第2ベベル部32bにそれぞれ付されている。即ち、同一内容の基準IDマーク33a,33bがウェーハ31の表面側外周部と裏面側外周部にそれぞれ刻印されている。   As shown in FIG. 7, the bevel portion 32 is located on the first bevel portion 32 a located on the first major surface 29 side of the wafer 31 and on the second major surface 30 side facing the first major surface 29. And a second bevel portion 32b. Reference ID marks (33a, 33b) indicating the same contents are respectively attached to the first bevel portion 32a and the second bevel portion 32b. That is, the reference ID marks 33a and 33b having the same contents are stamped on the outer peripheral portion on the front surface side and the outer peripheral portion on the back surface side of the wafer 31, respectively.

このようにベベル部32をウェーハ31の厚さ方向に幾つかの領域に区分して、各区分に同一内容を示す基準IDマークを刻印する。ウェーハ31の表面29側外周部に刻印された基準IDマーク33aがCMP工程で仮に消滅しても、ウェーハ31の裏面30に近い部分に刻印された基準IDマーク33bは残存し読み取ることができる。結果的に、基準IDマークの刻印、及び読み取りの時間が短縮され、スループットの向上を図ることができる。   In this way, the bevel portion 32 is divided into several regions in the thickness direction of the wafer 31, and a reference ID mark indicating the same content is engraved in each division. Even if the reference ID mark 33a stamped on the outer peripheral portion on the front surface 29 side of the wafer 31 disappears temporarily in the CMP process, the reference ID mark 33b stamped on the portion near the back surface 30 of the wafer 31 remains and can be read. As a result, the time for engraving and reading the reference ID mark is shortened, and the throughput can be improved.

(第7の実施の形態)
第7の実施の形態では、ウェーハの第1主面に表出した結晶方位面に対して垂直な結晶方位線を測定し,基準IDマークをウェーハ上の所望の位置に付与する半導体ウェーハの製造装置及び半導体ウェーハの製造方法について説明する。第7の実施の形態に係る半導体ウェーハの製造装置は、ウェーハの結晶方位を測定する方位測定系と、そのウェーハの結晶方位の測定結果を基にしてウェーハの所望の位置に基準IDマークを付与するマーキング系とを具備する。
(Seventh embodiment)
In the seventh embodiment, a semiconductor wafer is manufactured by measuring a crystal orientation line perpendicular to the crystal orientation plane expressed on the first main surface of the wafer and providing a reference ID mark at a desired position on the wafer. An apparatus and a method for manufacturing a semiconductor wafer will be described. The semiconductor wafer manufacturing apparatus according to the seventh embodiment assigns a reference ID mark to a desired position on the wafer based on the orientation measurement system for measuring the crystal orientation of the wafer and the measurement result of the crystal orientation of the wafer. Marking system.

図22は、第7の実施の形態に係る半導体ウェーハの製造装置の構成を示すブロック図である。半導体ウェーハの製造装置は、ウェーハ71の第1主面に対向する第2主面にX線72を照射するX線管80と、ウェーハ71によって散乱されたX線74を検出する2次元のX線検出器75と、ウェーハ71によって散乱されたX線74によって形成される2次元像(ラウエ像)を表示するモニタ76と、ウェーハ71の外周部にレーザ光78を照射して基準IDマークを形成するためのレーザ光源77及びミラー79と、レーザ光78の照射位置と結晶方位線との間のずれ角度を測定する測定系と、ウェーハ或いはレーザーマーカを回転する回転系とを有する。X線管80、X線検出器75、及びモニタ76が方位測定系に相当する。レーザ光源77及びミラー79からなるレーザマーカ、測定系及び回転系がマーキング系に相当する。ここでは、X線検出器75は、ウェーハ71の第1主面側に配置され、ウェーハ71を透過し、且つウェーハ71によって散乱されたX線74を検出する。   FIG. 22 is a block diagram showing a configuration of a semiconductor wafer manufacturing apparatus according to the seventh embodiment. The semiconductor wafer manufacturing apparatus detects an X-ray tube 80 that irradiates a second main surface opposite to the first main surface of the wafer 71 with an X-ray 72, and a two-dimensional X-ray that detects X-rays 74 scattered by the wafer 71. A line detector 75, a monitor 76 that displays a two-dimensional image (Laue image) formed by X-rays 74 scattered by the wafer 71, and a laser beam 78 is applied to the outer periphery of the wafer 71 to mark a reference ID mark. It has a laser light source 77 and a mirror 79 for forming, a measuring system for measuring a deviation angle between the irradiation position of the laser light 78 and the crystal orientation line, and a rotating system for rotating the wafer or the laser marker. The X-ray tube 80, the X-ray detector 75, and the monitor 76 correspond to an azimuth measuring system. A laser marker including the laser light source 77 and the mirror 79, a measurement system, and a rotation system correspond to a marking system. Here, the X-ray detector 75 is disposed on the first main surface side of the wafer 71, and detects the X-rays 74 that are transmitted through the wafer 71 and scattered by the wafer 71.

X線検出器75の一部には、X線ダイレクトビームストッパー73が配置されている。X線ダイレクトビームストッパー73は、ウェーハ71によって散乱されずにそのまま透過したX線72が蛍光板及びCCDカメラ75へ入射することを防止する。なお、ウェーハ71は、基準位置及び結晶方位を認知するためのノッチ或いはオリエンテーションフラットが存在しない円形の半導体ウェーハである。X線検出器75は、ウェーハ71と平行に配置された蛍光板及びCCDカメラからなる。蛍光板は、X線が照射されることで蛍光を発する。CCDカメラはこの蛍光を検知して電気信号へ変換する。   An X-ray direct beam stopper 73 is disposed in a part of the X-ray detector 75. The X-ray direct beam stopper 73 prevents the X-rays 72 that are transmitted without being scattered by the wafer 71 from entering the fluorescent screen and the CCD camera 75. The wafer 71 is a circular semiconductor wafer having no notch or orientation flat for recognizing the reference position and crystal orientation. The X-ray detector 75 includes a fluorescent screen and a CCD camera arranged in parallel with the wafer 71. The fluorescent plate emits fluorescence when irradiated with X-rays. The CCD camera detects this fluorescence and converts it into an electrical signal.

図23は、図22に示した半導体ウェーハの製造装置を用いた半導体ウェーハの製造方法を示すフローチャートである。また、図24乃至図26は、主要な製造工程におけるウェーハ71の回転角度及びモニタ76上に表示されたラウエ像を示す。   FIG. 23 is a flowchart showing a semiconductor wafer manufacturing method using the semiconductor wafer manufacturing apparatus shown in FIG. 24 to 26 show the rotation angle of the wafer 71 and the Laue image displayed on the monitor 76 in the main manufacturing process.

(イ)S01段階において、ウェーハ主面に(100)結晶方位面が表出した、直径300mmのウェーハ71を、製造装置内に搬送する。このとき、ウェーハステージに対してウェーハ71のノッチ或いはオリエンテーションフラットの位置合わせを行う必要はない。   (A) In step S01, a wafer 71 having a diameter of 300 mm and having a (100) crystal orientation surface exposed on the main surface of the wafer is transferred into the manufacturing apparatus. At this time, it is not necessary to align the notch or the orientation flat of the wafer 71 with respect to the wafer stage.

(ロ)S02段階において、ウェーハ71の中心が回転機構を備えたウェーハステージの回転中心と一致するように、ウェーハステージ上でのウェーハ71の位置を調整する。S03段階において、モリブデン(Mo)ターゲットを備えたX線管80から、電圧40kV、電流30mAの条件で連続X線72をウェーハ71の第2主面に対して照射する。X線72は、ウェーハ71によって散乱されて蛍光板へ導かれる。   (B) In step S02, the position of the wafer 71 on the wafer stage is adjusted so that the center of the wafer 71 coincides with the rotation center of the wafer stage provided with the rotation mechanism. In step S03, the second main surface of the wafer 71 is irradiated with continuous X-rays 72 from an X-ray tube 80 equipped with a molybdenum (Mo) target under conditions of a voltage of 40 kV and a current of 30 mA. X-rays 72 are scattered by the wafer 71 and guided to the fluorescent screen.

(ハ)S04段階において、X線74による蛍光板の蛍光をCCDカメラで撮像したラウエ像を取得して、モニタ76上に表示する。このラウエ像からウェーハ71の結晶方位線を検知することができる。この時のウェーハ71の回転角度とラウエ像との関係を、図24(a)及び図24(b)に示す。   (C) In step S04, a Laue image obtained by capturing the fluorescence of the fluorescent screen by the X-ray 74 with a CCD camera is acquired and displayed on the monitor 76. The crystal orientation line of the wafer 71 can be detected from this Laue image. 24A and 24B show the relationship between the rotation angle of the wafer 71 and the Laue image at this time.

(ニ)S05段階において、このラウエ像を基づいて、レーザーマーカ(77、79)のレーザ照射スポットとウェーハ71の [011]方位線とのずれ角度(θ)を算出する。S06段階において、ウェーハ71の[011]方位線とレーザーマーカ(77、79)のレーザ照射スポットとが重なるようにウェーハ71を回転させる。   (D) In step S05, based on this Laue image, a deviation angle (θ) between the laser irradiation spot of the laser marker (77, 79) and the [011] azimuth line of the wafer 71 is calculated. In step S06, the wafer 71 is rotated so that the [011] orientation line of the wafer 71 and the laser irradiation spot of the laser marker (77, 79) overlap.

(ホ)ウェーハ71に再度X線を照射し(S07)、ラウエ像を取得する(S08)ことで、[011]方位線上とレーザーマーカ(77、79)のレーザ照射スポットの重なりを評価する(S09)。回転後のウェーハ71の位置とラウエ像との関係を、図25(a)及び図25(b)に示す。なお、ウェーハ71を回転させる代わりに、レーザマーカ(77、79)を回転させても構わない。そして、S10段階において、ずれ角度が1°以上あれば、S06段階(ウェーハ回転)に戻る。ずれ角度が1°未満ならば、S11段階へ進む。   (E) The wafer 71 is again irradiated with X-rays (S07), and a Laue image is acquired (S08), thereby evaluating the overlap of the laser irradiation spots on the [011] azimuth line and the laser markers (77, 79) ( S09). The relationship between the position of the wafer 71 after rotation and the Laue image is shown in FIGS. 25 (a) and 25 (b). Instead of rotating the wafer 71, the laser markers (77, 79) may be rotated. In step S10, if the deviation angle is 1 ° or more, the process returns to step S06 (wafer rotation). If the deviation angle is less than 1 °, the process proceeds to S11.

(ヘ)S11段階において、基準IDマークを形成する位置を検出する。S12段階において、図26に示すように、[011]方位線上のウェーハ71の端から2mmの位置にレーザーマーカ(77、79)を用いて、複数のドットマークから成る基準IDマーク81を刻印する。ドットマークは、ウェーハ71の一部分を溶解して形成される凹部から成る。複数のドットマークの配列によって数式を表す基準IDマーク81が形成される。   (F) In step S11, the position where the reference ID mark is formed is detected. In step S12, as shown in FIG. 26, a reference ID mark 81 composed of a plurality of dot marks is imprinted using a laser marker (77, 79) at a position 2 mm from the edge of the wafer 71 on the [011] azimuth line. . The dot mark includes a recess formed by melting a part of the wafer 71. A reference ID mark 81 representing a mathematical expression is formed by the arrangement of a plurality of dot marks.

(ト)その後、ウェーハ71を製造装置外に搬出する。ウェーハ71の装置内への搬送(S01)から処理後の搬出(S13)までに要する時間はウェーハ一枚あたり9秒であった。   (G) Thereafter, the wafer 71 is carried out of the manufacturing apparatus. The time required from the transfer of the wafer 71 into the apparatus (S01) to the unloading after the processing (S13) was 9 seconds per wafer.

以上説明したように、ウェーハにX線を照射して得られるラウエ像に基づいて、結晶方位線を測定してウェーハの端部に基準IDマークを付与する。従って、ノッチ或いはオリエンテーションフラットを備えない円形のウェーハを半導体製造プロセスに投入することが可能となる。各種プロセスをウェーハに施した際に,ノッチ或いはオリエンテーションフラットを備えるウェーハに比べて、第7の実施の形態に係るウェーハを用いた場合の方が、エッチング速度・成膜の成長速度・CMPの研摩速度等のウェーハ面内の均一性が向上する。また、ウェーハの結晶方位を調整する必要があるプロセス,例えばリソグラフィープロセス等では、基準IDマークを基準にして方位合わせをすることができる。   As described above, based on the Laue image obtained by irradiating the wafer with X-rays, the crystal orientation line is measured and the reference ID mark is given to the end of the wafer. Therefore, it becomes possible to put a circular wafer having no notch or orientation flat into the semiconductor manufacturing process. When various processes are performed on the wafer, the etching rate, film growth rate, and CMP polishing are better when the wafer according to the seventh embodiment is used than when the wafer is provided with a notch or orientation flat. Uniformity in the wafer surface such as speed is improved. Further, in a process in which the crystal orientation of the wafer needs to be adjusted, for example, a lithography process, the orientation can be aligned with reference to the reference ID mark.

(第7の実施の形態の変形例1)
第7の実施の形態の変形例1では、ウェーハ71によって反射されたX線74によって形成されるラウエ像に基づいてウェーハ71の結晶方位線を測定する場合について説明する。
(Modification 1 of 7th Embodiment)
In the first modification of the seventh embodiment, a case will be described in which the crystal orientation line of the wafer 71 is measured based on the Laue image formed by the X-rays 74 reflected by the wafer 71.

図27は、第7の実施の形態の変形例1に係る半導体ウェーハの製造装置の構成を示すブロック図である。図27に示す製造装置において、X線検出器82は、ウェーハ71に対してX線入射側(第2主面側)と同じ側にウェーハ71と平行に配置され、ウェーハ71によって反射されたX線74を検出する。X線管80はタングステン(W)ターゲットを備える。また、X線検出器82はX線撮像管を備えるが、ダイレクトビームストッパーは備えない。その他の構成は、図22に示した製造装置とほぼ同一である。図27に示した装置構成においても、上述した第7の実施の形態と同様な作用効果を得ることができる。   FIG. 27 is a block diagram illustrating a configuration of a semiconductor wafer manufacturing apparatus according to Modification 1 of the seventh embodiment. In the manufacturing apparatus shown in FIG. 27, the X-ray detector 82 is arranged in parallel to the wafer 71 on the same side as the X-ray incident side (second main surface side) with respect to the wafer 71, and is reflected by the wafer 71. Line 74 is detected. The X-ray tube 80 includes a tungsten (W) target. The X-ray detector 82 includes an X-ray imaging tube but does not include a direct beam stopper. Other configurations are almost the same as those of the manufacturing apparatus shown in FIG. Also in the apparatus configuration shown in FIG. 27, the same operational effects as those of the seventh embodiment described above can be obtained.

(第7の実施の形態の変形例2)
第7の実施の形態の変形例2では、レーザ光を反射させるミラー79を二軸方向に傾けることで、レーザ光の照射スポット位置を微調整する場合について説明する。
(Modification 2 of 7th Embodiment)
In the second modification of the seventh embodiment, a case will be described in which the irradiation spot position of the laser light is finely adjusted by tilting the mirror 79 that reflects the laser light in the biaxial direction.

図28の各分図は、第7の実施の形態に係る半導体ウェーハの製造装置が具備するマーキング系を示す。第7の実施の形態の変形例2では、ミラー79を [011]方位線に対して平行にチルトさせることができる。従って、ウェーハ71の端部から所望の位置にレーザ光78を照射することができる。よって、基準IDマークを、 [011]方位線上の所望の位置に形成することができる。なお、図28(b)は、ミラー79によってレーザ光78が垂直に反射される場合を示している。   Each drawing of FIG. 28 shows a marking system provided in the semiconductor wafer manufacturing apparatus according to the seventh embodiment. In the second modification of the seventh embodiment, the mirror 79 can be tilted parallel to the [011] azimuth line. Therefore, it is possible to irradiate the laser beam 78 from the end of the wafer 71 to a desired position. Therefore, the reference ID mark can be formed at a desired position on the [011] azimuth line. FIG. 28B shows a case where the laser beam 78 is reflected vertically by the mirror 79.

図29の各分図は、ミラー79及びウェーハ71をレーザ光源77側から見たときの斜視図である。ミラー79は、 [011]方位線に対して垂直にチルトさせることもできる。従って、ウェーハ71の[011]方位線から所望の位置にレーザ光78を照射することができる。よって、基準IDマークを、ウェーハ71の外周部において所望の位置に形成することができる。図29(b)は、レーザ光78がウェーハ71に垂直に入射される場合を示している。   29 are perspective views when the mirror 79 and the wafer 71 are viewed from the laser light source 77 side. The mirror 79 can also be tilted perpendicular to the [011] bearing line. Therefore, the laser beam 78 can be irradiated to a desired position from the [011] azimuth line of the wafer 71. Therefore, the reference ID mark can be formed at a desired position on the outer peripheral portion of the wafer 71. FIG. 29B shows a case where the laser beam 78 is incident on the wafer 71 perpendicularly.

図23に示したフローチャートにおいて、方位ずれ角を算出し(S05)、ウェーハを回転させた(S06)後、レーザ光78を反射させるミラー79を二軸方向に傾けることで、照射スポット位置を微調整することができる。従って、ウェーハの回転(S06)から方位ずれの判定(S10)までを繰り返し行って、ウェーハの回転角度をレーザの照射位置へ高精度に合わせ込む必要がなくなる。一度、ラウエ像を取得して(S08)、方位ずれ角を算出(S09)すれば、その後、ミラーの角度を調整することで方位ずれ角のすれを修正することができる。   In the flowchart shown in FIG. 23, the azimuth misalignment angle is calculated (S05), the wafer is rotated (S06), and then the mirror 79 that reflects the laser beam 78 is tilted in the biaxial direction to finely adjust the irradiation spot position. Can be adjusted. Therefore, it is not necessary to repeat the steps from the wafer rotation (S06) to the azimuth misalignment determination (S10) to adjust the wafer rotation angle to the laser irradiation position with high accuracy. Once the Laue image is obtained (S08) and the azimuth deviation angle is calculated (S09), the deviation of the azimuth deviation angle can be corrected by adjusting the mirror angle thereafter.

(第7の実施の形態の変形例3)
第7の実施の形態の変形例3では、レーザ光78を照射するウェーハ71上の位置がウェーハ71の端面である場合について説明する。
(Modification 3 of the seventh embodiment)
In the third modification of the seventh embodiment, a case where the position on the wafer 71 where the laser beam 78 is irradiated is the end surface of the wafer 71 will be described.

図30は、第7の実施の形態の変形例3に係る半導体ウェーハの製造装置が具備するマーキング系を示す。レーザ光源77から出射されるレーザ光78は、ウェーハ71の主面に垂直な方向に出射される。レーザ光78は、ミラー79によってほぼ垂直に反射されて、ウェーハ71の側面に照射される。   FIG. 30 shows a marking system included in the semiconductor wafer manufacturing apparatus according to Modification 3 of the seventh embodiment. Laser light 78 emitted from the laser light source 77 is emitted in a direction perpendicular to the main surface of the wafer 71. The laser beam 78 is reflected almost vertically by the mirror 79 and is irradiated onto the side surface of the wafer 71.

図31及び図32は、図30に示したマーキング系によってウェーハ71側面に形成された基準IDマークの例を示す。図31は、基準IDマークが二次元ドットマトリックスである場合を示し、図32は、基準IDマークが特に意味を持たない凹形状である場合を示す。   31 and 32 show examples of reference ID marks formed on the side surface of the wafer 71 by the marking system shown in FIG. FIG. 31 shows a case where the reference ID mark is a two-dimensional dot matrix, and FIG. 32 shows a case where the reference ID mark has a concave shape that has no particular meaning.

以上説明した第7の実施の形態、及びその変形例1乃至3において、X線管のターゲットはMo、Wに限るものではなく,銅(Cu)であっても良い。X線検出器は、蛍光板およびX線撮像管に限るものではなく,例えばX線CCDカメラ、ポジション・センシティブ・プロポーショナル・カウンター(PSPC)、チャンネル・プレート等を用いても良い。ウェーハに基準IDマークを形成する方法は,特定のレーザーマーカに限らず、その他の方法であっても構わない。基準IDマークは、二次元ドットマトリックス、特定の意味を持たない凹形状限らず、英数字、バーコードであっても良く、ウェーハ71の結晶方位線を認識する為の符号として機能すれるものであればよい。   In the seventh embodiment described above and the first to third modifications thereof, the target of the X-ray tube is not limited to Mo and W, and may be copper (Cu). The X-ray detector is not limited to the fluorescent plate and the X-ray imaging tube, and for example, an X-ray CCD camera, a position sensitive proportional counter (PSPC), a channel plate, or the like may be used. The method of forming the reference ID mark on the wafer is not limited to a specific laser marker, and other methods may be used. The reference ID mark is not limited to a two-dimensional dot matrix, a concave shape not having a specific meaning, and may be an alphanumeric character or a barcode, and functions as a code for recognizing the crystal orientation line of the wafer 71. I just need it.

(第8の実施の形態)
第8の実施の形態においては、半導体ウェーハに対して異方性のエッチング処理を施して形成されるエッチピットによる光散乱を検出することによって、半導体ウェーハの結晶方位を決める半導体ウェーハの製造方法について述べる。
(Eighth embodiment)
In the eighth embodiment, a method for manufacturing a semiconductor wafer that determines the crystal orientation of a semiconductor wafer by detecting light scattering by etch pits formed by subjecting the semiconductor wafer to anisotropic etching. State.

図35に示すように、第8の実施の形態に係る半導体ウェーハは、半導体素子が形成される第1主面95が円形であるウェーハ91と、ウェーハ91の外周部に形成されたベベル部92と、ベベル部92の一部分に形成され、底面は第1主面95に対して傾斜している凹部94と、凹部94の底面に形成され、ウェーハ91の研磨処理後も残留するエッチピットと、ベベル部92に付され、ウェーハ91の結晶方位線を示す基準IDマークとを具備する。エッチピットは、ウェーハ91の第1主面95に表出した第1結晶面とは異なる第2結晶面によって囲まれている。ここでは、第1結晶面は(100)面を示し、第2結晶面には(111)面が含まれる。勿論、結晶面はこれらに限られるものではなく、他の結晶面であっても構わない。   As shown in FIG. 35, the semiconductor wafer according to the eighth embodiment includes a wafer 91 having a circular first main surface 95 on which semiconductor elements are formed, and a bevel portion 92 formed on the outer periphery of the wafer 91. And a recess 94 that is formed in a part of the bevel portion 92 and has a bottom surface that is inclined with respect to the first main surface 95, and an etch pit that is formed on the bottom surface of the recess 94 and remains after the polishing of the wafer 91, A reference ID mark that is attached to the bevel portion 92 and indicates a crystal orientation line of the wafer 91 is provided. The etch pit is surrounded by a second crystal plane different from the first crystal plane exposed on the first main surface 95 of the wafer 91. Here, the first crystal plane indicates the (100) plane, and the second crystal plane includes the (111) plane. Of course, the crystal plane is not limited to these, and other crystal planes may be used.

第8の実施の形態に係る半導体ウェーハの製造方法を図33を参照して以下に示す。   A method for manufacturing a semiconductor wafer according to the eighth embodiment will be described below with reference to FIG.

(イ)まず、S21段階において、シリコン単結晶インゴット(ボロンドープp型(100)結晶、抵抗率5−10Ωcm)を引上げる。そして、ブロック加工(S23)を施し、シリコン単結晶インゴットをスライスしてウェーハ状に切り出す(S24)。なお、シリコン単結晶インゴットの結晶方位の測定、及びオリエンテーションフラット或いはノッチの形成は行わない。切り出されたウェーハの主面には(100)面が表出している。   (A) First, in step S21, a silicon single crystal ingot (boron-doped p-type (100) crystal, resistivity 5-10 Ωcm) is pulled up. Then, block processing (S23) is performed, and the silicon single crystal ingot is sliced and cut into a wafer (S24). Note that the crystal orientation of the silicon single crystal ingot is not measured, and the orientation flat or notch is not formed. The (100) plane is exposed on the main surface of the cut wafer.

(ロ)その後、S25段階において、切り出した面の端部の面取り加工(ベベル加工)を施す。そして、S26段階において、図35に示すように、ベベル部92の一部分に、凹部(以後、「方位判定領域」という)94を形成する。   (B) Thereafter, in step S25, chamfering (beveling) of the edge of the cut surface is performed. Then, in step S26, as shown in FIG. 35, a concave portion (hereinafter referred to as “azimuth determination region”) 94 is formed in a part of the bevel portion 92.

具体的には、図34に示すように、棒状の治具93を回転させながらベベル部92の一部分に押し当て、ウェーハ91円周の一部分を削り取る。削り取った後には、図35に示すように、ベベル部92の一部分に方位判定領域94が形成される。図36(a)に示すように、方位判定領域94の底面は、半導体素子が形成されるウェーハの第1主面95に対して傾斜している。方位判定領域94の底面の角度αは20〜60°の範囲に設定する。図36(b)に示すように、ウェーハ91の第1主面95には、凹形状の溝からなる方位判定領域94が形成されている。また、方位判定領域94の大きさは、例えばA×B=0.3mm×0.2mmとした。方位判定領域94は、ベベル部の表面に形成される場合に限らず、ウェーハ91の裏面、或いは側面に形成しても構わない。   Specifically, as shown in FIG. 34, a bar-shaped jig 93 is pressed against a part of the bevel portion 92 while rotating, and a part of the circumference of the wafer 91 is scraped off. After scraping, an orientation determination region 94 is formed in a part of the bevel portion 92 as shown in FIG. As shown in FIG. 36A, the bottom surface of the orientation determination region 94 is inclined with respect to the first main surface 95 of the wafer on which the semiconductor element is formed. The angle α of the bottom surface of the orientation determination area 94 is set in a range of 20 to 60 °. As shown in FIG. 36 (b), an orientation determination region 94 made of a concave groove is formed on the first main surface 95 of the wafer 91. In addition, the size of the orientation determination area 94 is, for example, A × B = 0.3 mm × 0.2 mm. The orientation determination region 94 is not limited to being formed on the surface of the bevel portion, and may be formed on the back surface or side surface of the wafer 91.

(ハ)次に、ウェーハ91をラップした(S27)後、S28段階において、ウェーハ91の第1主面95の大きなうねりを除去して高平坦度化を図ることを主要な目的の一つとして、アルカリ溶液を用いて異方性のエッチング処理を施す。ここで、異方性のエッチング処理とは、ウェーハ91の結晶方位によりエッチング速度が異なるエッチング処理である。アルカリ溶液としては、KOH、NaOHを用いることができる。NaOHを用いた場合、例えば、20%のNaOH溶液を85〜90℃の温度において8分間のエッチング処理を施す。   (C) Next, after wrapping the wafer 91 (S27), in step S28, one of the main purposes is to achieve high flatness by removing large waviness of the first main surface 95 of the wafer 91. An anisotropic etching process is performed using an alkaline solution. Here, the anisotropic etching process is an etching process in which the etching rate varies depending on the crystal orientation of the wafer 91. As the alkaline solution, KOH or NaOH can be used. When NaOH is used, for example, a 20% NaOH solution is etched at a temperature of 85 to 90 ° C. for 8 minutes.

図37(a)に示すように、異方性のエッチング処理によって、(100)面が表出したウェーハ91の第1主面には、(111)面を含む第2結晶面で囲まれたエッチピットが出現する。第2結晶面が相互に交差する線と(100)面との成す角は、125.26°である。また、図37(b)に示すように、(100)面上に形成されたエッチピットは点対称な形状を有し、各第2結晶面96a〜dは、実質的に同一な形状を有する。   As shown in FIG. 37A, the first main surface of the wafer 91 whose (100) surface is exposed by the anisotropic etching process is surrounded by the second crystal plane including the (111) surface. An etch pit appears. The angle formed by the line intersecting the second crystal plane and the (100) plane is 125.26 °. Further, as shown in FIG. 37 (b), the etch pits formed on the (100) plane have a point-symmetric shape, and the second crystal planes 96a to 96d have substantially the same shape. .

一方、図38に示すように、エッチピット97は、ウェーハ91の第1主面95だけに限らず、ベベル部92、及び方位形成領域94の底面にも形成される。方位形成領域94の底面は(100)面に対して傾斜しているため、第2結晶面で囲まれているエッチピット97の形状は点対称ではない。   On the other hand, as shown in FIG. 38, the etch pits 97 are formed not only on the first main surface 95 of the wafer 91 but also on the bevel portion 92 and the bottom surface of the orientation forming region 94. Since the bottom surface of the orientation forming region 94 is inclined with respect to the (100) plane, the shape of the etch pit 97 surrounded by the second crystal plane is not point-symmetric.

(ニ)次に、ウェーハのベベル部92にミラー加工を施す(S30)。そして、両面ミラー機を用いてウェーハの第1及び第2主面にミラー加工を施す(S31)。方位判定領域94の底面に形成されたエッチピット97はベベル部92の研磨処理後も残留する。即ち、ベベルミラー加工(S30)及びミラー加工(S31)において、第1及び第2主面及びベベル部92の表面に形成されたエッチピットは消失してしまう。しかし、方位形成領域94の底面は研磨されず、エッチピット97は残留する。   (D) Next, mirror processing is applied to the bevel portion 92 of the wafer (S30). Then, mirror processing is performed on the first and second main surfaces of the wafer using a double-sided mirror machine (S31). The etch pits 97 formed on the bottom surface of the orientation determination region 94 remain after the bevel portion 92 is polished. That is, in the bevel mirror processing (S30) and the mirror processing (S31), the etch pits formed on the first and second main surfaces and the surface of the bevel portion 92 are lost. However, the bottom surface of the orientation forming region 94 is not polished, and the etch pit 97 remains.

(ホ)次に、図39に示す半導体ウェーハの製造装置を用いて、ウェーハ91に方位情報を付与する(S32)。図39に示す製造装置は、ウェーハ91を載置するウェーハステージと、ウェーハ91の中心を軸としてウェーハステージを回転させる第1回転駆動部103と、方位判定領域94に光99を照射する光源98と、エッチピット97によって散乱された光(反射光)100を検出するディテクタ101と、光99が照射されている方位判定領域94を中心軸としてウェーハステージを回転させる第2回転駆動部104と、ディテクタ101によって検出された散乱光100の回転角度依存性を評価する計算機(PC)102と、ウェーハ91の全周囲に渡って取得したエッチピット97による散乱光強度の回転角度依存性を登録したデータベース106と、ウェーハ91裏面の外周部に基準IDマークを刻印するレーザマーカ105とを有する。   (E) Next, using the semiconductor wafer manufacturing apparatus shown in FIG. 39, orientation information is given to the wafer 91 (S32). The manufacturing apparatus shown in FIG. 39 includes a wafer stage on which the wafer 91 is placed, a first rotation driving unit 103 that rotates the wafer stage around the center of the wafer 91, and a light source 98 that irradiates the orientation determination region 94 with light 99. A detector 101 that detects the light (reflected light) 100 scattered by the etch pits 97, a second rotation drive unit 104 that rotates the wafer stage around the azimuth determination area 94 irradiated with the light 99, and A computer (PC) 102 for evaluating the rotation angle dependency of the scattered light 100 detected by the detector 101 and a database in which the rotation angle dependency of the scattered light intensity by the etch pit 97 acquired over the entire circumference of the wafer 91 is registered. 106 and a laser marker 105 for engraving a reference ID mark on the outer peripheral portion of the back surface of the wafer 91. .

光源98、ディテクタ101、第2回転駆動部104、計算機(PC)102、及びデータベース106が方位測定系に相当し、レーザマーカ105がマーキング系に相当する。ここでは、方位判定領域94に照射される光99が白色光である場合について説明する。また、白色光99の照射範囲が1mm以下に絞られている場合について説明する。 The light source 98, the detector 101, the second rotation drive unit 104, the computer (PC) 102, and the database 106 correspond to an orientation measurement system, and the laser marker 105 corresponds to a marking system. Here, the case where the light 99 irradiated to the azimuth | direction determination area | region 94 is white light is demonstrated. Further, a case where the irradiation range of the white light 99 is reduced to 1 mm 2 or less will be described.

ウェーハ91をウェーハステージ上にチャッキングする。第1回転駆動部103を回転させる。ベベル部の一部分に形成された方位判定領域94に光源98からの白色光99が照射された位置で、第1回転駆動部103の回転を停止する。このとき、白色光99は方位判定領域94内に形成されたエッチピット97に対しても照射されている。そして、第2回転可動部104を回転させながら、ディテクタ101を用いてエッチピット97によって散乱された光の強度を検出する。第2回転可動部104を回転させることで、第1回転駆動部103、ウェーハステージ、及びウェーハ91が、方位判定領域94を中心として回転する。この様にして、エッチピット97内の第2結晶面によって反射される光の強度の回転角度依存性を評価する。即ち、散乱光強度の第2回転可動部104の回転角度依存性に関するデータを取得する。   The wafer 91 is chucked on the wafer stage. The first rotation drive unit 103 is rotated. The rotation of the first rotation drive unit 103 is stopped at a position where the white light 99 from the light source 98 is irradiated on the orientation determination region 94 formed in a part of the bevel portion. At this time, the white light 99 is also applied to the etch pits 97 formed in the orientation determination region 94. Then, the intensity of the light scattered by the etch pits 97 is detected using the detector 101 while rotating the second rotary movable unit 104. By rotating the second rotation movable unit 104, the first rotation driving unit 103, the wafer stage, and the wafer 91 rotate about the orientation determination region 94. In this way, the rotation angle dependence of the intensity of light reflected by the second crystal plane in the etch pit 97 is evaluated. That is, data relating to the rotation angle dependency of the second rotation movable unit 104 of the scattered light intensity is acquired.

方位判定領域94の底面は(100)面に対し傾斜しているため、方位判定領域94がウェーハ91の外周部のどの個所に形成されているかによって、図40に示すように、方位判定領域(94a〜94c)の底面に存在するエッチピット(97a〜97c)の形状が異なる。そのため、散乱光強度の回転角度依存性はエッチピット(97a〜97c)の形状によって、様々なプロファイルを形成することになる。   Since the bottom surface of the orientation determination area 94 is inclined with respect to the (100) plane, the orientation determination area (as shown in FIG. 40) depends on where the orientation determination area 94 is formed on the outer peripheral portion of the wafer 91. The shapes of the etch pits (97a to 97c) existing on the bottom surfaces of 94a to 94c) are different. Therefore, the rotation angle dependency of the scattered light intensity forms various profiles depending on the shape of the etch pits (97a to 97c).

(ヘ)次に、回転角度依存性に関するデータとデータベース106内のデータとを比較することにより、方位判定領域94の結晶方位を決定する。データベース106内には、ウェーハ91の全周囲に渡って形成されたエッチピットについて取得した回転角度依存性に関するデータが登録されている。データベース106内のデータは、予め実験あるいはシミュレーションにより作成したものである。   (F) Next, the crystal orientation of the orientation determination region 94 is determined by comparing the data related to the rotation angle dependency with the data in the database 106. In the database 106, data relating to the rotation angle dependency acquired for the etch pits formed over the entire periphery of the wafer 91 is registered. The data in the database 106 is created in advance by experiments or simulations.

具体的には、図40に示した散乱光強度の回転角度依存性を示すプロファイルを用いて比較検討して、誤差が極小になるデータベース106に登録された結晶方位を求める。データベース106に収納された全周囲に係るプロファイルの内で、測定したプロファイルに最も近似したものを選出し、そのプロファイルの結晶方位が測定対象のエッチピットが存在する結晶方位であると特定する。したがって、実験あるいはシミュレーションにおける方位判定領域94の底面の傾斜角度と、S26段階において加工する方位判定領域94の底面の傾斜角度との整合性が取られていることが必要である。   Specifically, the crystal orientation registered in the database 106 in which the error is minimized is obtained by comparison using the profile showing the rotation angle dependence of the scattered light intensity shown in FIG. Among the profiles related to the entire circumference stored in the database 106, the one closest to the measured profile is selected, and the crystal orientation of the profile is specified as the crystal orientation in which the etch pit to be measured exists. Therefore, it is necessary that consistency between the inclination angle of the bottom surface of the orientation determination region 94 in the experiment or simulation and the inclination angle of the bottom surface of the orientation determination region 94 processed in step S26 is required.

(ト)次に、方位判定領域94の結晶方位に基づいて、ウェーハ91の結晶方位情報を示す基準IDマークをウェーハ91の裏面(方位判定領域94を加工した面の反対側の面)のベベル部に刻印する。基準IDマークは、例えばYAG高出力レーザからなるレーザマーカ105を用いて刻印される。基準IDマークは、ウェーハ裏面に限らず、ウェーハ表面、ベベル部に刻印することも可能である。   (G) Next, based on the crystal orientation of the orientation determination region 94, a reference ID mark indicating crystal orientation information of the wafer 91 is beveled on the back surface of the wafer 91 (the surface opposite to the surface where the orientation determination region 94 is processed). Engrave the part. The reference ID mark is imprinted using a laser marker 105 made of, for example, a YAG high-power laser. The reference ID mark is not limited to the back surface of the wafer, but can be stamped on the front surface of the wafer and the bevel portion.

(チ)最後に、半導体装置作製工程中、少なくとも最初のリソグラフィー工程において、基準IDマークを基準として、ウェーハ91の結晶方位を合わせ(S34)、露光(S35)を行うことができる。   (H) Finally, in the semiconductor device manufacturing process, at least in the first lithography process, the crystal orientation of the wafer 91 can be aligned with the reference ID mark as a reference (S34) and exposed (S35).

以上説明したように、ノッチ或いはオリエンテーションフラットが存在しない円形の半導体ウェーハ91に対して、異方性のエッチング処理(S28)及び鏡面研磨処理(S30、S31)の前に、方位判定領域(凹部)94をあらかじめ形成しておく。このことによって、ウェーハ91の鏡面研磨後であっても、光散乱による手法を用いて方位判定領域(凹部)94内のエッチピットから結晶方位情報を検出することが可能となる。エッチピットから結晶方位情報を用いて、ウェーハ91上に結晶方位を示す基準IDマークを付与することができる。   As described above, the orientation determination region (concave portion) is formed on the circular semiconductor wafer 91 having no notch or orientation flat before the anisotropic etching process (S28) and the mirror polishing process (S30, S31). 94 is formed in advance. As a result, even after mirror polishing of the wafer 91, it is possible to detect crystal orientation information from etch pits in the orientation determination region (concave portion) 94 using a light scattering technique. Using the crystal orientation information from the etch pit, a reference ID mark indicating the crystal orientation can be provided on the wafer 91.

X線回折法を用いてウェーハの結晶方位を求める場合、ウェーハ1枚当たり数分から数10分の測定時間が必要であり、スループット上問題がある。現在の半導体装置の製造コストを考えると少なくとも1枚当たり1分程度のスループットが必要である。また、短時間化のためX線源を強力にすると、人体への影響、電力消費が多大になる。これに対して、第8の実施の形態によれば、結晶方位を検出するために可視光の散乱を用いているため、検出速度が向上し、スループット1分/枚が可能となる。また、X線による検出に較べ、人体への影響はほとんど無く、電力消費も少ない。即ち、安全な手法で結晶方位を素早く、高精度に評価することができる。   When the crystal orientation of a wafer is obtained using the X-ray diffraction method, a measurement time of several minutes to several tens of minutes is required for each wafer, which causes a problem in throughput. Considering the current manufacturing cost of semiconductor devices, a throughput of at least about 1 minute per sheet is required. In addition, if the X-ray source is strengthened to shorten the time, the influence on the human body and the power consumption become great. On the other hand, according to the eighth embodiment, since the visible light scattering is used to detect the crystal orientation, the detection speed is improved and a throughput of 1 minute / sheet is possible. Further, compared with detection by X-ray, there is almost no influence on the human body and power consumption is low. That is, the crystal orientation can be evaluated quickly and with high accuracy by a safe method.

なお、図41に示すように、X線回折によりウェーハ方位を検出する方法(比較例)に対して、スループットが約5〜10倍向上した。また、第8の実施の形態に係る半導体ウェーハを用いてダイナミック・ランダム・アクセス・メモリ(DRAM)を作成したところ歩留まりが向上した。   As shown in FIG. 41, the throughput was improved about 5 to 10 times as compared with the method of detecting the wafer orientation by X-ray diffraction (comparative example). Further, when a dynamic random access memory (DRAM) was produced using the semiconductor wafer according to the eighth embodiment, the yield was improved.

また、アルカリエッチング処理(S28)の直後にウェーハの全表面に現れるエッチピットから同様な手法で結晶方位を検出して、結晶方位情報をレーザマーキングすることも考えられる。しかし、そうした場合、10μm以上の比較的深いマークを形成しなければ、この後のミラー加工(S30、S31)において消失してしまう。   It is also conceivable that the crystal orientation information is laser marked by detecting the crystal orientation from the etch pits appearing on the entire surface of the wafer immediately after the alkali etching process (S28). However, in such a case, unless a relatively deep mark of 10 μm or more is formed, it will disappear in the subsequent mirror processing (S30, S31).

更に、第8の実施の形態においては、10μm以下の比較的浅いソフトレーザマークで十分である。また、半導体装置製造工程中の最初のパターン露光工程の際、結晶方位合わせを兼ねて行うことが可能である。   Furthermore, in the eighth embodiment, a relatively shallow soft laser mark of 10 μm or less is sufficient. In addition, it is possible to perform crystal orientation alignment at the time of the first pattern exposure process in the semiconductor device manufacturing process.

また更に、半導体ウェーハのベベル部にウェーハ認識情報(IDマーク)をマーキングする際、マーキング個所を他のベベル形状と異なる形状に作成できるため、マーキングの精度が向上した。また、マークの読み取りの認識率が向上した。   Furthermore, when marking the wafer recognition information (ID mark) on the bevel portion of the semiconductor wafer, the marking location can be created in a shape different from other bevel shapes, so that the marking accuracy is improved. Also, the recognition rate of mark reading has improved.

(第8の実施の形態の変形例1)
第8の実施の形態の変形例1においては、エッチピット97によって反射される光の強度をウェーハ91を回転させることなく一度に測定する場合について説明する。
(Modification 1 of 8th Embodiment)
In the first modification of the eighth embodiment, a case where the intensity of light reflected by the etch pits 97 is measured at once without rotating the wafer 91 will be described.

図42は、第8の実施の形態の変形例1に係る半導体ウェーハの製造装置の構成を示すブロック図である。半導体ウェーハの製造装置は、エッチピット内の第2結晶面によって四方に反射される光100の強度を測定するディテクタ108を有する。ディテクタ108は、光源98から入射される白色光99の全周囲を取り囲むように配置された検出面を有し、図37(a)に示したエッチピットを形成する総ての第2結晶面によって反射される光100を同時に検出することができる。   FIG. 42 is a block diagram illustrating a configuration of a semiconductor wafer manufacturing apparatus according to Modification 1 of the eighth embodiment. The semiconductor wafer manufacturing apparatus has a detector 108 that measures the intensity of light 100 reflected in all directions by the second crystal plane in the etch pit. The detector 108 has a detection surface arranged so as to surround the entire periphery of the white light 99 incident from the light source 98, and is formed by all the second crystal planes forming the etch pits shown in FIG. The reflected light 100 can be detected simultaneously.

ディテクタ108の検出面は、球面状の形状を有し、その中央部には白色光99を通過させるための穴が形成されている。エッチピットによって反射された光100を効率良く検出することができる。したがって、白色光99が照射された方位判定領域94を中心軸としてウェーハ91を回転させる必要がない。半導体ウェーハの製造装置は図39の第2回転駆動部104を具備せず、第1回転駆動部103及びXYステージ107を有する。半導体ウェーハの製造装置のその他の構成、及び半導体ウェーハの製造方法は、第8の実施の形態と同様であり、説明を省略する。   The detection surface of the detector 108 has a spherical shape, and a hole for allowing the white light 99 to pass therethrough is formed at the center thereof. The light 100 reflected by the etch pit can be detected efficiently. Therefore, it is not necessary to rotate the wafer 91 around the azimuth determination region 94 irradiated with the white light 99 as the central axis. The semiconductor wafer manufacturing apparatus does not include the second rotation drive unit 104 of FIG. 39 but includes the first rotation drive unit 103 and the XY stage 107. Other configurations of the semiconductor wafer manufacturing apparatus and the semiconductor wafer manufacturing method are the same as those in the eighth embodiment, and a description thereof will be omitted.

ウェーハ91をウェーハステージ上に載置して第1回転駆動部103を回転させる。ベベル部の一部分に形成された方位判定領域94に光源98からの白色光99が照射された位置で、第1回転駆動部103の回転を停止する。このとき、白色光99は方位判定領域94内に形成されたエッチピット97に対しても照射されている。そして、ディテクタ108を用いてエッチピット97によって散乱された光の強度を方位判定領域94の全周囲に渡って同時に検出する。このとき、第1回転駆動部103、ウェーハステージ、及びウェーハ91を回転することはない。   The wafer 91 is placed on the wafer stage and the first rotation driving unit 103 is rotated. The rotation of the first rotation drive unit 103 is stopped at a position where the white light 99 from the light source 98 is irradiated on the orientation determination region 94 formed in a part of the bevel portion. At this time, the white light 99 is also applied to the etch pits 97 formed in the orientation determination region 94. Then, the intensity of light scattered by the etch pits 97 is simultaneously detected using the detector 108 over the entire circumference of the orientation determination region 94. At this time, the first rotation driving unit 103, the wafer stage, and the wafer 91 are not rotated.

以上説明したように、第8の実施の形態の変形例1によれば、第8の実施の形態と同様な作用効果を得ることができる。また、方位判定領域94の全周囲に渡ってディテクタ108を配置することで、ウェーハ91などを回転させることなく、エッチピットによって四方に散乱された光100を一度に検出することができる。したがって、散乱光の回転角度依存性のデータを取得する為に必要な時間を短縮することができる。   As described above, according to the first modification of the eighth embodiment, the same operational effects as those of the eighth embodiment can be obtained. Further, by disposing the detector 108 over the entire circumference of the orientation determination region 94, the light 100 scattered in all directions by the etch pits can be detected at a time without rotating the wafer 91 or the like. Therefore, it is possible to shorten the time required for acquiring the rotation angle dependency data of the scattered light.

(第8の実施の形態の変形例2)
第8の実施の形態及びその変形例1では、ディテクタ(101、108)によって測定された散乱光強度の回転角度依存性を示すプロファイルと、データベース106に収納されたプロファイルとを比較して、方位判定領域94の結晶方位を求めた。
(Modification 2 of the eighth embodiment)
In the eighth embodiment and its modification example 1, the profile indicating the rotation angle dependence of the scattered light intensity measured by the detector (101, 108) is compared with the profile stored in the database 106, and the orientation is The crystal orientation of the determination region 94 was obtained.

しかし、前述したように、方位判定領域94の底面はウェーハの第1主面95に対し傾斜している。従って、方位判定領域94がウェーハ91の外周部のどの箇所に形成されているかによって、方位判定領域(94a〜94c)の底面に存在するエッチピット(97a〜97c)の形状自体が異なる。   However, as described above, the bottom surface of the orientation determination region 94 is inclined with respect to the first main surface 95 of the wafer. Therefore, the shape of the etch pits (97a to 97c) existing on the bottom surface of the orientation determination regions (94a to 94c) varies depending on where the orientation determination region 94 is formed on the outer peripheral portion of the wafer 91.

そこで、第8の実施の形態の変形例2では、方位判定領域(94a〜94c)の底面に形成されたエッチピット(97a〜97c)の形状を測定し、データベース内に収納されたエッチピットの形状と比較することで、方位判定領域の結晶方位を求める場合について説明する。   Therefore, in the second modification of the eighth embodiment, the shape of the etch pits (97a to 97c) formed on the bottom surfaces of the orientation determination regions (94a to 94c) is measured, and the etch pits stored in the database are measured. A case where the crystal orientation of the orientation determination region is obtained by comparing with the shape will be described.

第8の実施の形態の変形例2に係る半導体ウェーハの製造装置は、図39及び図42に示したディテクタ(101、108)の代わりに、方位判定領域94の底面に形成されたエッチピット97の形状を測定する手段を有する。この形状測定手段には、CCDカメラ、感光性ポラロイドカメラなどが含まれる。測定対象となるエッチピット97の形状とは、図40に示したようなエッチピット(97a〜97c)の平面形状を示す。また、ここで言う平面には、ウェーハの第1主面95或いは方位判定領域94の底面が含まれる。   The semiconductor wafer manufacturing apparatus according to the second modification of the eighth embodiment uses etch pits 97 formed on the bottom surface of the orientation determination region 94 in place of the detectors (101, 108) shown in FIGS. Means for measuring the shape of This shape measuring means includes a CCD camera, a photosensitive polaroid camera, and the like. The shape of the etch pit 97 to be measured indicates the planar shape of the etch pits (97a to 97c) as shown in FIG. The plane referred to here includes the first main surface 95 of the wafer or the bottom surface of the orientation determination region 94.

半導体ウェーハの製造装置は、図42と同様に、第1回転駆動部103と、XYステージ107とを有する。その他の装置構成は、図42に示した半導体ウェーハの製造装置と同様であり、説明を省略する。   The semiconductor wafer manufacturing apparatus includes a first rotation drive unit 103 and an XY stage 107, as in FIG. The other apparatus configuration is the same as that of the semiconductor wafer manufacturing apparatus shown in FIG.

データベース106内には、ウェーハ91の全周囲に渡って形成されたエッチピットの平面形状に関する2次元画像データが登録されている。データベース106内の2次元画像データは、予め実験或いはシミュレーションにより作成したものである。   In the database 106, two-dimensional image data relating to the planar shape of etch pits formed over the entire periphery of the wafer 91 is registered. The two-dimensional image data in the database 106 is created in advance by experiments or simulations.

第1回転駆動部103を動作させて、方位判定領域94に白色光99を照射させる。方位判定領域94の底面に存在するエッチピット97の平面形状を、CCDカメラなどの形状測定手段を用いて測定する。測定結果は、2次元画像データとしてPC102へ送られる。そして、PC102は、測定したエッチピットの平面形状と、データベース106内のエッチピットの平面形状とを比較検討して、方位判定領域94の結晶方位を決定する。   The first rotation drive unit 103 is operated to irradiate the azimuth determination region 94 with white light 99. The planar shape of the etch pit 97 existing on the bottom surface of the orientation determination area 94 is measured using a shape measuring means such as a CCD camera. The measurement result is sent to the PC 102 as two-dimensional image data. Then, the PC 102 compares the measured planar shape of the etch pits with the planar shape of the etch pits in the database 106 to determine the crystal orientation of the orientation determination region 94.

具体的には、測定されたエッチピット97の平面形状と、データベース106内のエッチピットの平面形状とを比較検討して、形状の誤差が極小になるデータベース106に登録された結晶方位を求める。データベース106に収納された全周囲に係るエッチピットの平面形状の内で、測定したエッチピット97の平面形状に最も近似したものを選出する。そして、選出されたエッチピットの結晶方位が測定対象のエッチピット97が存在する結晶方位であると特定する。したがって、実験あるいはシミュレーションにおける方位判定領域94の底面の傾斜角度と、S26段階において加工する方位判定領域94の底面の傾斜角度との整合性が取られていることが必要である。   More specifically, the measured planar shape of the etch pit 97 is compared with the planar shape of the etch pit in the database 106, and the crystal orientation registered in the database 106 that minimizes the shape error is obtained. Among the planar shapes of the etch pits related to the entire circumference stored in the database 106, the one closest to the measured planar shape of the etch pits 97 is selected. Then, the crystal orientation of the selected etch pit is specified as the crystal orientation in which the etch pit 97 to be measured exists. Therefore, it is necessary that consistency between the inclination angle of the bottom surface of the orientation determination region 94 in the experiment or simulation and the inclination angle of the bottom surface of the orientation determination region 94 processed in step S26 is required.

以上説明したように、第8の実施の形態の変形例2によれば、第8の実施の形態と同様な作用効果を得ることができる。また、エッチピット97の平面形状を比較の対象とすることで、散乱光強度の回転角度依存性を示すプロファイルを測定する必要が無くなる。換言すれば、ウェーハ91などを回転させたり、エッチピットによって四方に散乱された光を検出する必要が無くなる。   As described above, according to the second modification of the eighth embodiment, the same operational effects as those of the eighth embodiment can be obtained. Further, since the planar shape of the etch pit 97 is used as a comparison object, it is not necessary to measure a profile indicating the rotation angle dependency of the scattered light intensity. In other words, it is not necessary to rotate the wafer 91 or the like or to detect light scattered in all directions by the etch pits.

(第9の実施の形態)
第9の実施の形態においては、ノッチ或いはオリエンテーションフラットが存在しない円形の半導体ウェーハに対して、ウェーハ表面或いは内部に形成された結晶欠陥を利用して、ウェーハの結晶方位を測定する装置及び方法について説明する。
(Ninth embodiment)
In the ninth embodiment, an apparatus and method for measuring the crystal orientation of a wafer using a crystal defect formed on or in the wafer surface of a circular semiconductor wafer having no notch or orientation flat. explain.

図43の各分図は、第9の実施の形態に係る装置及び方法において利用する結晶欠陥の形状を示す拡大写真である。図43(a)はクリスタル・オリジネイト・パーティクル(Crystal Originated Particle:COP)と呼ばれる結晶欠陥を示し、図43(b)はバルク・マイクロ・ディフェクト(Bulk Micro Defect:BMD)と呼ばれる結晶欠陥を示す。   Each partial view of FIG. 43 is an enlarged photograph showing the shape of a crystal defect used in the apparatus and method according to the ninth embodiment. FIG. 43A shows a crystal defect called “Crystal Originated Particle (COP)”, and FIG. 43B shows a crystal defect called “Bulk Micro Defect” (BMD).

対象とする半導体ウェーハが、回転引上げ法(Czochralski Method:CZ法)で製造されたウェーハ(以後、「CZウェーハ」と呼ぶ)、或いはエピタキシャル成長法によって製造されたウェーハ(以後、「エピタキシャルウェーハ」と呼ぶ)である場合、COPを利用してウェーハの結晶方位を測定する。   The target semiconductor wafer is a wafer manufactured by the Czochralski Method (CZ method) (hereinafter referred to as “CZ wafer”) or a wafer manufactured by the epitaxial growth method (hereinafter referred to as “epitaxial wafer”). ), The crystal orientation of the wafer is measured using COP.

一方、対象とする半導体ウェーハがアニールウェーハ或いは予めIG熱処理を施したウェーハである場合、BMDを利用してウェーハの結晶方位を測定する。COPは8面体構造を有し、BMDは6−8面体構造を有する。また、COP及びBMDは、(111)面を含む特定の結晶方位面が表出した結晶欠陥である。したがって、第8の実施の形態と同様に、COPあるいはBMDの結晶方位面によって散乱した光の強度は、回転角度依存性を有することになる。   On the other hand, when the target semiconductor wafer is an annealed wafer or a wafer that has been subjected to IG heat treatment in advance, the crystal orientation of the wafer is measured using BMD. COP has an octahedral structure, and BMD has a 6-8 octahedral structure. Moreover, COP and BMD are crystal defects in which specific crystal orientation planes including the (111) plane are exposed. Therefore, as in the eighth embodiment, the intensity of the light scattered by the COP or BMD crystal orientation plane has a rotation angle dependency.

図44は、第9の実施の形態に係る半導体ウェーハの製造装置の動作原理を説明する為の半導体ウェーハを示す断面図である。ウェーハ121の内部にはCOP或いはBMDなどの微小な結晶欠陥122が存在する。ビーム状の赤外光123をウェーハ121の第1主面に対して斜めに照射する。ビーム状の赤外光123として、赤外線レーザ光を用いることができる。赤外光123の一部は、ウェーハ121の内部に侵入し、結晶欠陥122によって散乱される。このとき、赤外光123は結晶欠陥122の特定の結晶方位面によって反射される。散乱した赤外光126は、ウェーハ121の第1主面上方に構えられた散乱光検知機124によって検出される。   FIG. 44 is a cross-sectional view showing a semiconductor wafer for explaining the operating principle of the semiconductor wafer manufacturing apparatus according to the ninth embodiment. Inside the wafer 121, there are minute crystal defects 122 such as COP or BMD. Beam-shaped infrared light 123 is applied obliquely to the first main surface of the wafer 121. An infrared laser beam can be used as the beam-like infrared light 123. A part of the infrared light 123 enters the wafer 121 and is scattered by the crystal defects 122. At this time, the infrared light 123 is reflected by a specific crystal orientation plane of the crystal defect 122. The scattered infrared light 126 is detected by the scattered light detector 124 provided above the first main surface of the wafer 121.

また、ウェーハ121は、回転機構を有するウェーハステージ125の上に配置されている。赤外光123は、ウェーハ121の回転の中心に照射される。ウェーハ121を回転させながら、回転中心に位置する結晶欠陥122によって散乱された光126の強度を継続的に検出する。このとき、散乱された光の強度は周期的に変化する。即ち、検出される散乱光の強度は回転角度依存性を有する。散乱光強度のプロファイルからウェーハ121の結晶方位を決定することができる。   Further, the wafer 121 is disposed on a wafer stage 125 having a rotation mechanism. The infrared light 123 is applied to the center of rotation of the wafer 121. While rotating the wafer 121, the intensity of the light 126 scattered by the crystal defect 122 located at the rotation center is continuously detected. At this time, the intensity of the scattered light changes periodically. That is, the intensity of the scattered light to be detected has a rotation angle dependency. The crystal orientation of the wafer 121 can be determined from the profile of the scattered light intensity.

なお、波長が1000nmの赤外線レーザ光を用いた場合、赤外線レーザ光123が到達することができるウェーハ121内の深さは第1主面から50μm程度である。したがって、測定対象となる結晶欠陥は、第1主面から50μm程度深さまでに存在する結晶欠陥となる。   When an infrared laser beam having a wavelength of 1000 nm is used, the depth in the wafer 121 that the infrared laser beam 123 can reach is about 50 μm from the first main surface. Therefore, the crystal defect to be measured is a crystal defect existing at a depth of about 50 μm from the first main surface.

図45は、第9の実施の形態に係る半導体ウェーハの製造装置の構成を示す外観図である。半導体ウェーハの製造装置は、赤外線レーザ光源127と、回転機構を有するウェーハステージ125と、散乱光検知機124と、ナンバリングを行うためのマーキング機構を形成するレーザマーカ(マーク刻印器)128と、装置全体を覆い隠すチャンバー130と、散乱光強度の回転角度依存性に関するデータを解析するコンピュータ(PC)129とを有する。   FIG. 45 is an external view showing a configuration of a semiconductor wafer manufacturing apparatus according to the ninth embodiment. The semiconductor wafer manufacturing apparatus includes an infrared laser light source 127, a wafer stage 125 having a rotation mechanism, a scattered light detector 124, a laser marker (mark engraver) 128 that forms a marking mechanism for numbering, and the entire apparatus. And a computer (PC) 129 that analyzes data relating to the rotation angle dependence of the scattered light intensity.

赤外線レーザ光源127から出射された赤外線レーザ光は、ウェーハステージ125の回転中心に、ウェーハ121の第1主面に対して斜めに入射される。散乱光検知機124は、ウェーハ121の第1主面の上方に配置され、ウェーハ121内の結晶欠陥によって散乱された赤外線レーザ光の強度を測定する。   Infrared laser light emitted from the infrared laser light source 127 is incident on the rotation center of the wafer stage 125 obliquely with respect to the first main surface of the wafer 121. The scattered light detector 124 is disposed above the first main surface of the wafer 121 and measures the intensity of infrared laser light scattered by crystal defects in the wafer 121.

チャンバー130は、ウェーハステージ125、赤外線レーザ光源127、散乱光検知機124、レーザマーカ128、及びウェーハ121を覆い隠し、外部から侵入する赤外光を遮断する機能を有する。コンピュータ129には、散乱光強度の回転角度依存性に関するデータを解析するための解析ソフトがインストールされている。レーザマーカ128は、ウェーハ121の外周部に、レーザ光をウェーハ表面で結像した状態で照射する。これにより、ウェーハ表面が溶融、再結晶化する過程で、例えばサイズ5μm、段差0.5μmの微小突起部(ドットマーク)が形成される。レーザマーカ128として、例えば、ガウシアン形状のエネルギー密度分布を持つHe−Neレーザなどを用いることができる。   The chamber 130 has a function of covering and concealing the wafer stage 125, the infrared laser light source 127, the scattered light detector 124, the laser marker 128, and the wafer 121, and blocking infrared light entering from the outside. The computer 129 is installed with analysis software for analyzing data related to the rotation angle dependency of the scattered light intensity. The laser marker 128 irradiates the outer peripheral portion of the wafer 121 with laser light imaged on the wafer surface. As a result, in the process of melting and recrystallization of the wafer surface, for example, minute protrusions (dot marks) having a size of 5 μm and a step of 0.5 μm are formed. As the laser marker 128, for example, a He—Ne laser having a Gaussian-shaped energy density distribution can be used.

(イ)まず、ウェーハステージ125上に測定対象のウェーハ121を載置する。ウェーハ121は、 ノッチ及びオリエンテーションフラットが形成されていない円形のCZウェーハである。CZウェーハ121の第1主面には(100)面が表出している。また、低効率ρは10〜20Ω・cmであり、酸素濃度[Oi]は12〜14×1017atoms/cm(old ASTM)である。 (A) First, the wafer 121 to be measured is placed on the wafer stage 125. The wafer 121 is a circular CZ wafer in which notches and orientation flats are not formed. The (100) plane is exposed on the first main surface of the CZ wafer 121. The low efficiency ρ is 10 to 20 Ω · cm, and the oxygen concentration [Oi] is 12 to 14 × 10 17 atoms / cm 3 (old ASTM).

(ロ)次に、図46に示すように、S40段階において、ウェーハステージ125の回転機構を用いてCZウェーハ121を回転させながら、赤外線レーザ光源127を用いてCZウェーハ121の第1主面に対して斜めに赤外線レーザ光を照射する。同時に、S41段階において、散乱光検知機124を動作させて、CZウェーハ121内部の結晶欠陥によって散乱されたレーザ光の強度を継続的に測定する。なお、S40段階とS41段階は、並行して実施されている必要がありそれで十分である。したがって、開始する順序は問わない。つまり、先に回転機構を動作させてから散乱光検知機124を動作させても、その逆であっても構わない。   (B) Next, as shown in FIG. 46, in step S40, while rotating the CZ wafer 121 using the rotating mechanism of the wafer stage 125, the infrared laser light source 127 is used to rotate the first main surface of the CZ wafer 121. On the other hand, infrared laser light is irradiated obliquely. At the same time, in step S41, the scattered light detector 124 is operated to continuously measure the intensity of the laser light scattered by the crystal defects inside the CZ wafer 121. Note that the steps S40 and S41 need to be performed in parallel and are sufficient. Therefore, the order of starting does not matter. That is, the scattered light detector 124 may be operated after the rotation mechanism is operated first, or vice versa.

(ハ)次に、S42段階において散乱されたレーザ光強度の回転角度依存性を示すデータはPC129によって解析され、S43段階においてCZウェーハ121の結晶方位が決定される。具体的には、図47に示すようなCZウェーハ121の回転角度に対する散乱光強度の変化を示すプロファイルがPC129上で解析される。菱形の点及びそれらの繋ぐ線は、第9の実施の形態に係る方法によりCZウェーハ121について実際に測定されたCOPのプロファイルを示している。図47に示すように、散乱光強度の回転角度依存性は、正弦波からなる周期性を有している。散乱光強度の極大値及び極小値が形成されるCZウェーハ121の回転角度において、COPの(111)面がレーザ光の入射方向に直面している。   (C) Next, data indicating the rotation angle dependence of the laser light intensity scattered in step S42 is analyzed by the PC 129, and the crystal orientation of the CZ wafer 121 is determined in step S43. Specifically, a profile indicating the change in scattered light intensity with respect to the rotation angle of the CZ wafer 121 as shown in FIG. 47 is analyzed on the PC 129. The diamond-shaped points and connecting lines thereof indicate the COP profiles actually measured for the CZ wafer 121 by the method according to the ninth embodiment. As shown in FIG. 47, the rotation angle dependence of the scattered light intensity has a periodicity consisting of a sine wave. At the rotation angle of the CZ wafer 121 where the maximum value and the minimum value of the scattered light intensity are formed, the (111) plane of the COP faces the incident direction of the laser light.

図示は省略するが、エピタキシャルウェーハについても実際にCOPのプロファイルの測定を行い、CZウェーハ121と同様な結果が得られている。測定対象のエピタキシャルウェーハは、エピ層の抵抗率ρVGが10〜20Ω・cmであり、エピ層の厚さtVGが3μmのノッチレスp/p−ウェーハである。ウェーハの第1主面には(100)面が表出している。   Although illustration is omitted, the COP profile is actually measured for the epitaxial wafer, and the same result as the CZ wafer 121 is obtained. The epitaxial wafer to be measured is a notchless p / p-wafer having an epilayer resistivity ρVG of 10 to 20 Ω · cm and an epilayer thickness tVG of 3 μm. The (100) plane is exposed on the first main surface of the wafer.

なお、CZウェーハ121の回転時にウェーハステージ125が振動してノイズが発生することがある。その場合、CZウェーハ121の回転を止めて測定点を少なくする。測定点が少ないことにより測定精度が低くなる。しかし、得られる曲線を正弦波として近似して解析するソフトウェアをPC129へ搭載することで、測定点が少なくても高精度に結晶方位を決定することが可能となる。   Incidentally, when the CZ wafer 121 is rotated, the wafer stage 125 may vibrate and noise may be generated. In that case, the rotation of the CZ wafer 121 is stopped to reduce the number of measurement points. The measurement accuracy decreases due to the small number of measurement points. However, by installing software for approximating and analyzing the obtained curve as a sine wave on the PC 129, it is possible to determine the crystal orientation with high accuracy even if there are few measurement points.

(ニ)そして、再びウェーハステージ125の回転機構を動作させて、レーザマーカ128のレーザ照射位置に、CZウェーハ121の [011] 線を合わせる。そして、S44段階において、レーザマーカ128を動作させて、CZウェーハ121の外周端部から2mmの位置に3mm×8mmの範囲にCZウェーハ121の結晶方位を示す基準IDマークを刻印する。   (D) Then, the rotation mechanism of the wafer stage 125 is operated again to align the [011] line of the CZ wafer 121 with the laser irradiation position of the laser marker 128. In step S44, the laser marker 128 is operated to imprint a reference ID mark indicating the crystal orientation of the CZ wafer 121 within a range of 3 mm × 8 mm at a position 2 mm from the outer peripheral edge of the CZ wafer 121.

(第9の実施の形態の実験例1)
測定対象のウェーハとして、還元性雰囲気でアニール処理されたアニールウェーハを用いた。用いたアニールウェーハは、低効率ρが10〜30Ω・cmであり、酸素濃度[Oi]が10〜12×1017atoms/cmである。アニールウェーハの第1主面には(100)面が表出している。図47において、正方形の点及びそれらを繋ぐ線は、アニールウェーハについて実際に測定されたBMDのプロファイルを示している。散乱光強度の極大値及び極小値が形成されるアニールウェーハの回転角度において、BMDの(111)面がレーザ光の入射方向に直面している。
(Experimental example 1 of 9th Embodiment)
An annealed wafer annealed in a reducing atmosphere was used as the measurement target wafer. The annealed wafer used has a low efficiency ρ of 10 to 30 Ω · cm and an oxygen concentration [Oi] of 10 to 12 × 10 17 atoms / cm 3 . The (100) plane is exposed on the first main surface of the annealed wafer. In FIG. 47, the square points and the lines connecting them indicate the BMD profiles actually measured for the annealed wafer. At the rotation angle of the annealed wafer where the maximum value and the minimum value of the scattered light intensity are formed, the (111) plane of the BMD faces the incident direction of the laser light.

(第9の実施の形態の実験例2)
次に、ウェーハへ基準IDマークを刻印する際ではなく、ウェーハ上へパターンを露光する際に、上述したウェーハの結晶方位の測定を実施した。結晶方位を決定した後,ウェーハ上に基準IDマークを刻印し、その後、基準IDマークに基づいてウェーハの位置合わせを行ってからパターンを露光した。
(Experiment 2 of 9th Embodiment)
Next, the above-described measurement of the crystal orientation of the wafer was performed when the pattern was exposed on the wafer, not when the reference ID mark was imprinted on the wafer. After determining the crystal orientation, a reference ID mark was imprinted on the wafer, and then the wafer was aligned based on the reference ID mark and then the pattern was exposed.

(第9の実施の形態の比較例)
第9の実施の形態に係るCZウェーハ121と同様なウェーハを用意し、第7の実施の形態と同様なX線回折方法によって形成されるラウエ像に基づいて結晶方位を決定した。CZウェーハ121の第1主面には(100)面が表出している。また、低効率ρは10〜20Ω・cmであり、酸素濃度[Oi]は12〜14×1017atoms/cm(old ASTM)である。
(Comparative example of the ninth embodiment)
A wafer similar to the CZ wafer 121 according to the ninth embodiment was prepared, and the crystal orientation was determined based on a Laue image formed by the same X-ray diffraction method as in the seventh embodiment. The (100) plane is exposed on the first main surface of the CZ wafer 121. The low efficiency ρ is 10 to 20 Ω · cm, and the oxygen concentration [Oi] is 12 to 14 × 10 17 atoms / cm 3 (old ASTM).

上述した第9の実施の形態、その実験例1及び2、及びその比較例において、ウェーハの結晶方位をそれぞれ決定することができた。また、実験例2においては、結晶方位を決定した後、パターン露光時にウェーハの結晶方位の位置合わせを行うことができた。   In the ninth embodiment described above, Experimental Examples 1 and 2, and Comparative Example, the crystal orientation of the wafer could be determined. In Experimental Example 2, after determining the crystal orientation, it was possible to align the crystal orientation of the wafer during pattern exposure.

図48は、ウェーハの結晶方位を決定する為に要する時間を示すグラフである。「第9実施形態」は第9の実施の形態及びその実験例1及び2を示し、「比較例」は第9の実施の形態の比較例を示す。「第9実施形態」はウェーハ1枚当たり1乃至2分程度の時間を要したが、「比較例」はその約10倍の10乃至20分程度の時間を要した。これは、X線を用いてウェーハの結晶方位を精度良く決定するためには、X線が通過するスリットを狭くして測定面積を小さくする必要があり、強度の弱いX線を長時間測定するからである。   FIG. 48 is a graph showing the time required to determine the crystal orientation of the wafer. “Ninth Embodiment” shows the ninth embodiment and Experimental Examples 1 and 2, and “Comparative Example” shows a comparative example of the ninth embodiment. The “ninth embodiment” took about 1 to 2 minutes per wafer, whereas the “comparative example” took about 10 to 20 minutes, which is about 10 times as long. In order to accurately determine the crystal orientation of the wafer using X-rays, it is necessary to narrow the slit through which X-rays pass to reduce the measurement area, and X-rays with low intensity are measured for a long time. Because.

以上説明したように、第9の実施の形態及びその実験例1及び2によれば、第8の実施の形態と同様に、ノッチ或いはオリエンテーションフラットが存在際しない円形のウェーハであっても、安全で且つ短時間に結晶方位を決定することができる。   As described above, according to the ninth embodiment and the experimental examples 1 and 2, even in the case of a circular wafer having no notch or orientation flat as in the eighth embodiment, And the crystal orientation can be determined in a short time.

なお、第9の実施の形態、その実験例1及び2、及びその比較例では、半導体装置の製造工程においてウェーハの結晶方位を測定する場合について説明した。しかし、ウェーハを製造する工程の途中であっても、同様な方法によってウェーハの結晶方位を測定することが可能である。   In the ninth embodiment, the experimental examples 1 and 2, and the comparative example, the case where the crystal orientation of the wafer is measured in the manufacturing process of the semiconductor device has been described. However, the crystal orientation of the wafer can be measured by a similar method even during the process of manufacturing the wafer.

また、ウェーハに照射する光が赤外光である場合について示したが、可視光であっても構わない。即ち、図45に示す赤外線レーザ光源127の代わりに可視レーザ光源を使用してウェーハの第1主面に可視レーザ光を照射しても構わない。この場合、散乱光検知機124が測定する波長域が可視領域であることは勿論である。   Moreover, although the case where the light irradiated to a wafer is infrared light was shown, visible light may be sufficient. In other words, a visible laser light source may be used instead of the infrared laser light source 127 shown in FIG. 45 to irradiate the first main surface of the wafer with visible laser light. In this case, the wavelength range measured by the scattered light detector 124 is of course the visible range.

更に、ウェーハの第1主面に対して斜めにレーザ光123を照射し、ウェーハの第1主面の上方に散乱光検知機124を配置した場合について説明した。しかし、レーザ光の入射方向と散乱されたレーザ光の検知方向との関係は、これに限定されるものではない。レーザ光をウェーハの第1主面上方から照射し、散乱光検知機124を斜めに構えて散乱されたレーザ光を検知しても構わない。或いは、斜めにレーザ光を入射し、斜めに散乱されたレーザ光を検知しても構わない。   Furthermore, the case where the laser beam 123 is irradiated obliquely to the first main surface of the wafer and the scattered light detector 124 is disposed above the first main surface of the wafer has been described. However, the relationship between the incident direction of the laser beam and the detection direction of the scattered laser beam is not limited to this. Laser light may be irradiated from above the first main surface of the wafer, and the scattered light detector 124 may be held at an angle to detect the scattered laser light. Alternatively, the laser beam may be incident obliquely and the laser beam scattered obliquely may be detected.

(第10の実施の形態)
第10の実施の形態においては、第8の実施の形態と同様に、アルカリ溶液を用いた異方性のエッチング処理を施して形成されるエッチピットを用いて、半導体ウェーハの結晶方位を決める半導体ウェーハの製造方法について述べる。第10の実施の形態においては、半導体ウェーハの第1主面上に形成されたエッチピットを用いて結晶方位を検出する場合について説明する。
(Tenth embodiment)
In the tenth embodiment, as in the eighth embodiment, a semiconductor that determines the crystal orientation of a semiconductor wafer using etch pits formed by performing an anisotropic etching process using an alkaline solution. A wafer manufacturing method will be described. In the tenth embodiment, a case where the crystal orientation is detected using etch pits formed on the first main surface of the semiconductor wafer will be described.

まず、発明者が行った第10の実施の形態に係る実験例について説明する。図49は、半導体ウェーハの一連の製造工程を示すフローチャートである。引上げられた単結晶インゴット(S50)に対して、外周研削処理(S51)を施してウェーハの径を特定し、ブロック切断処理(S52)、及びスライス処理(S53)を施して、円盤状のウェーハを形成する。ウェーハの第1主面には、(100)面が表出している。なお、単結晶インゴットに対して、結晶方位の測定及びノッチ或いはオリエンテーションフラット加工は行わないため、ウェーハの外周の形状は円形である。   First, an experimental example according to the tenth embodiment conducted by the inventors will be described. FIG. 49 is a flowchart showing a series of manufacturing steps of a semiconductor wafer. The pulled single crystal ingot (S50) is subjected to peripheral grinding (S51) to determine the diameter of the wafer, and then subjected to block cutting (S52) and slicing (S53) to obtain a disk-shaped wafer. Form. The (100) plane is exposed on the first main surface of the wafer. Note that since the measurement of crystal orientation and notch or orientation flat processing are not performed on the single crystal ingot, the shape of the outer periphery of the wafer is circular.

ウェーハの外周端部の角を落とす、いわゆる面取り処理を施す(S54)。ウェーハの外周部には、ウェーハの第1主面に対して傾斜した面(ベベル面)を有するベベル部が形成される。そして、ウェーハの第1主面及びベベル部に対してラッピング加工を施す(S55)。そして、ウェーハの第1主面の大きなうねりを除去することを主要な目的の一つとする異方性のエッチング処理を施す(S56)。異方性のエッチング処理とは、ウェーハの結晶方位によりエッチング速度の異なるアルカリ溶液を用いたエッチング処理(アルカリエッチング)を示す。アルカリ溶液としては、KOH、NaOHを用いることができる。異方性のエッチング処理を施すことにより、ウェーハの第1主面上には、(100)面とは異なる結晶方位面が表出したエッチピットが形成される。   A so-called chamfering process is performed to drop the corner of the outer peripheral edge of the wafer (S54). A bevel portion having a surface (bevel surface) inclined with respect to the first main surface of the wafer is formed on the outer peripheral portion of the wafer. Then, lapping is performed on the first main surface and the bevel portion of the wafer (S55). Then, an anisotropic etching process is performed with one of the main objectives being to remove large waviness on the first main surface of the wafer (S56). The anisotropic etching process refers to an etching process (alkali etching) using an alkaline solution having an etching rate that varies depending on the crystal orientation of the wafer. As the alkaline solution, KOH or NaOH can be used. By performing an anisotropic etching process, an etch pit in which a crystal orientation plane different from the (100) plane is exposed is formed on the first main surface of the wafer.

その後、エッチピットを除去することを主要な目的の一つとする酸溶液を用いたエッチング処理(酸エッチング)を施す(S57)。そして、ウェーハ第1主面及びベベル部にポリッシュ処理を施し(S58)、洗浄及び検査を行った(S59)後、梱包及び出荷される(S60)。   After that, an etching process (acid etching) using an acid solution whose main purpose is to remove etch pits is performed (S57). Then, the wafer first main surface and the bevel portion are polished (S58), cleaned and inspected (S59), and then packed and shipped (S60).

発明者らは、アルカリエッチング(S56)を行った後、酸エッチング(S57)を行う前のウェーハを製造ラインから抜き取り、これをサンプルウェーハとした。   The inventors extracted the wafer after performing alkali etching (S56) and before performing acid etching (S57) from the production line, and used this as a sample wafer.

図50は、実験例において使用した装置の構成を示す外観図である。サンプルウェーハ140はウェーハステージ141の上に載置されている。サンプルウェーハ140の第1主面には、第1結晶面である(100)面とは異なる第2結晶面が表出したエッチピットが多数存在する。サンプルウェーハ140の第1主面の上方に光源142を配置し、白色光を第1主面に表出したエッチピット144に垂直に入射する。エッチピット144により散乱された光は、散乱光検出器143により検出される。サンプルウェーハ140、ウェーハステージ141、光源142、及び散乱光検出器143はチャンバー146によって覆い隠されている。チャンバー146は外部から侵入する光を遮断する。   FIG. 50 is an external view showing the configuration of the apparatus used in the experimental example. The sample wafer 140 is placed on the wafer stage 141. The first main surface of the sample wafer 140 has many etch pits in which a second crystal plane different from the (100) plane which is the first crystal plane is exposed. A light source 142 is disposed above the first main surface of the sample wafer 140, and white light is vertically incident on the etch pits 144 exposed on the first main surface. The light scattered by the etch pit 144 is detected by the scattered light detector 143. The sample wafer 140, the wafer stage 141, the light source 142, and the scattered light detector 143 are covered with a chamber 146. The chamber 146 blocks light entering from the outside.

散乱光検出器143の受光面155を(100)面と平行の状態から傾けた場合の散乱光強度の変化を測定した。図51は、散乱光検出器143の受光面155の傾斜角度に対する散乱光強度の変化を示すグラフである。横軸は検出器143の傾斜角度を示し、縦軸は散乱光強度を相対値で示す。受光面155が(100)面と平行の状態、即ち傾斜角度が0°の状態においてピークが現れた。また、35°及び−35°だけ傾けた状態においてもピークが現れた。   The change in scattered light intensity was measured when the light receiving surface 155 of the scattered light detector 143 was tilted from a state parallel to the (100) plane. FIG. 51 is a graph showing changes in scattered light intensity with respect to the inclination angle of the light receiving surface 155 of the scattered light detector 143. The horizontal axis indicates the tilt angle of the detector 143, and the vertical axis indicates the scattered light intensity as a relative value. A peak appeared when the light receiving surface 155 was parallel to the (100) plane, that is, when the inclination angle was 0 °. In addition, peaks also appeared in a state tilted by 35 ° and −35 °.

図52は、サンプルウェーハ140の第1主面上に形成されたエッチピット144による光の散乱を説明する為の模式図である。光源から出射された光(147a、147b)は(100)面に対して垂直に入射する。受光面155aの傾斜角度が0°である検出器143aは、(100)面によってそのまま反射された散乱光148aを検出する。このことにより、傾斜角度が0°におけるピークが形成される。   FIG. 52 is a schematic diagram for explaining light scattering by the etch pits 144 formed on the first main surface of the sample wafer 140. Light (147a, 147b) emitted from the light source is incident perpendicular to the (100) plane. The detector 143a whose light-receiving surface 155a has an inclination angle of 0 ° detects the scattered light 148a that is directly reflected by the (100) surface. As a result, a peak at an inclination angle of 0 ° is formed.

受光面155bの傾斜角度が35°である検出器143bは、エッチピット144によって散乱された散乱光148bを検出する。このことにより、傾斜角度が35°におけるピークが形成される。図52に示すように、エッチピット144には、(111)面及び(111)面と等価な結晶面を含む第2結晶面が表出している。エッチピット144によって散乱れる光は、エッチピット144内の第2結晶面によって反射され、受光面155bが35°傾いた検出器143bによって検出される。   The detector 143b whose light receiving surface 155b has an inclination angle of 35 ° detects the scattered light 148b scattered by the etch pits 144. This forms a peak at an inclination angle of 35 °. As shown in FIG. 52, in the etch pit 144, a second crystal plane including a (111) plane and a crystal plane equivalent to the (111) plane is exposed. The light scattered by the etch pit 144 is reflected by the second crystal plane in the etch pit 144, and is detected by the detector 143b whose light receiving surface 155b is inclined by 35 °.

以上示した実験例に基づいて、第10の実施の形態に係る半導体ウェーハの製造装置について説明する。図53は、第10の実施の形態に係る半導体ウェーハの製造装置を示す外観図である。半導体ウェーハの製造装置は、アルカリエッチング直後のウェーハ表面に白色光を入射させてその散乱光を測定することにより、ウェーハの結晶方位を測定し、ウェーハにマーキングを施す装置である。   Based on the experimental example described above, a semiconductor wafer manufacturing apparatus according to the tenth embodiment will be described. FIG. 53 is an external view showing a semiconductor wafer manufacturing apparatus according to the tenth embodiment. A semiconductor wafer manufacturing apparatus is an apparatus that measures the crystal orientation of a wafer by making white light incident on the wafer surface immediately after alkali etching and measures the scattered light, thereby marking the wafer.

半導体ウェーハの製造装置は、ウェーハステージ141と、ウェーハステージ141上に載置されたウェーハ140の第1主面に光を照射し、ウェーハ140の第1主面に形成されたエッチピット144によって散乱された光の強度を測定する検出ユニット149と、散乱された光の強度の回転角度依存性に関するデータを解析するコンピュータ145と、ウェーハ140上にウェーハ140の結晶方位を示す基準IDマークを付するレーザマーカ(マーク刻印器)150と、少なくともウェーハステージ141、ウェーハ140及び検出ユニット149を覆い隠して外部から侵入する光を遮断するチャンバー146とを有する。コンピュータ145は、散乱光の強度の回転角度依存性に関するデータを解析し、ウェーハ140の傾斜角度を補正するソフトを搭載している。   The semiconductor wafer manufacturing apparatus irradiates light onto the wafer stage 141 and the first main surface of the wafer 140 placed on the wafer stage 141, and is scattered by etch pits 144 formed on the first main surface of the wafer 140. A detection unit 149 for measuring the intensity of the scattered light, a computer 145 for analyzing data on the rotation angle dependence of the intensity of the scattered light, and a reference ID mark indicating the crystal orientation of the wafer 140 on the wafer 140. It has a laser marker (mark stamper) 150 and a chamber 146 that covers at least the wafer stage 141, the wafer 140, and the detection unit 149 and blocks light entering from the outside. The computer 145 is equipped with software that analyzes data related to the rotation angle dependency of the intensity of scattered light and corrects the tilt angle of the wafer 140.

ここで、ウェーハ140の第1主面には、第1結晶面(ここでは、(100)面)が表出し、アルカリエッチングによって(100)面とは異なる、第2結晶面が表出したエッチピットが形成されている。第2結晶面には、(111)面及び(111)面に対して等価な結晶面が含まれる。検出ユニット149は、ウェーハ140の第1主面に光を照射する機能、及びウェーハ140の第1主面に形成されたエッチピット144によって散乱された光の強度を測定する機能とを有する。レーザマーカ150は、ウェーハ140の第2主面の外周部に、レーザを照射して複数のドットマークからなる基準IDマークを刻印する。なお、基準IDマークの形成位置は、ウェーハ140の第2主面の外周部に限らず、ウェーハ140の第1主面の外周部或いは側面部であっても構わない。   Here, the first crystal plane (here, (100) plane) is exposed on the first main surface of the wafer 140, and the second crystal plane is exposed by alkali etching, which is different from the (100) plane. A pit is formed. The second crystal plane includes a (111) plane and a crystal plane equivalent to the (111) plane. The detection unit 149 has a function of irradiating light to the first main surface of the wafer 140 and a function of measuring the intensity of light scattered by the etch pits 144 formed on the first main surface of the wafer 140. The laser marker 150 irradiates a laser on the outer peripheral portion of the second main surface of the wafer 140 to imprint a reference ID mark composed of a plurality of dot marks. The formation position of the reference ID mark is not limited to the outer peripheral portion of the second main surface of the wafer 140, but may be the outer peripheral portion or the side surface portion of the first main surface of the wafer 140.

図54(a)及び図54(b)は、検出ユニット149の構成を示す図である。図54(a)は検出ユニット149の断面図であり、図54(b)はウェーハ140側から観た検出ユニット149の底面図である。検出ユニット149は、ウェーハステージ上に載置されたウェーハの第1主面に光151を照射する光源154と、ウェーハの第1主面に形成されたエッチピットによって散乱された光の強度を測定する受光素子149とを有する。受光素子149として、直径が1.25cm、30万画素のCCDカメラを使用することができる。   FIGS. 54A and 54B are diagrams showing the configuration of the detection unit 149. 54A is a cross-sectional view of the detection unit 149, and FIG. 54B is a bottom view of the detection unit 149 viewed from the wafer 140 side. The detection unit 149 measures the intensity of the light scattered by the light source 154 that irradiates the light 151 on the first main surface of the wafer placed on the wafer stage and the etch pit formed on the first main surface of the wafer. Light receiving element 149. As the light receiving element 149, a CCD camera having a diameter of 1.25 cm and 300,000 pixels can be used.

受光素子149は、光151の出射口の外周を取り囲み、光151の照射方向に対して傾斜して配置されたリング状の受光面155を有する。ここでは、受光面155はほぼ円形の形状を有する。受光面155の傾斜角度は、35.3±1°に設定されている。この様に、検出ユニット149は、光源154と受光素子152とが一体となったものである。   The light receiving element 149 has a ring-shaped light receiving surface 155 that surrounds the outer periphery of the light 151 emission port and is inclined with respect to the irradiation direction of the light 151. Here, the light receiving surface 155 has a substantially circular shape. The inclination angle of the light receiving surface 155 is set to 35.3 ± 1 °. Thus, the detection unit 149 is a unit in which the light source 154 and the light receiving element 152 are integrated.

ウェーハに照射される光151は、発散或いは収束することのない平行な光の束からなる平行光束である。また、光151は、白色光であっても、単色光であっても構わない。また、可視光に限らず赤外光であっても構わない。したがって、光源154として単色レーザ或いは赤外線レーザを用いることが可能である。   The light 151 applied to the wafer is a parallel light beam composed of a bundle of parallel lights that do not diverge or converge. The light 151 may be white light or monochromatic light. In addition to visible light, infrared light may be used. Therefore, a monochromatic laser or an infrared laser can be used as the light source 154.

光源154を動作させてウェーハの第1主面に平行光束151を照射する。照射された平行光束151の内、第1主面上に形成されたエッチピットによって散乱された光が、35°傾いた受光素子152によって検出される。受光素子152は、光源154の周囲を取り囲むように配置されているため、ウェーハ或いは受光素子149を回転させることなく、エッチピットによって四方に散乱される光を同時に測定することができる。コンピュータ145は、エッチピットによって四方に散乱された光の強度を、入射光151を中心とした受光面155の回転角度ごとに評価する。   The light source 154 is operated to irradiate the first main surface of the wafer with the parallel light beam 151. Of the irradiated parallel light beam 151, the light scattered by the etch pits formed on the first main surface is detected by the light receiving element 152 inclined by 35 °. Since the light receiving element 152 is arranged so as to surround the periphery of the light source 154, the light scattered in all directions by the etch pits can be simultaneously measured without rotating the wafer or the light receiving element 149. The computer 145 evaluates the intensity of light scattered in all directions by the etch pit for each rotation angle of the light receiving surface 155 with the incident light 151 as the center.

図55は、コンピュータ145によって評価された散乱光の回転角度依存性を示すグラフである。横軸は受光面155の回転角度で特定した受光部分を示し、縦軸は散乱光強度を相対値で示す。また、図55中のリング状の受光面155は、主要な回転角度に対応する受光部分153の位置を示す。ほぼ同じ強度を有する散乱光のピークが、90°毎に4箇所に現れている。これは、エッチピットに表出した(111)面及びこれに等価な結晶面が4つ存在しているためである。受光素子を円状に配置することにより、(100)面による散乱光を取り込まず、且つ一度に(111)面及びこれに等価な結晶面による散乱光を検出することができる。   FIG. 55 is a graph showing the rotation angle dependence of the scattered light evaluated by the computer 145. The horizontal axis indicates the light receiving portion specified by the rotation angle of the light receiving surface 155, and the vertical axis indicates the scattered light intensity as a relative value. Also, a ring-shaped light receiving surface 155 in FIG. 55 indicates the position of the light receiving portion 153 corresponding to the main rotation angle. Scattered light peaks having substantially the same intensity appear at four positions every 90 °. This is because there are (111) planes exposed to the etch pits and four crystal planes equivalent thereto. By arranging the light receiving elements in a circular shape, it is possible to detect scattered light from the (111) plane and an equivalent crystal plane at a time without capturing scattered light from the (100) plane.

一方、図56も、コンピュータ145によって評価された散乱光の回転角度依存性を示すグラフである。しかし、図56に示す散乱光のピークは、間隔及び強度が不揃いである。回転角度が10°、50°、170°及び310°においてピークが現れ、各ピークの強度は均一ではない。これは、ウェーハの第1主面に表出している結晶面が(100)面からずれている場合、或いは検出ユニットがウェーハの第1主面に対して傾いている場合に生じる。この場合、ウェーハの第1主面或いは検出ユニットの角度をコンピュータを用いて補正することにより、図55に示したように均一な間隔及び強度のピ4つのークが形成されるようになる。   On the other hand, FIG. 56 is also a graph showing the rotation angle dependence of the scattered light evaluated by the computer 145. However, the scattered light peaks shown in FIG. 56 have irregular intervals and intensities. Peaks appear at rotation angles of 10 °, 50 °, 170 °, and 310 °, and the intensity of each peak is not uniform. This occurs when the crystal plane exposed on the first main surface of the wafer is shifted from the (100) plane, or when the detection unit is inclined with respect to the first main surface of the wafer. In this case, by correcting the angle of the first main surface of the wafer or the detection unit using a computer, four peaks having a uniform interval and strength are formed as shown in FIG.

また、ウェーハ140と検出ユニット149内の受光素子152との距離には最適値がある。具体的には、図57(a)に示すように、ウェーハ140へ照射される平行光束151の中心と受光面155の中心との距離が、ウェーハ140の第1主面と受光面155の中心との距離に対して0.7であることが望ましい。   The distance between the wafer 140 and the light receiving element 152 in the detection unit 149 has an optimum value. Specifically, as shown in FIG. 57A, the distance between the center of the parallel light beam 151 irradiated to the wafer 140 and the center of the light receiving surface 155 is the center of the first main surface of the wafer 140 and the center of the light receiving surface 155. It is desirable that the distance is 0.7.

図57(b)は、ウェーハ140へ照射される平行光束151の中心と受光面155の中心との距離(d)を固定し、ウェーハ140の第1主面と受光面155の中心との距離(d)を変化させて、散乱光の強度の変動を測定した結果を示す。横軸は(d/d)を示し、縦軸は散乱光強度を示す。図57(b)に示すように、(d/d)=0.7±0.1において、散乱光強度の極大値が得られる。これは、図52に示した(111)面及びこれに等価な結晶面による散乱光148bを最も効率的に検出していることを示している。 FIG. 57B fixes the distance (d L ) between the center of the parallel light beam 151 irradiated to the wafer 140 and the center of the light receiving surface 155, and sets the distance between the first main surface of the wafer 140 and the center of the light receiving surface 155. distance (d W) is changed to show the result of measuring the variations in intensity of the scattered light. The horizontal axis represents (d L / d W ), and the vertical axis represents scattered light intensity. As shown in FIG. 57 (b), the maximum value of the scattered light intensity is obtained when (d L / d W ) = 0.7 ± 0.1. This indicates that the scattered light 148b from the (111) plane shown in FIG. 52 and the equivalent crystal plane is detected most efficiently.

以上のように、検出ユニット149とウェーハとの距離、及びウェーハ140或いは検出ユニット149の傾きを補正することにより、測定精度が向上する。   As described above, the measurement accuracy is improved by correcting the distance between the detection unit 149 and the wafer and the inclination of the wafer 140 or the detection unit 149.

図58は、第10の実施の形態に係る半導体ウェーハの製造方法を示すフローチャートである。図58は、図49のフローチャートとほぼ同一である。図49におけるサンプルウェーハを抜き取る代わりに、図58においては、図53に示した半導体ウェーハの製造装置を用いて、結晶方位の測定及びマーキング(S61)を行う。   FIG. 58 is a flowchart showing a method for manufacturing a semiconductor wafer according to the tenth embodiment. FIG. 58 is almost the same as the flowchart of FIG. In place of extracting the sample wafer in FIG. 49, in FIG. 58, the crystal orientation is measured and marked (S61) using the semiconductor wafer manufacturing apparatus shown in FIG.

具体的には、アルカリエッチング(S56)を行った後のウェーハに対して、エッチング処理によりウェーハの第1主面に形成されたエッチピットに平行光束を照射する。エッチピット内に表出した第2結晶面によって散乱された光を測定し、散乱光強度の回転角度依存性を評価する。そして、ウェーハ上にウェーハの結晶方位を示す基準IDマークを付す。その後、酸エッチングによりエッチピットを除去する(S57)。   Specifically, the wafer after the alkali etching (S56) is irradiated with a parallel light beam on etch pits formed on the first main surface of the wafer by the etching process. The light scattered by the second crystal plane exposed in the etch pit is measured, and the rotation angle dependence of the scattered light intensity is evaluated. Then, a reference ID mark indicating the crystal orientation of the wafer is attached on the wafer. Thereafter, etch pits are removed by acid etching (S57).

(第10の実施の形態の比較例)
アルカリエッチング(S56)の後、酸エッチング(S57)の前に、ウェーハにX線を照射して結晶方位を測定した。そして、測定結果に基づいてマーキング装置を用いてウェーハにマーキングした。
(Comparative example of the tenth embodiment)
After alkali etching (S56) and before acid etching (S57), the wafer was irradiated with X-rays to measure crystal orientation. And it marked on the wafer using the marking apparatus based on the measurement result.

図59は、ウェーハの方位測定及びマーキングに要する時間について、第10の実施の形態と比較例とを比較したグラフである。比較例ではウェーハ1枚当たり10〜20分程度の時間を要するが、第10の実施の形態ではウェーハ1枚当たり1〜2分程度であった。なお、第10の実施の形態では可視光或いは赤外線を使用するが、比較例では人体への悪影響を及ぼすX線を使用しているため、安全を確保するための装置が必要となる。   FIG. 59 is a graph comparing the tenth embodiment and the comparative example with respect to the time required for wafer orientation measurement and marking. In the comparative example, it takes about 10 to 20 minutes per wafer, but in the tenth embodiment, it is about 1 to 2 minutes per wafer. In the tenth embodiment, visible light or infrared light is used, but in the comparative example, X-rays that adversely affect the human body are used, and thus a device for ensuring safety is required.

したがって、第10の実施の形態によれば、製造コストを抑え、安全に、且つ短時間に高精度なウェーハの結晶方位測定及びマーキングを行うことができる。   Therefore, according to the tenth embodiment, the manufacturing cost can be reduced, and the crystal orientation measurement and marking of the wafer can be performed safely and accurately in a short time.

(第11の実施の形態)
近年、半導体集積回路の高性能化が進み、省電力・高速動作の特徴を持つSOIウェーハの採用が本格的となってきている。しかしながら、直接接合法によりSOIウェーハを製造する場合、1枚のSOIウェーハの製造において2枚のウェーハが必要となり、ウェーハ価格が高いという欠点を有する。例えば、8インチウェーハの価格は1枚当たり10万円程度である。したがって、低価格化はSOIウェーハの最大懸念事項の一つである。
(Eleventh embodiment)
In recent years, the performance of semiconductor integrated circuits has been improved, and the adoption of SOI wafers having features of power saving and high-speed operation has become serious. However, when an SOI wafer is manufactured by the direct bonding method, two wafers are required for manufacturing one SOI wafer, which has a disadvantage that the wafer price is high. For example, the price of an 8-inch wafer is about 100,000 yen per sheet. Therefore, price reduction is one of the biggest concerns of SOI wafers.

一方、半導体製品の特性を更に改善する為に、最近では製造プロセスに様々な元素を使用するようになってきている。これに伴い、ノッチ部分(凹部分)に残留するダストによる次工程への汚染の防止、ウェーハ−ウェーハ間の汚染防止のため、ウェーハの洗浄工程が重要になってきている。しかし、ノッチ部分に堆積してしまった元素(ダスト)は様々な洗浄方法を用いても除去することができない。よって、ノッチがウェーハの汚染起因となってしまい、製造歩留りを低下させてしまっている。   On the other hand, in order to further improve the characteristics of semiconductor products, various elements have recently been used in the manufacturing process. Along with this, a wafer cleaning process has become important in order to prevent contamination of the next process due to dust remaining in the notch portion (recessed portion) and contamination between the wafer and the wafer. However, the element (dust) deposited on the notch portion cannot be removed by using various cleaning methods. Therefore, the notch causes contamination of the wafer, and the manufacturing yield is lowered.

第11の実施の形態においては、第5の実施の形態と同様に、単結晶シリコンからなる基体ウェーハと、基体ウェーハの主面上に配置された絶縁層と、絶縁層の上に配置されたSOI層(単結晶シリコン層)とを具備するSOIウェーハについて説明する。特に、基体ウェーハ上にノッチ及びオリエンテーションフラットが存在しない、基体ウェーハ外周が円形であるSOIウェーハ及びその製造方法について説明する。   In the eleventh embodiment, as in the fifth embodiment, the substrate wafer made of single crystal silicon, the insulating layer disposed on the main surface of the substrate wafer, and the insulating layer are disposed on the insulating layer. An SOI wafer having an SOI layer (single crystal silicon layer) will be described. In particular, an SOI wafer in which a notch and an orientation flat are not present on the base wafer and the outer periphery of the base wafer is circular and a manufacturing method thereof will be described.

図60(a)は、第11の実施の形態に係るSOIウェーハの全体構成を示す外観図である。SOIウェーハ173は、外周の形状が円形である基体ウェーハ160と、基体ウェーハ160の外周部に形成されたベベル部と、基体ウェーハ160の上に配置された絶縁層と、絶縁層の上に配置されたSOI層と、SOI層の外周部に形成された基準位置169と、SOI層の結晶方位を示す基準IDマークとを具備する。   FIG. 60A is an external view showing the entire configuration of the SOI wafer according to the eleventh embodiment. The SOI wafer 173 includes a base wafer 160 having a circular outer periphery, a bevel formed on the outer periphery of the base wafer 160, an insulating layer disposed on the base wafer 160, and an insulating layer. And a reference position 169 formed on the outer periphery of the SOI layer, and a reference ID mark indicating the crystal orientation of the SOI layer.

基準位置169は、SOI層の結晶方位を示すノッチ或いはオリエンテーションフラットである。ここでは、基準位置がノッチ169である場合について説明を続ける。   The reference position 169 is a notch or an orientation flat indicating the crystal orientation of the SOI layer. Here, the description of the case where the reference position is the notch 169 is continued.

図60(b)は、ノッチ169及びその近傍に形成された基準IDマークを示す部分拡大図である。基体ウェーハ160の主面上に絶縁層172が配置され、絶縁層172の上にSOI層171が配置さている。ノッチ169は、絶縁層172及びSOI層171の外周部に形成されている。なお、ノッチ169は、少なくともSOI層171の外周部にのみ形成されていれば良い。即ち、絶縁層172に形成されていてもいなくてもどちらでも構わない。   FIG. 60B is a partially enlarged view showing the notch 169 and the reference ID mark formed in the vicinity thereof. An insulating layer 172 is disposed on the main surface of the substrate wafer 160, and an SOI layer 171 is disposed on the insulating layer 172. The notch 169 is formed in the outer peripheral portion of the insulating layer 172 and the SOI layer 171. Note that the notch 169 may be formed only at least in the outer peripheral portion of the SOI layer 171. That is, it does not matter whether it is formed on the insulating layer 172 or not.

基準IDマーク165は、ノッチ169に合わせて基体ウェーハ160のベベル部上に付されている。また、基準IDマーク165に隣接して、SOIウェーハ173に関する情報を示すIDマーク164が、基体ウェーハ160のベベル部上に付されている。ここでは、基準IDマーク165として、“△”の印を使用する。但し、これに限定されることはない。基準IDマーク165は、他の実施の形態で示したように、SOI層の結晶方位を認識するためのマークであれば、どんな形状のマークであっても構わない。IDマーク164は、SOIウェーハ173の品質を管理することを主要な目的の一つとした複数の英数字である。以後の説明においては、基準IDマーク165及びIDマーク164をまとめて、IDマーク162と呼ぶ。   The reference ID mark 165 is attached on the bevel portion of the base wafer 160 in alignment with the notch 169. Further, an ID mark 164 indicating information on the SOI wafer 173 is attached on the bevel portion of the base wafer 160 adjacent to the reference ID mark 165. Here, a mark “Δ” is used as the reference ID mark 165. However, it is not limited to this. As shown in other embodiments, the reference ID mark 165 may be a mark of any shape as long as it is a mark for recognizing the crystal orientation of the SOI layer. The ID mark 164 is a plurality of alphanumeric characters whose main purpose is to manage the quality of the SOI wafer 173. In the following description, the reference ID mark 165 and the ID mark 164 are collectively referred to as an ID mark 162.

次に、図60に示したSOIウェーハ173の製造方法を説明する。第11の実施の形態に係るSOIウェーハ173は、直接接合法により作製されるSOIウェーハである。図61は、第11の実施の形態に係る基体ウェーハ160の製造方法を示すフローチャートである。まず、引上げられた単結晶インゴット(S71)に対して、外周研削処理(S72)を施してウェーハの径を特定し、スライス処理(S75)を施して、円盤状のウェーハを形成する。なお、単結晶インゴットに対して、結晶方位の測定及びノッチ或いはオリエンテーションフラット加工は行わないため、ウェーハの外周の形状は円形である。   Next, a method for manufacturing the SOI wafer 173 shown in FIG. 60 will be described. The SOI wafer 173 according to the eleventh embodiment is an SOI wafer manufactured by a direct bonding method. FIG. 61 is a flowchart showing a method for manufacturing a substrate wafer 160 according to the eleventh embodiment. First, a peripheral grinding process (S72) is performed on the pulled single crystal ingot (S71) to specify the diameter of the wafer, and a slicing process (S75) is performed to form a disk-shaped wafer. Note that since the measurement of crystal orientation and notch or orientation flat processing are not performed on the single crystal ingot, the shape of the outer periphery of the wafer is circular.

ウェーハの外周端部の角を落とす、いわゆる面取り処理を施す(S76)。ウェーハの外周部には、ウェーハの主面に対して傾斜した面(ベベル面)を有するベベル部が形成される。そして、ウェーハの主面及びベベル部に対してラッピング加工を施す(S76)。そして、ウェーハの主面の大きなうねりを除去することを主要な目的の一つとするエッチング処理を施す(S78)。エッチング処理には、アルカリ溶液を用いたエッチング処理(アルカリエッチング)と、酸溶液を用いたエッチング処理(酸エッチング)とが含まれる。   A so-called chamfering process is performed to drop the corner of the outer peripheral edge of the wafer (S76). A bevel portion having a surface (bevel surface) inclined with respect to the main surface of the wafer is formed on the outer peripheral portion of the wafer. Then, lapping is performed on the main surface and the bevel portion of the wafer (S76). Then, an etching process is performed with one of the main purposes being to remove large waviness on the main surface of the wafer (S78). The etching process includes an etching process using an alkaline solution (alkali etching) and an etching process using an acid solution (acid etching).

そして、ウェーハ主面及びベベル部に鏡面研磨処理を施し(S79)、洗浄及び検査を行う。その後、ウェーハのベベル部に、結晶方位の認識及びSOIウェーハの品質管理のためのIDマーク162を描画する。以上の工程を経て、第11の実施の形態に係る基体ウェーハ160が完成する。   Then, a mirror polishing process is performed on the wafer main surface and the bevel portion (S79), and cleaning and inspection are performed. Thereafter, an ID mark 162 for recognizing crystal orientation and quality control of the SOI wafer is drawn on the bevel portion of the wafer. The substrate wafer 160 according to the eleventh embodiment is completed through the above steps.

図62(a)は、図61に示したフローチャートに従って製造された基体ウェーハ160の全体構成を示す外観図である。基体ウェーハ160の外周は円形の形状を有し、ノッチ或いはオリエンテーションフラットなどの基準位置は形成されていない。基体ウェーハ160の外周部にはベベル部163が形成されている。図62(b)は、IDマーク162が描画された部分を拡大した図である。IDマーク162は、ベベル部163の主面161に近い側に描画されている。   FIG. 62A is an external view showing the overall configuration of the base wafer 160 manufactured according to the flowchart shown in FIG. The outer periphery of the substrate wafer 160 has a circular shape, and a reference position such as a notch or an orientation flat is not formed. A bevel portion 163 is formed on the outer peripheral portion of the base wafer 160. FIG. 62B is an enlarged view of a portion where the ID mark 162 is drawn. The ID mark 162 is drawn on the side close to the main surface 161 of the bevel portion 163.

図63は、第11の実施の形態に係るSOI層用ウェーハ166の製造方法を示すフローチャートである。まず、図61に示した基体ウェーハ160の製造方法に準じた方法によって、ウェーハの外周にノッチが形成されたシリコン(Si)ウェーハを製造する。但し、外周研磨(S72)の後、スライス処理(S75)の前に、X線を用いて単結晶インゴットの結晶方位を測定し、単結晶インゴットに対してノッチ加工を施す。この様にして、ウェーハの外周にノッチが形成されたシリコン(Si)ウェーハ170が製造される。   FIG. 63 is a flowchart showing a method of manufacturing the SOI layer wafer 166 according to the eleventh embodiment. First, a silicon (Si) wafer having a notch formed on the outer periphery of the wafer is manufactured by a method according to the manufacturing method of the base wafer 160 shown in FIG. However, after the peripheral polishing (S72) and before the slicing process (S75), the crystal orientation of the single crystal ingot is measured using X-rays, and the single crystal ingot is notched. In this manner, a silicon (Si) wafer 170 having a notch formed on the outer periphery of the wafer is manufactured.

次に、図63に示すように、Siウェーハの第1主面に対して熱処理を加えて熱酸化膜を形成する(S91)。或いは、シリコン酸化膜をSiウェーハの第1主面上に堆積してもよい。これらの熱酸化膜或いはシリコン酸化膜(以後、単に「酸化膜」と呼ぶ)は、SOIウェーハ173におけるBOX層として機能するとなる埋め込み酸化膜172となる。酸化膜の上からSiウェーハの第1主面に対してイオン注入法を用いて水素イオンを注入する(S92)。イオン注入条件は、例えばイオンの加速エネルギーを50keV程度に、注入密度を1017/cmにそれぞれ設定する。Siウェーハ170の内部に酸化膜と離間して水素イオン注入層が形成される。以上の工程を経て、SOI層用ウェーハ166が完成する。 Next, as shown in FIG. 63, heat treatment is applied to the first main surface of the Si wafer to form a thermal oxide film (S91). Alternatively, a silicon oxide film may be deposited on the first main surface of the Si wafer. These thermal oxide films or silicon oxide films (hereinafter simply referred to as “oxide films”) serve as buried oxide films 172 that function as BOX layers in the SOI wafer 173. Hydrogen ions are implanted into the first main surface of the Si wafer from above the oxide film using an ion implantation method (S92). As ion implantation conditions, for example, the acceleration energy of ions is set to about 50 keV and the implantation density is set to 10 17 / cm 2 . A hydrogen ion implanted layer is formed inside the Si wafer 170 so as to be separated from the oxide film. Through the above steps, the SOI layer wafer 166 is completed.

図64(a)は、図63に示したフローチャートに従って製造されたSOI層用ウェーハ166の全体構成を示す外観図である。SOI層用ウェーハ166のベベル部170にはノッチ169が形成されている。図64(b)は、SOI層用ウェーハ166の断面構成を示す図である。SOI層用ウェーハ166の第1主面167には酸化膜172が形成されている。また、ウェーハ170内部には酸化膜172と離間して水素イオン注入層168が形成されている。酸化膜172と水素イオン注入層168との間に配置された層が、SOI層(単結晶シリコン層)171となる。   FIG. 64A is an external view showing the overall configuration of the SOI layer wafer 166 manufactured according to the flowchart shown in FIG. A notch 169 is formed in the bevel portion 170 of the SOI layer wafer 166. FIG. 64B is a diagram showing a cross-sectional configuration of the SOI layer wafer 166. An oxide film 172 is formed on the first main surface 167 of the SOI layer wafer 166. Further, a hydrogen ion implantation layer 168 is formed inside the wafer 170 so as to be separated from the oxide film 172. A layer disposed between the oxide film 172 and the hydrogen ion implantation layer 168 becomes an SOI layer (single crystal silicon layer) 171.

図65は、図62の基体ウェーハ160と図64のSOI層用ウェーハ166とを用いて、第11の実施の形態に係るSOIウェーハ173を製造する方法を示すフローチャートである。まず、基体ウェーハ160の主面161と、SOI層用ウェーハ166の第1主面167とを、室温にて接着する(S95)。このとき、SOI層用ウェーハ166の外周部に形成されたノッチ169と、基体ウェーハ160のベベル部163に形成された基準IDマーク“△”とを合わせた状態で、基体ウェーハ160とSOI層用ウェーハ166とを貼り合わせる。ノッチ169と基準IDマーク165との位置合わせには、CCDによる光学式のマーク読み取り装置を使用すればよい。ベベル部に形成された“△”マーク165を参照にして両ウェーハ(160、166)の方位合わせを行う。   FIG. 65 is a flowchart showing a method of manufacturing an SOI wafer 173 according to the eleventh embodiment using the base wafer 160 of FIG. 62 and the SOI layer wafer 166 of FIG. First, the main surface 161 of the base wafer 160 and the first main surface 167 of the SOI layer wafer 166 are bonded at room temperature (S95). At this time, the notch 169 formed on the outer peripheral portion of the SOI layer wafer 166 and the reference ID mark “Δ” formed on the bevel portion 163 of the base wafer 160 are aligned with each other for the base wafer 160 and the SOI layer. The wafer 166 is bonded together. For alignment between the notch 169 and the reference ID mark 165, an optical mark reading device using a CCD may be used. The orientation of both wafers (160, 166) is adjusted with reference to the “Δ” mark 165 formed on the bevel portion.

その後、熱処理を加えながら、SOI層用ウェーハ166の水素イオン注入層168を境にしてSOI層用ウェーハ166を壁開する(S96)。結果として、基体ウェーハ160の主面161の上に酸化膜(埋め込み酸化膜)172、及びSOI層171が一体化される。最後に、幣開された面に対して鏡面研磨加工を施して(S97)、図60に示したSOIウェーハ173が完成する。   Thereafter, the wall of the SOI layer wafer 166 is opened with the hydrogen ion implantation layer 168 of the SOI layer wafer 166 as a boundary while applying heat treatment (S96). As a result, the oxide film (buried oxide film) 172 and the SOI layer 171 are integrated on the main surface 161 of the base wafer 160. Lastly, the polished surface is subjected to mirror polishing (S97), and the SOI wafer 173 shown in FIG. 60 is completed.

なお、ここでは、基体ウェーハ160とSOI層用ウェーハ166とを貼り合わせた後に、水素イオン注入層168を境にしてSOI層用ウェーハ166を壁開する方法について述べた。しかし、第11の実施の形態に係るSOIウェーハの製造方法はこれに限定されるものではない。水素イオン注入層168を形成せずに、基体ウェーハ160とSOI層用ウェーハ166を貼り合わせ或いは接着しても構わない。この場合、両ウェーハ(160、166)を接着した後、第1主面167に対向する第2主面からSOI層用ウェーハ166を所望の薄さまで薄膜化することによって、SOI層171を形成すればよい。薄膜化するための手段としては、化学的機械的研磨(Chemical Mechanical Polishing:CMP)、もしくは化学的或いは物理的なエッチングを使用することができる。   Here, the method of opening the SOI layer wafer 166 with the hydrogen ion implantation layer 168 as a boundary after the base wafer 160 and the SOI layer wafer 166 are bonded together has been described. However, the SOI wafer manufacturing method according to the eleventh embodiment is not limited to this. The base wafer 160 and the SOI layer wafer 166 may be bonded or bonded without forming the hydrogen ion implantation layer 168. In this case, after bonding both wafers (160, 166), the SOI layer 171 is formed by thinning the SOI layer wafer 166 from the second main surface facing the first main surface 167 to a desired thickness. That's fine. As a means for thinning, chemical mechanical polishing (CMP) or chemical or physical etching can be used.

以上説明したように、SOIウェーハにおけるノッチやオリエンテーションフラットは、半導体集積回路を作製する上でSOI層の面内方位を知るために必要なものであるため、基体ウェーハの結晶方位がSOI層用ウェーハの結晶方位とズレていても特に問題がない。よって、SOI層の結晶方位さえ知ることができれば、基体ウェーハは、ノッチやオリエンテーションフラットがない、単純な円盤状であっても構わない。   As described above, the notch and the orientation flat in the SOI wafer are necessary for knowing the in-plane orientation of the SOI layer in manufacturing the semiconductor integrated circuit. Therefore, the crystal orientation of the base wafer is the SOI layer wafer. There is no particular problem even if the crystal orientation is misaligned. Therefore, as long as the crystal orientation of the SOI layer can be known, the substrate wafer may have a simple disk shape without a notch or an orientation flat.

(第11の実施の形態の変形例1)
第11の実施の形態では、図62(b)に示したように、基体ウェーハ160のベベル部に描画するIDマーク162が英数字コード164及び“△”マーク165からなる場合について述べた。第11の実施の形態の変形例1では、英数字コード及び“△”マークの代わりに、バーコードである場合について述べる。
(Modification 1 of the eleventh embodiment)
In the eleventh embodiment, as shown in FIG. 62B, the case where the ID mark 162 drawn on the bevel portion of the base wafer 160 is composed of the alphanumeric code 164 and the “Δ” mark 165 has been described. In the first modification of the eleventh embodiment, a case where a bar code is used instead of the alphanumeric code and the “Δ” mark will be described.

図66(a)に示すように、SOI層用ウェーハ166と基体ウェーハ160とが貼り合わされている。SOI層用ウェーハ166にはノッチ169が形成されている。一方、基体ウェーハ160のベベル部には、ノッチ169に合わせてバーコード175が付されている。図66(b)に示すように、バーコード175は、ノッチ169に隣接して、ベベル部のSOI層用ウェーハ166に近い側に付されている。なお、バーコード175には、図66(b)に示すような1次元バーコードのほかに2次元バーコードが含まれる。   As shown in FIG. 66A, the SOI layer wafer 166 and the base wafer 160 are bonded together. A notch 169 is formed in the SOI layer wafer 166. On the other hand, a bar code 175 is attached to the bevel portion of the base wafer 160 according to the notch 169. As shown in FIG. 66B, the bar code 175 is provided adjacent to the notch 169 and on the side near the SOI layer wafer 166 in the bevel portion. The barcode 175 includes a two-dimensional barcode in addition to the one-dimensional barcode as shown in FIG. 66 (b).

(第11の実施の形態の変形例2)
一般的に、SOIウェーハにおけるノッチやオリエンテーションフラットは、半導体集積回路を作製する上でSOI層171の面内方位を知るために必要なものである。したがって、基体ウェーハ160の結晶方位は特に問題とならない。また、SOI層用ウェーハ166には、SOI層171の結晶方位を示すノッチ169が形成されている。したがって、ノッチ169を用いてSOI層171の結晶方位を認識することができれば、基体ウェーハ160にSOI層171の結晶方位を示す為の“△”マーク165などの基準IDマークを付す必要がない。
(Modification 2 of the eleventh embodiment)
In general, a notch and an orientation flat in an SOI wafer are necessary for knowing the in-plane orientation of the SOI layer 171 when manufacturing a semiconductor integrated circuit. Accordingly, the crystal orientation of the base wafer 160 is not particularly problematic. The SOI layer wafer 166 has a notch 169 indicating the crystal orientation of the SOI layer 171. Therefore, if the crystal orientation of the SOI layer 171 can be recognized using the notch 169, it is not necessary to attach a reference ID mark such as the “Δ” mark 165 for indicating the crystal orientation of the SOI layer 171 to the base wafer 160.

そこで、第11の実施の形態の変形例2では、基体ウェーハ160にSOI層171の結晶方位を示す為の基準IDマークが形成されていない場合について述べる。図67(a)に示すように、第11の実施の形態の変形例2に係るSOIウェーハ176は、ノッチ169が形成されたSOI層用ウェーハ166と、基準IDマークが一切形成されていない基体ウェーハ160とを張り合わせて製造されている。図67(b)に示すように、SOI層用ウェーハ166の埋め込み酸化膜及びSOI層には、ノッチ169が形成されている。一方、基体ウェーハ160のベベル部には、結晶方位を示す基準IDマーク、及びSOIウェーハの品質を管理する為のIDマークが一切形成されていない。   Therefore, in Modification 2 of the eleventh embodiment, a case will be described in which a reference ID mark for indicating the crystal orientation of the SOI layer 171 is not formed on the base wafer 160. As shown in FIG. 67 (a), an SOI wafer 176 according to the second modification of the eleventh embodiment includes an SOI layer wafer 166 in which a notch 169 is formed, and a substrate on which no reference ID mark is formed. The wafer 160 is manufactured by bonding. As shown in FIG. 67B, a notch 169 is formed in the buried oxide film and the SOI layer of the SOI layer wafer 166. On the other hand, the reference ID mark indicating the crystal orientation and the ID mark for managing the quality of the SOI wafer are not formed on the bevel portion of the base wafer 160.

なお、ウェーハの結晶方位の合わせが必要な半導体集積回路の作製工程においては、CCDカメラによる光学式のマーク読み取り装置を使用して、SOI層171のノッチ169を認識して、結晶方位の合わせを行うことができる。   In the manufacturing process of a semiconductor integrated circuit that requires alignment of the crystal orientation of the wafer, an optical mark reading device using a CCD camera is used to recognize the notch 169 of the SOI layer 171 and align the crystal orientation. It can be carried out.

以上説明したように、基体ウェーハの外周形状がノッチ或いはオリエンテーションフラットが存在しない円形であっても、SOI層用ウェーハの内の少なくともSOI層の外周にノッチ或いはオリエンテーションフラットが形成されていれば、半導体集積回路を作製する上でSOI層の面内方位を知ることができる。更に、SOI層用ウェーハのノッチ等に合わせて、基体ウェーハのベベル部上に基準IDマークを付しておくことで、SOI層の外周のノッチ等を直接検出することなく、基準IDマークを読み取ることで、簡便な方法で迅速にSOI層の結晶方位を求めることができる。   As described above, even if the outer peripheral shape of the base wafer is a circle having no notch or orientation flat, if the notch or orientation flat is formed at least on the outer periphery of the SOI layer in the SOI layer wafer, the semiconductor In manufacturing the integrated circuit, the in-plane orientation of the SOI layer can be known. Furthermore, the reference ID mark is read on the bevel portion of the base wafer in accordance with the notch of the SOI layer wafer without directly detecting the notch on the outer periphery of the SOI layer. Thus, the crystal orientation of the SOI layer can be quickly obtained by a simple method.

基体ウェーハを製造する上で、ノッチ或いはオリエンテーションフラットの加工コストを削減することができるため、SOIウェーハの低価格化が実現できる。また、外周の形状が円形である為、半導体集積回路の作製工程におけるウェーハ面内の均一性が向上する。更に、製造途中で発生する残膜等のダストがノッチ部分に残留することがなく、後工程への汚染(クロスコンタミネーション)を防止できる。よって、低コストで高品質な半導体ウェーハを供給することができる。   When manufacturing the base wafer, the processing cost of the notch or the orientation flat can be reduced, so that the cost of the SOI wafer can be reduced. In addition, since the outer peripheral shape is circular, the uniformity within the wafer surface in the manufacturing process of the semiconductor integrated circuit is improved. Further, dust such as a residual film generated during the production does not remain in the notch portion, and contamination (cross contamination) in the subsequent process can be prevented. Therefore, a high-quality semiconductor wafer can be supplied at low cost.

(第11の実施の形態の比較例)
第11の実施の形態の比較例に係るSOIウェーハは、ノッチを有する基体ウェーハと、ノッチを有するSOI層用ウェーハとを貼り合わせることにより製造される。即ち、基体ウェーハは、基準IDマークの代わりにノッチを有する。以下に、比較例に係る基体ウェーハの製造方法及びSOIウェーハについて説明する。
(Comparative example of the eleventh embodiment)
The SOI wafer according to the comparative example of the eleventh embodiment is manufactured by bonding a base wafer having a notch and an SOI layer wafer having a notch. That is, the base wafer has a notch instead of the reference ID mark. Below, the manufacturing method of the base wafer and SOI wafer which concern on a comparative example are demonstrated.

図68は、第11の実施の形態の比較例に係る基体ウェーハ177の製造方法を示すフローチャートである。図61と同様に、引上げられた単結晶インゴット(S71)に対して外周研削処理(S72)を施す。その後、X線に用いて単結晶インゴットの結晶方位を測定する(S73)。そして、ウェーハの面内結晶方位を示す(通常は[110]方向を示す)ノッチ或いはオリエンテーションフラットを形成する(S74)。その後、図61と同様にS75乃至S79の処理を施す。そして、ウェーハの裏面に品質管理用のレーザマークを付す(S81)。これは、表面側にマーキングしてしまうとマークの凹凸がウェハ接着時の障害となるからである。   FIG. 68 is a flowchart showing a method for manufacturing the base wafer 177 according to the comparative example of the eleventh embodiment. Similar to FIG. 61, the peripheral grinding process (S72) is performed on the pulled single crystal ingot (S71). Thereafter, the crystal orientation of the single crystal ingot is measured using X-rays (S73). Then, a notch or orientation flat indicating the in-plane crystal orientation of the wafer (usually indicating the [110] direction) is formed (S74). Thereafter, the processes of S75 to S79 are performed as in FIG. Then, a laser mark for quality control is attached to the back surface of the wafer (S81). This is because if the marking is made on the surface side, the unevenness of the mark becomes an obstacle at the time of wafer bonding.

図69(a)及び(b)は、比較例に係るSOIウェーハ178の構成を示す。SOIウェーハ178は、図68のフローチャートに従って製造された基体ウェーハ177と、SOI層用ウェーハ166とを貼り合わせて製造される。ここで、SOI層用ウェーハ166は、図63のフローチャートに従って製造され、図64に示したSOI層用ウェーハと同一構成を有する。また、基体ウェーハ177とSOI層用ウェーハ166との貼り合わせは、図65に示したフローチャートに従って行われる。このとき、SOI層用ウェーハ166のノッチ169に対して、基体ウェーハ177のノッチ179を位置合わせて、両ウェーハ(166、177)が貼り合わされる。   FIGS. 69A and 69B show the configuration of an SOI wafer 178 according to a comparative example. The SOI wafer 178 is manufactured by bonding the base wafer 177 manufactured according to the flowchart of FIG. 68 and the SOI layer wafer 166. Here, the SOI layer wafer 166 is manufactured according to the flowchart of FIG. 63, and has the same configuration as the SOI layer wafer shown in FIG. Further, the bonding of the base wafer 177 and the SOI layer wafer 166 is performed according to the flowchart shown in FIG. At this time, the notches 169 of the base wafer 177 are aligned with the notches 169 of the SOI layer wafer 166, and the two wafers (166, 177) are bonded together.

従って、図69(a)に示すように、両ノッチ(169、179)の位置は一致している。即ち、比較例に係るSOIウェーハ178は、第11の実施の形態に係る図60のSOIウェーハ173と比較して、基体ウェーハ177に基準IDマークを付す代わりにノッチ179が形成され、ノッチ179を基準にして貼り合わせが行われている。   Therefore, as shown in FIG. 69A, the positions of both notches (169, 179) coincide. That is, in the SOI wafer 178 according to the comparative example, a notch 179 is formed instead of attaching the reference ID mark to the base wafer 177 as compared with the SOI wafer 173 in FIG. 60 according to the eleventh embodiment. Bonding is performed based on the standard.

なお、図69(b)に示すように、ウェーハの裏面には品質管理用のレーザマーク164が付されている。   As shown in FIG. 69 (b), a laser mark 164 for quality control is attached to the back surface of the wafer.

第1の実施の形態に係る半導体ウェーハの部分外観図である。1 is a partial external view of a semiconductor wafer according to a first embodiment. 図2(a)乃至(c)は、第2の実施の形態に係る半導体ウェーハのマーキング方法を示すフローチャートである。FIGS. 2A to 2C are flowcharts showing a method for marking a semiconductor wafer according to the second embodiment. 第3の実施の形態に係る半導体ウェーハの部分外観図である。It is a partial external view of the semiconductor wafer which concerns on 3rd Embodiment. スループット(所要時間)について、第3の実施の形態と比較例とを比較する図である。It is a figure which compares 3rd Embodiment and a comparative example about a throughput (required time). 第3の実施の形態の変形例における、ウェーハのベベル部の形状を求める方法を説明する図である。It is a figure explaining the method of calculating | requiring the shape of the bevel part of a wafer in the modification of 3rd Embodiment. 第3の実施の形態の変形例1に係るIDマークの読み取り結果を示す表である。It is a table | surface which shows the reading result of the ID mark which concerns on the modification 1 of 3rd Embodiment. 半導体ウェーハの外周部に形成されたベベル部の構成及びベベル部上に形成されたIDマークを示す断面図である。It is sectional drawing which shows the structure of the bevel part formed in the outer peripheral part of a semiconductor wafer, and the ID mark formed on the bevel part. 第4の実施の形態に係る、ノッチの端部を基準にして左右に分けてIDマークを刻印する場合を示す半導体ウェーハの部分外観図である。It is a partial external view of the semiconductor wafer which shows the case where it divides into right and left based on the edge part of a notch based on 4th Embodiment, and stamps an ID mark. 第4の実施の形態の比較例として、ノッチの端部を基準にして同じ右側に並べてIDマークを刻印する場合を示す半導体ウェーハの部分外観図である。It is a partial external view of the semiconductor wafer which shows the case where it arranges on the same right side on the basis of the edge part of a notch as a comparative example of 4th Embodiment, and marks an ID mark. 図9に示した半導体ウェーハにIDマークを刻印するために要する時間を示す表である。10 is a table showing the time required to imprint an ID mark on the semiconductor wafer shown in FIG. 9. 第5の実施の形態に係るSOIウェーハ全体を示す平面図である。It is a top view which shows the whole SOI wafer which concerns on 5th Embodiment. 図11に示したSOIウェーハのノッチ周辺の構成を示す部分断面図である。It is a fragmentary sectional view which shows the structure of the notch periphery of the SOI wafer shown in FIG. 埋め込み酸化膜上のSOI層に対してレーザマーキングを施した場合の問題点を示す断面図である。It is sectional drawing which shows a problem at the time of performing laser marking with respect to the SOI layer on a buried oxide film. 第5の実施の形態の変形例に係るSOIウェーハのベベル部に付されたIDマークを示す部分外観図である。It is a partial external view which shows the ID mark attached | subjected to the bevel part of the SOI wafer which concerns on the modification of 5th Embodiment. 第6の実施の形態に係る半導体ウェーハの第1主面全体を示す平面図である。It is a top view which shows the whole 1st main surface of the semiconductor wafer which concerns on 6th Embodiment. 図15における基準IDマークが形成されたベベル部を部分的に拡大した平面図である。FIG. 16 is a plan view in which a bevel portion in which a reference ID mark in FIG. 15 is formed is partially enlarged. 基準IDマークの一例として、L字ガイドセルを含むマトリックス式の2次元コードを示す拡大平面図である。FIG. 5 is an enlarged plan view showing a matrix type two-dimensional code including an L-shaped guide cell as an example of a reference ID mark. 第6の実施の形態の変形例1に係わる半導体ウェーハ全体の平面図である。It is a top view of the whole semiconductor wafer concerning the modification 1 of 6th Embodiment. 第6の実施の形態の変形例1に係わる、互いに直交する結晶方位線の間に基準IDマークを形成した場合の半導体ウェーハの外観図である。It is an external view of a semiconductor wafer when a reference ID mark is formed between crystal orientation lines perpendicular to each other according to Modification 1 of the sixth embodiment. 第6の実施の形態の変形例2に係わる半導体ウェーハ全体の平面図である。It is a top view of the whole semiconductor wafer concerning the modification 2 of 6th Embodiment. 図20において基準IDマークが形成されたウェーハの外周部を拡大した平面図である。It is the top view to which the outer peripheral part of the wafer in which the reference | standard ID mark was formed in FIG. 20 was expanded. 第7の実施の形態に係る半導体ウェーハの製造装置の構成を示すブロック図である。It is a block diagram which shows the structure of the manufacturing apparatus of the semiconductor wafer which concerns on 7th Embodiment. 図22に示した半導体ウェーハの製造装置を用いた半導体ウェーハの製造方法を示すフローチャートである。It is a flowchart which shows the manufacturing method of the semiconductor wafer using the manufacturing apparatus of the semiconductor wafer shown in FIG. 図24(a)は主要な製造工程におけるウェーハの回転角度を示すウェーハの平面図である(その1)。図24(b)は主要な製造工程におけるモニタ上に表示されたラウエ像を示す(その1)。FIG. 24A is a plan view of a wafer showing the rotation angle of the wafer in the main manufacturing process (No. 1). FIG. 24B shows a Laue image displayed on a monitor in the main manufacturing process (No. 1). 図25(a)は主要な製造工程におけるウェーハの回転角度を示すウェーハの平面図である(その2)。図25(b)は主要な製造工程におけるモニタ上に表示されたラウエ像を示す(その2)。FIG. 25A is a plan view of a wafer showing the rotation angle of the wafer in the main manufacturing process (No. 2). FIG. 25B shows a Laue image displayed on the monitor in the main manufacturing process (No. 2). ウェーハ上に付された基準IDマークを示すウェーハの平面図である。It is a top view of the wafer which shows the reference | standard ID mark attached | subjected on the wafer. 第7の実施の形態の変形例1に係る半導体ウェーハの製造装置の構成を示すブロック図である。It is a block diagram which shows the structure of the manufacturing apparatus of the semiconductor wafer which concerns on the modification 1 of 7th Embodiment. 図28(a)及び(b)は、第7の実施の形態に係る半導体ウェーハの製造装置が具備するマーキング系のアライメント機能を示すブロック図である(その1)。FIGS. 28A and 28B are block diagrams showing a marking system alignment function included in the semiconductor wafer manufacturing apparatus according to the seventh embodiment (No. 1). 図29(a)及び(b)は、第7の実施の形態に係る半導体ウェーハの製造装置が具備するマーキング系のアライメント機能を示すブロック図である(その2)。FIGS. 29A and 29B are block diagrams showing the alignment function of the marking system provided in the semiconductor wafer manufacturing apparatus according to the seventh embodiment (No. 2). 第7の実施の形態の変形例3に係る半導体ウェーハの製造装置が具備するマーキング系を示すブロック図である。It is a block diagram which shows the marking system which the manufacturing apparatus of the semiconductor wafer which concerns on the modification 3 of 7th Embodiment comprises. 図30に示したマーキング系によってウェーハ側面に形成された基準IDマークが二次元ドットマトリックスである場合を示す外観図である。FIG. 31 is an external view showing a case where the reference ID mark formed on the side surface of the wafer by the marking system shown in FIG. 30 is a two-dimensional dot matrix. 図30に示したマーキング系によってウェーハ側面に形成された基準IDマークが特に意味を持たない凹形状である場合を示す外観図である。FIG. 31 is an external view showing a case where the reference ID mark formed on the side surface of the wafer by the marking system shown in FIG. 30 has a concave shape that has no particular meaning. 第8の実施の形態に係る半導体ウェーハの製造方法を示すフローチャートである。It is a flowchart which shows the manufacturing method of the semiconductor wafer which concerns on 8th Embodiment. 図33に示したフローチャートにおける方位判定領域加工の方法を示すウェーハの外観図である。FIG. 34 is an external view of a wafer showing a method for processing an orientation determination region in the flowchart shown in FIG. 33. 図33に示したフローチャートにおける方位判定領域加工後の凹部(方位判定領域)を示す外観図である。It is an external view which shows the recessed part (azimuth | direction determination area | region) after the direction determination area | region process in the flowchart shown in FIG. 図36(a)は方位判定領域の断面形状を示す。図36(b)は方位判定領域の平面形状を示す。FIG. 36A shows a cross-sectional shape of the orientation determination region. FIG. 36B shows a planar shape of the orientation determination area. 図37(a)は(100)面に形成された(111)面及びそれに等価な結晶面(第2結晶面)が表出したエッチピットの形状を示す斜視図である。図37(b)はエッチピットの平面図である。FIG. 37A is a perspective view showing the shape of the etch pit in which the (111) plane formed on the (100) plane and the equivalent crystal plane (second crystal plane) are exposed. FIG. 37B is a plan view of the etch pit. 方位判定領域の底面に形成されたエッチピットの形状を示す部分断面図である。It is a fragmentary sectional view which shows the shape of the etch pit formed in the bottom face of the direction determination area. 第8の実施の形態に係る、エッチピット内の第2結晶面によって反射される光の強度の回転角度依存性を測定/評価し、ウェーハに方位情報を付与する装置を示すブロック図である。It is a block diagram which shows the apparatus which measures / evaluates the rotation angle dependence of the intensity | strength of the light reflected by the 2nd crystal plane in an etch pit, and provides orientation information to a wafer based on 8th Embodiment. ウェーハの方位判定領域が形成される位置と、エッチピットの形状及び散乱光強度プロファイルの形状との関係を示す図である。It is a figure which shows the relationship between the position where the orientation determination area | region of a wafer is formed, the shape of an etch pit, and the shape of a scattered light intensity profile. ウェーハの結晶方位の測定に要する時間について、第8の実施の形態とX線回折によりウェーハ方位を検出する場合(比較例)とを比較したグラフである。It is the graph which compared 8th Embodiment and the case where a wafer orientation is detected by X-ray diffraction (comparative example) about the time required for the measurement of the crystal orientation of a wafer. 第8の実施の形態の変形例1に係る半導体ウェーハの製造装置の構成を示すブロック図である。It is a block diagram which shows the structure of the manufacturing apparatus of the semiconductor wafer which concerns on the modification 1 of 8th Embodiment. 図43の各分図は結晶欠陥の顕微鏡写真であり、図43(a)はクリスタル・オリジネイト・パーティクル(Crystal Originated Particle:COP)と呼ばれる結晶欠陥を示し、図43(b)はバルク・マイクロ・ディフェクト(Bulk Micro Defect:BMD)と呼ばれる結晶欠陥を示す。43 are micrographs of crystal defects, FIG. 43 (a) shows crystal defects called crystal-originated particles (COP), and FIG. 43 (b) shows bulk micro-particles. It shows crystal defects called defects (Bulk Micro Defect: BMD). 第9の実施の形態に係る半導体ウェーハの製造装置の動作原理を説明する為の半導体ウェーハを示す断面図である。It is sectional drawing which shows the semiconductor wafer for demonstrating the operating principle of the manufacturing apparatus of the semiconductor wafer which concerns on 9th Embodiment. 第9の実施の形態に係る半導体ウェーハの製造装置の構成を示す外観図である。It is an external view which shows the structure of the manufacturing apparatus of the semiconductor wafer which concerns on 9th Embodiment. 図45に示した製造装置を用いた半導体ウェーハの製造方法を示すフローチャートである。It is a flowchart which shows the manufacturing method of the semiconductor wafer using the manufacturing apparatus shown in FIG. CZウェーハ及びアニールウェーハについて、散乱光強度のウェーハの回転角度依存性を示すグラフである。It is a graph which shows the rotation angle dependence of a scattered light intensity | strength about a CZ wafer and an annealed wafer. ウェーハの結晶方位を決定する為に要する時間について、「第9実施形態」と「比較例」とを比較するグラフである。It is a graph which compares "9th Embodiment" with a "comparative example" about the time required to determine the crystal orientation of a wafer. 第10の実施の形態の実験例に係り、半導体ウェーハの製造工程の途中で抜き取られるサンプルウェーハを説明するためのフローチャートである。It is a flowchart for demonstrating the sample wafer which concerns on the experiment example of 10th Embodiment, and is extracted in the middle of the manufacturing process of a semiconductor wafer. 第10の実施の形態の実験例に係る装置の構成を示す外観図である。It is an external view which shows the structure of the apparatus which concerns on the experiment example of 10th Embodiment. 図50に示した装置によって測定された散乱光の強度と検出器の傾斜角度との関係を示すグラフである。It is a graph which shows the relationship between the intensity | strength of the scattered light measured by the apparatus shown in FIG. 50, and the inclination-angle of a detector. 検出器の傾斜角度とエッチピットによる光散乱との関係を示す概念図である。It is a conceptual diagram which shows the relationship between the inclination-angle of a detector, and the light scattering by an etch pit. 第10の実施の形態に係る半導体ウェーハの製造装置を示す外観図である。It is an external view which shows the manufacturing apparatus of the semiconductor wafer which concerns on 10th Embodiment. 図54(a)は検出ユニットの構成を示す断面図である。図54(b)はウェーハ側から見た検出ユニットの底面図である。FIG. 54A is a cross-sectional view showing the configuration of the detection unit. FIG. 54B is a bottom view of the detection unit viewed from the wafer side. コンピュータによって評価された散乱光の回転角度依存性を示すグラフである(その1)。It is a graph which shows the rotation angle dependence of the scattered light evaluated by the computer (the 1). コンピュータによって評価された散乱光の回転角度依存性を示すグラフである(その2)。It is a graph which shows the rotation angle dependence of the scattered light evaluated by the computer (the 2). 図57(a)は平行光束と受光素子の距離(d)とウェーハと受光素子の距離(d)との関係を示す模式図である。図57(b)は検出効率を向上させる為の(d/d)の最適値を示すグラフである。FIG. 57A is a schematic diagram showing the relationship between the parallel light flux and the distance between the light receiving elements (d L ) and the distance between the wafer and the light receiving element (d W ). FIG. 57B is a graph showing the optimum value of (d L / d W ) for improving the detection efficiency. 第10の実施の形態に係る半導体ウェーハの製造方法を示すフローチャートである。It is a flowchart which shows the manufacturing method of the semiconductor wafer which concerns on 10th Embodiment. ウェーハの結晶方位測定及びマーキングに要する時間について、第10の実施の形態とその比較例とを比較するグラフである。It is a graph which compares 10th Embodiment and its comparative example about the time which the crystal orientation measurement and marking of a wafer require. 図60(a)は第11の実施の形態に係るSOIウェーハの全体構成を示す外観図である。図60(b)はノッチ及びその近傍に形成された基準IDマークを示す部分拡大図である。FIG. 60A is an external view showing the entire configuration of the SOI wafer according to the eleventh embodiment. FIG. 60B is a partially enlarged view showing the notch and the reference ID mark formed in the vicinity thereof. 第11の実施の形態に係る基体ウェーハの製造方法を示すフローチャートである。It is a flowchart which shows the manufacturing method of the base wafer which concerns on 11th Embodiment. 図62(a)は図61に示した製造方法により製造された基体ウェーハの全体構成を示す外観図である。図62(b)は基体ウェーハのベベル部に形成された基準IDマークを示す部分拡大図である。FIG. 62A is an external view showing the entire configuration of the base wafer manufactured by the manufacturing method shown in FIG. FIG. 62B is a partially enlarged view showing the reference ID mark formed on the bevel portion of the base wafer. 第11の実施の形態に係る、埋め込み酸化膜及びSOI層を有するSOI層用ウェーハの製造方法を示すフローチャートである。It is a flowchart which shows the manufacturing method of the wafer for SOI layers which has a buried oxide film and SOI layer based on 11th Embodiment. 図64(a)は図63に示した製造方法により製造されたSOI層用ウェーハの全体構成を示す外観図である。図64(b)はSOI層用ウェーハの断面図である。FIG. 64A is an external view showing the entire structure of the SOI layer wafer manufactured by the manufacturing method shown in FIG. FIG. 64B is a cross-sectional view of the SOI layer wafer. 図62(a)に示した基体ウェーハと図64(a)に示したSOI層用ウェーハとを張り合わせて、第10の実施の形態に係るSOIウェーハを製造する方法を示すフローチャートである。FIG. 67 is a flowchart showing a method for manufacturing an SOI wafer according to the tenth embodiment by bonding the base wafer shown in FIG. 62 (a) and the SOI layer wafer shown in FIG. 64 (a). 図66(a)は第11の実施の形態の変形例1に係るSOIウェーハの全体構成を示す外観図である。図66(b)はノッチ及びその近傍に形成された一次元バーコードを示す部分拡大図である。FIG. 66A is an external view showing an overall configuration of an SOI wafer according to Modification 1 of the eleventh embodiment. FIG. 66B is a partially enlarged view showing a notch and a one-dimensional barcode formed in the vicinity thereof. 図67(a)は第11の実施の形態の変形例2に係るSOIウェーハの全体構成を示す外観図である。図67(b)はノッチ及びその近傍の基体ウェーハのベベル部を示す部分拡大図である。FIG. 67A is an external view showing the entire configuration of the SOI wafer according to the second modification of the eleventh embodiment. FIG. 67B is a partially enlarged view showing the notch and the bevel portion of the base wafer in the vicinity thereof. 第11の実施の形態の比較例に係る、ノッチを有する基体ウェーハの製造方法を示すフローチャートである。It is a flowchart which shows the manufacturing method of the base wafer which has a notch based on the comparative example of 11th Embodiment. 図69(a)は第11の実施の形態の比較例に係るSOIウェーハの全体構成を示す外観図である。図69(b)はSOIウェーハの裏面外周部に形成されたマークを示す部分拡大図である。FIG. 69A is an external view showing the entire configuration of an SOI wafer according to a comparative example of the eleventh embodiment. FIG. 69B is a partially enlarged view showing marks formed on the outer periphery of the back surface of the SOI wafer.

符号の説明Explanation of symbols

11、16、21、26、31、34、60、71、91、121、140 ウェーハ
12、22、27、32、35、53a、92、163 ベベル部
13、23、36、43、169、179 ノッチ
14、24、33a、33b、37〜40、44、54、162 IDマーク
15、25、46 製造物
17 凹凸
20 ドットマーク
29、95、161、167 第1主面(表面)
30 第2主面(裏面)
32a 第1ベベル部
32b 第2ベベル部
41、49、171 単結晶シリコン層(SOI層)
42、47、160 基体ウェーハ
45、48、172 絶縁層(埋め込み酸化膜)
52、173 SOIウェーハ
61、63a〜d、64a〜c、65、81、83、165、175 基準IDマーク
62 L字ガイドセル
72 入射X線
74 散乱X線
75、82 X線検出器
76 モニター
77、105、128、150 レーザマーカ
79 ミラー
94、94a〜c 方位判定領域(凹部)
96a〜d 第2結晶面
97、97a〜c、144 エッチピット
98、127、142、154 光源
101、108、143 ディテクタ(検出器)
102、129、145 コンピュータ
103 第1回転駆動部
104 第2回転駆動部
106 データベース
122 結晶欠陥
124 散乱光検知機
125、141 ウェーハステージ
130、146 チャンバー
149 検出ユニット
151 平行光束
152 受光素子
155 受光面
166 SOI層用ウェーハ
168 水素イオン注入層
11, 16, 21, 26, 31, 34, 60, 71, 91, 121, 140 Wafer 12, 22, 27, 32, 35, 53a, 92, 163 Bevel part 13, 23, 36, 43, 169, 179 Notch 14, 24, 33a, 33b, 37-40, 44, 54, 162 ID mark 15, 25, 46 Product 17 Concavity and convexity 20 Dot mark 29, 95, 161, 167 First main surface (front surface)
30 Second main surface (back surface)
32a First bevel portion 32b Second bevel portions 41, 49, 171 Single crystal silicon layer (SOI layer)
42, 47, 160 Base wafer 45, 48, 172 Insulating layer (buried oxide film)
52, 173 SOI wafer 61, 63a-d, 64a-c, 65, 81, 83, 165, 175 Reference ID mark 62 L-shaped guide cell 72 Incident X-ray 74 Scattered X-ray 75, 82 X-ray detector 76 Monitor 77 , 105, 128, 150 Laser marker 79 Mirror 94, 94a-c Orientation determination area (recess)
96a-d Second crystal plane 97, 97a-c, 144 Etch pit 98, 127, 142, 154 Light source 101, 108, 143 Detector (detector)
102, 129, 145 Computer 103 First rotation driving unit 104 Second rotation driving unit 106 Database 122 Crystal defect 124 Scattered light detector 125, 141 Wafer stage 130, 146 Chamber 149 Detection unit 151 Parallel beam 152 Light receiving element 155 Light receiving surface 166 SOI layer wafer 168 Hydrogen ion implanted layer

Claims (12)

半導体素子が形成される第1主面が円形であるウェーハと、
前記ウェーハの外周部に形成されたベベル部と、
前記ベベル部に付された、前記ウェーハの結晶方位を示す基準IDマークとを具備し、
前記ベベル部は、前記ウェーハの前記第1主面の側に位置する第1ベベル部と、当該第1主面に対向する第2主面の側に位置する第2ベベル部とを具備し、
前記基準IDマークは、前記第1ベベル部及び前記第2ベベル部にそれぞれ付されていることを特徴とする半導体ウェーハ。
A wafer having a circular first main surface on which a semiconductor element is formed;
A bevel formed on the outer periphery of the wafer;
A reference ID mark indicating the crystal orientation of the wafer attached to the bevel portion;
The bevel portion includes a first bevel portion located on the first main surface side of the wafer, and a second bevel portion located on the second main surface side facing the first main surface,
The semiconductor wafer, wherein the reference ID mark is attached to each of the first bevel portion and the second bevel portion.
半導体素子が形成される第1主面が円形であるウェーハと、
前記ウェーハ上に付された、当該ウェーハの結晶方位を示す基準IDマークと、
前記ウェーハの外周部の一部分に形成された、前記第1主面に対して傾斜した底面を有する凹部と、
前記凹部の前記底面に形成され、前記ウェーハの研磨処理後も残留する、前記第1主面に表出した第1結晶面とは異なる第2結晶面が表出したエッチピットと
を具備することを特徴とする半導体ウェーハ。
A wafer having a circular first main surface on which a semiconductor element is formed;
A reference ID mark indicating the crystal orientation of the wafer attached on the wafer;
A recess formed on a part of the outer peripheral portion of the wafer and having a bottom surface inclined with respect to the first main surface;
Etch pits formed on the bottom surface of the recesses and remaining after the polishing of the wafer, wherein a second crystal plane different from the first crystal plane exposed on the first main surface is exposed. A semiconductor wafer characterized by
ウェーハの外周部の一部分に、底面が半導体素子が形成される前記ウェーハの第1主面に対して傾斜した凹部を形成し、
結晶方位によりエッチング速度が異なるエッチング処理を前記ウェーハに対して施して、前記凹部の前記底面に、前記第1主面に表出した第1結晶面とは異なる第2結晶面が表出したエッチピットを形成し、
前記エッチピットの形状から前記凹部の結晶方位を求め、
前記ウェーハ上に当該ウェーハの結晶方位を示す基準IDマークを付する
ことを特徴とする半導体装置の製造方法。
Forming a concave portion on a part of the outer periphery of the wafer with a bottom surface inclined with respect to the first main surface of the wafer on which a semiconductor element is formed;
Etching in which an etching process having a different etching rate depending on crystal orientation is performed on the wafer, and a second crystal plane different from the first crystal plane exposed on the first main surface is exposed on the bottom surface of the recess. Form a pit,
Obtain the crystal orientation of the recess from the shape of the etch pit,
A method of manufacturing a semiconductor device, wherein a reference ID mark indicating a crystal orientation of the wafer is attached on the wafer.
前記エッチピットの形状から前記凹部の結晶方位を求めることは、
前記エッチピットに光を照射し、
前記エッチピット内の前記第2結晶面によって反射される光の強度の回転角度依存性を評価し、
前記回転角度依存性から前記凹部の結晶方位を決定する
ことであることを特徴とする請求項3記載の半導体装置の製造方法。
Obtaining the crystal orientation of the recess from the shape of the etch pit,
Irradiate the etch pit with light,
Evaluating the rotation angle dependence of the intensity of light reflected by the second crystal plane in the etch pit,
The method for manufacturing a semiconductor device according to claim 3, wherein the crystal orientation of the concave portion is determined from the rotation angle dependency.
前記回転角度依存性から前記凹部の結晶方位を決定することは、
前記ウェーハの全周囲に渡って形成された前記エッチピットについて取得した前記回転角度依存性に関するデータをデータベースに登録し、
前記データベース内のデータと比較することにより、前記凹部の結晶方位を算出することである
ことを特徴とする請求項4記載の半導体装置の製造方法。
Determining the crystal orientation of the recess from the rotation angle dependency,
Registering in the database the data on the rotation angle dependence obtained for the etch pits formed over the entire circumference of the wafer,
The method of manufacturing a semiconductor device according to claim 4, wherein the crystal orientation of the recess is calculated by comparing with data in the database.
ウェーハステージと、
前記ウェーハステージ上に載置されたウェーハの主面に光を照射する光源と、
前記光の出射口の外周を取り囲み、当該光の照射方向に対して傾斜して配置されたリング状の受光面を有し、前記ウェーハの主面に形成されたエッチピットによって散乱された当該光の強度を測定する受光素子と、
散乱された前記光の強度の回転角度依存性に関するデータを解析するコンピュータと、
前記ウェーハ上に当該ウェーハの結晶方位を示す基準IDマークを付するマーク刻印器と、
少なくとも前記ウェーハステージ、前記ウェーハ、前記光源、前記受光素子を覆い隠し、外部から侵入する光を遮断するチャンバーと
を有することを特徴とする半導体装置の製造装置。
A wafer stage;
A light source for irradiating light on the main surface of the wafer placed on the wafer stage;
The light scattered around by the etch pit formed on the main surface of the wafer, which has a ring-shaped light-receiving surface that surrounds the outer periphery of the light emission port and is inclined with respect to the light irradiation direction A light receiving element for measuring the intensity of
A computer for analyzing data relating to the rotation angle dependence of the intensity of the scattered light;
A mark stamper for attaching a reference ID mark indicating the crystal orientation of the wafer on the wafer;
An apparatus for manufacturing a semiconductor device, comprising: a chamber that covers at least the wafer stage, the wafer, the light source, and the light receiving element and blocks light entering from outside.
前記ウェーハに照射される光は、平行光束であることを特徴とする請求項6記載の半導体装置の製造装置。   The semiconductor device manufacturing apparatus according to claim 6, wherein the light applied to the wafer is a parallel light flux. 前記受光素子の前記受光面は、当該光の照射方向に対して35度傾斜していることを特徴とする請求項6記載の半導体装置の製造装置。 The semiconductor device manufacturing apparatus according to claim 6, wherein the light receiving surface of the light receiving element is inclined by 35 degrees with respect to an irradiation direction of the light. 前記平行光束の中心と前記受光面の中心との距離が、前記ウェーハの主面と当該受光面の中心との距離に対して0.7であることを特徴とする請求項7記載の半導体装置の製造装置。   8. The semiconductor device according to claim 7, wherein a distance between the center of the parallel light flux and the center of the light receiving surface is 0.7 with respect to a distance between the main surface of the wafer and the center of the light receiving surface. Manufacturing equipment. 単結晶インゴットに対してスライス加工を施してウェーハを形成し、
前記ウェーハの主面に対して、当該主面の大きなうねりを除去するために、アルカリ溶液を用いた結晶方位によりエッチング速度が異なるエッチング処理を施し、
前記エッチング処理により前記ウェーハの前記主面に形成されたエッチピットを用いて、当該ウェーハの結晶方位を測定し、
前記ウェーハ上に当該ウェーハの結晶方位を示す基準IDマークを付し、
前記エッチピットを除去する
ことを特徴とする半導体ウェーハの製造方法。
Slicing a single crystal ingot to form a wafer,
For the main surface of the wafer, in order to remove large waviness of the main surface, an etching process with different etching rates depending on the crystal orientation using an alkaline solution is performed,
Using etch pits formed on the main surface of the wafer by the etching process, measuring the crystal orientation of the wafer,
A reference ID mark indicating the crystal orientation of the wafer is attached on the wafer,
The method for producing a semiconductor wafer, wherein the etch pit is removed.
外周の形状が円形である基体ウェーハの外周部にベベル部を形成し、
前記ベベル部にSOI層用ウェーハの結晶方位を示す為の基準IDマークを付し、
結晶方位を示す基準位置を有する当該SOI層用ウェーハを形成し、
前記SOI層用ウェーハの第1主面に絶縁層を形成し、
前記基準IDマークと前記基準位置とを合わせた状態で、前記基体ウェーハと前記SOI層用ウェーハの前記第1主面とを貼り合わせる
ことを特徴とする半導体ウェーハの製造方法。
Forming a bevel on the outer periphery of the base wafer having a circular outer periphery;
A reference ID mark for indicating the crystal orientation of the SOI layer wafer is attached to the bevel portion,
Forming a wafer for the SOI layer having a reference position indicating a crystal orientation;
Forming an insulating layer on the first main surface of the SOI layer wafer;
A method for manufacturing a semiconductor wafer, comprising: bonding the base wafer and the first main surface of the SOI layer wafer in a state where the reference ID mark and the reference position are aligned.
前記基体ウェーハと前記SOI層用ウェーハの前記第1主面とを貼り合わせる前に、前記SOI層用ウェーハの前記第1主面に水素イオンを注入して、当該SOI層用ウェーハの内部に前記絶縁層と離間して水素イオン注入層を形成し、
前記基体ウェーハと前記SOI層用ウェーハの前記第1主面とを貼り合わせた後に、前記水素イオン注入層を境にして当該SOI層用ウェーハを壁開する
ことを特徴とする請求項11記載の半導体ウェーハの製造方法。
Before bonding the base wafer and the first main surface of the SOI layer wafer, hydrogen ions are implanted into the first main surface of the SOI layer wafer, and the inside of the SOI layer wafer Forming a hydrogen ion implantation layer apart from the insulating layer;
12. The SOI layer wafer is wall-opened with the hydrogen ion implantation layer as a boundary after the base wafer and the first main surface of the SOI layer wafer are bonded together. Semiconductor wafer manufacturing method.
JP2007096900A 2001-03-21 2007-04-02 Semiconductor wafer, semiconductor device manufacturing apparatus, semiconductor device manufacturing method, and semiconductor wafer manufacturing method Expired - Fee Related JP4799465B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007096900A JP4799465B2 (en) 2001-03-21 2007-04-02 Semiconductor wafer, semiconductor device manufacturing apparatus, semiconductor device manufacturing method, and semiconductor wafer manufacturing method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001080452 2001-03-21
JP2001080452 2001-03-21
JP2007096900A JP4799465B2 (en) 2001-03-21 2007-04-02 Semiconductor wafer, semiconductor device manufacturing apparatus, semiconductor device manufacturing method, and semiconductor wafer manufacturing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001345984A Division JP4071476B2 (en) 2001-03-21 2001-11-12 Semiconductor wafer and method for manufacturing semiconductor wafer

Publications (2)

Publication Number Publication Date
JP2007227953A JP2007227953A (en) 2007-09-06
JP4799465B2 true JP4799465B2 (en) 2011-10-26

Family

ID=38549367

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007096900A Expired - Fee Related JP4799465B2 (en) 2001-03-21 2007-04-02 Semiconductor wafer, semiconductor device manufacturing apparatus, semiconductor device manufacturing method, and semiconductor wafer manufacturing method

Country Status (1)

Country Link
JP (1) JP4799465B2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101023068B1 (en) 2008-10-29 2011-06-14 세메스 주식회사 Substrate treating apparatus and method for treating the substrate using the same
DE102010018570B4 (en) 2010-04-28 2017-06-08 Siltronic Ag A method of manufacturing a plurality of semiconductor wafers by processing a single crystal
JP5941715B2 (en) * 2012-03-19 2016-06-29 ファスフォードテクノロジ株式会社 DIE PICKUP DEVICE AND DIE PICKUP METHOD
JP6617649B2 (en) * 2016-06-20 2019-12-11 東京エレクトロン株式会社 Method for setting placement position of substrate to be processed and film forming system
JP6717353B2 (en) * 2018-10-22 2020-07-01 株式会社Sumco Manufacturing method of silicon wafer with laser mark
WO2023069463A1 (en) * 2021-10-20 2023-04-27 Lam Research Corporation Adaptive positioning systems and routines using an autocalibration wafer and a calibration wafer with cutouts

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60131900A (en) * 1983-12-16 1985-07-13 Sumitomo Electric Ind Ltd Manufacture of single crystal
CA1304600C (en) * 1986-09-30 1992-07-07 Sidney Weiser Method of and apparatus for real-time crystallographic axis orientation determination
JP2569862B2 (en) * 1990-02-13 1997-01-08 三菱電機株式会社 X-ray exposure apparatus and X-ray exposure method
JPH06124996A (en) * 1992-10-14 1994-05-06 Kawasaki Steel Corp Semiconductor manufacture equipment
JP2850839B2 (en) * 1996-03-26 1999-01-27 日本電気株式会社 Crystal plane orientation measuring method and crystal plane orientation measuring apparatus
JPH10256105A (en) * 1997-03-11 1998-09-25 Super Silicon Kenkyusho:Kk Wafer with laser mark
JP3898326B2 (en) * 1998-02-10 2007-03-28 大日本印刷株式会社 Production process management method and substrate with ID
JP3618254B2 (en) * 1998-06-02 2005-02-09 信越半導体株式会社 Manufacturing method of SOI substrate

Also Published As

Publication number Publication date
JP2007227953A (en) 2007-09-06

Similar Documents

Publication Publication Date Title
JP4071476B2 (en) Semiconductor wafer and method for manufacturing semiconductor wafer
JP4799465B2 (en) Semiconductor wafer, semiconductor device manufacturing apparatus, semiconductor device manufacturing method, and semiconductor wafer manufacturing method
US6710364B2 (en) Semiconductor wafer edge marking
US4418467A (en) Semiconductor wafer with alignment marks and method for manufacturing semiconductor device
JP4109371B2 (en) Semiconductor wafer
JPH08339947A (en) Manufacture of semiconductor device, semiconductor wafer used therein and manufacture thereof
US7737567B2 (en) Method and apparatus for wafer marking
JP2006527922A (en) Semiconductor wafer having an edge-based identification function
US5877064A (en) Method for marking a wafer
JP2008004822A (en) Method for setting processing condition of wafer
JP4596968B2 (en) Silicon substrate processing method and defective portion identification method for observing defective portion of semiconductor device
JP4255657B2 (en) Semiconductor manufacturing process management method
US20010003167A1 (en) Device for engraving and inspecting a semiconductor wafer indentification mark
CN101335195B (en) Semiconductor wafer with ID mark, equipment for and method of manufacturing semiconductor device form them
JP3587712B2 (en) Alignment mark structure for semiconductor manufacturing with protection dummy pattern
US20040104361A1 (en) Semiconductor wafer edge marking
JP4632659B2 (en) Manufacturing method of semiconductor device
KR20050112261A (en) Method of forming sample using analysis by tem
JPH05231998A (en) Method of manufacture specimen for electron microscopic observation
JP2005072027A (en) Mark printing method of soi substrate
CN115084094A (en) Overlay mark, method and apparatus for detecting positional deviation, and method for manufacturing semiconductor device
KR20080021392A (en) Semiconductor wafer having identification
JPH0590370A (en) Ic chip
JP2000236006A (en) Analyzing method of defect in semiconductor treatment apparatus
KR20100022715A (en) Circuit board with marks indicating bad patterns and manufacturing method of circuit board

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110215

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110406

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110705

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140812

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140812

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees