JP4654203B2 - デジタルシステムのhdl記述ファイルを作成する方法、および得られるシステム - Google Patents

デジタルシステムのhdl記述ファイルを作成する方法、および得られるシステム Download PDF

Info

Publication number
JP4654203B2
JP4654203B2 JP2006552666A JP2006552666A JP4654203B2 JP 4654203 B2 JP4654203 B2 JP 4654203B2 JP 2006552666 A JP2006552666 A JP 2006552666A JP 2006552666 A JP2006552666 A JP 2006552666A JP 4654203 B2 JP4654203 B2 JP 4654203B2
Authority
JP
Japan
Prior art keywords
hdl
electronic system
integrated digital
digital electronic
instruction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006552666A
Other languages
English (en)
Other versions
JP2007522574A (ja
Inventor
チョウキ アクトフ,
Original Assignee
アンスティテュ ナシオナル ポリテクニーク ド グレノーブル
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from FR0401479A external-priority patent/FR2866434A1/fr
Application filed by アンスティテュ ナシオナル ポリテクニーク ド グレノーブル filed Critical アンスティテュ ナシオナル ポリテクニーク ド グレノーブル
Publication of JP2007522574A publication Critical patent/JP2007522574A/ja
Application granted granted Critical
Publication of JP4654203B2 publication Critical patent/JP4654203B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/333Design for testability [DFT], e.g. scan chain or built-in self-test [BIST]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Moving Of Heads (AREA)
  • Communication Control (AREA)
  • Paper (AREA)
  • Devices For Executing Special Programs (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Information Retrieval, Db Structures And Fs Structures Therefor (AREA)

Description

発明の詳細な説明
本発明は、第1に、「電子チップ」とも呼ばれる集積デジタル電子システムのCAD(computer−aided design、コンピュータ支援設計)の技術分野に関し、第2に、得られる電子チップの技術分野に関する。
一般に、同じ電子チップ上に集積すべき複雑な電子システムの設計では、集積電子システムの記述を、いわゆるRTL(Register Transfer Level)において、いわゆるHDL(High level Description Language)で生成するフェーズが使用される。そのようなHDL記述を作るのに最も頻繁に使用される言語は、VerilogまたはVHDLという言語であるが、これらがもっぱらデジタル集積電子システムのHDL記述をRTLレベルにおいて出力するのに使用できる言語であると考えてはならない。
HDL言語による集積電子システムの記述は、普通、電子ファイルまたは記述データベースの形で有形化されるが、そのときこれは、HDL言語で出力される単一のテキストファイルからなるとすることができ、またはそうでない場合には、これはいくつかの記述テキストファイルを含んでもよく、そうしたファイルのうちの一部は、集積システムのモジュールまたは部品の特定の記述に対応し、他方他のファイルでは、様々なモジュールの間の相互作用および関係ならびにその間に存在するリンクが記述される。
機能的または振る舞い的と見ることのできるHDL言語の記述に対して、物理的と見ることのできる電子チップの記述を得るためには、HDL記述ファイルのシステムからの合成またはコンパイルが、普通シリコンコンパイラと呼ばれる、論理ゲートでのハードウェア記述を得るのに使用されるコンピュータツールを用いて、選択された技術に応じて行われるが、この記述はまた「ネットリスト」とも呼ばれ、これは次いで、集積電子システムの物理的表現を、チップを製作するのに使用されるマスクの形で得るために、知られている様々な技術に従って使用されことになるが、これは本発明の範囲内にはない。
このようにして得られる集積デジタル電子システムでは、もちろん、その設計中に設定された目的に従った信頼性および動作が保証されなければならない。
したがって、電子システムの設計の際、その完全な動作の検証を、もちろん自動化された形で、製造された後にはその集積電子システムに接続されることになる外部装置を用いて、または得られる集積電子システムの全体をなすテストシステムを用いて行うシステムまたは手段が必要であると思われた。
一般に、集積電子システムのテスト可能性に向けたアプローチは、DFT(Design For Test)技法と見られ、より具体的には、それ自体の自動化されたテスト手段を集積電子システムへと組み込むことを意図するときは、BIST(Built−in Self−test、組み込み自己テスト)という用語が使用される。
集積デジタル電子システムの正しい動作を検証する第1のアプローチは、まず、中間的な処理結果または信号の値を一時的に格納することになる、組み込みシステム内部のメモリ要素またはフリップフロップの完全な動作を検証することからなる。この発明は、いわゆるシーケンシャルコンポーネント内部に存在するローカルなメモリ要素に関する。こうしたシーケンシャルコンポーネントは、マイクロプロセッサや信号処理プロセッサなどの大多数の複雑な組み込み回路に相当する。順序回路は、RAMまたはROMのメモリモジュールのメモリ要素から区別すべき、組合せ論理要素およびシーケンシャル要素またはフリップフロップからなるためである。
順序回路のテストは、テストパターンの生成を、「自動テストパターン生成器」(Automatic Test Pattern Generators)を意味するATPGと呼ばれる特化したソフトウェアツールを用いて行うステップを含む。生成されたテストパターンの質により、製造後のテストフェーズおよび欠陥の存在を明らかにするテストパターンの能力が決まる。品質テストパターンの生成には、SCANなどのDFT技法を使用することが必要である。「SCAN」技法は、様々なメモリ要素をチェイン化することによって、テストモードでの集積回路の動作の一環としてアクティブ化されることになる1つまたはいくつかのSCANチェインを得ることからなる。
メモリ要素のSCANおよびチェイン化の機能は、米国特許第6311317号に記載の通り、集積デジタル電子回路のハードウェア記述(netlist)において配置することができる。しかし、特に、非常に多数の論理ゲートを考慮すると、この挿入を自動的または半自動的に行うには、非常に長い計算時間が必要である。さらに、この挿入のために、集積電子論理システムの通常モードでの動作が乱されるおそれがあり、その結果、要素のチェイン化をネットリストハードウェア記述において行った後で、回路の設計の変更と、そのためにこの回路のHDL言語による記述の書き換えとが、新たなシリコンコンパイルを行いネットリストにおけるメモリ要素のチェイン化の新たな挿入を行う前に、必要となる可能性がある。
この反復プロセスは、非常に長く、ハードウェアのおよび人的な資源を消費し、信頼できる、パフォーマンスの高い集積電子システムの設計に必要な時間の低減にとって障害となる。
したがって、SCAN機能を合成フェーズの前にHDL記述において統合できれば、集積電子システムのための設計時間を実質的に低減することが可能となるはずであると思われた。
このため、集積デジタル電子システムのHDL記述の一環として、チェイン化またはSCANの機能と呼ばれる機能をRTLレベルにおいて組み込むことからなる別のやり方が提案されている。
たとえば、米国特許第6256770号では、集積電子システムのテスト機能をそのHDL言語による記述の一環として実装する方法および装置が提案されている。この特許では、まず、メモリ要素チェインの部分を回路内の様々なモジュールに代入し、その後、これらのメモリ要素チェインの部分のスケジュール化を、モジュールのHDL記述内のメモリ要素またはデータパターンの間に存在する関数関係の分析に基づいて行うことが記述されている。このスケジュールに基づく次のステップは、チェイン化命令をHDL言語内の関係するモジュールの記述へと挿入して、前記モジュールの合成時に、集積デジタル電子システムが、そのようなチェイン化から生じるテストに必要な論理電子回路を、関係するモジュールごとに組み込むようにすることである。
そのような方法および装置により、HDL命令の自動挿入が可能になって、回路が合成されるとき、SCAN関数が得られることによって、テスト中の集積回路用の品質のよいテストパターンが生成される。
しかし、実際には、様々なデータパターンの間の関数関係の分析を、特に複雑な集積デジタル電子システムの設計の一環として行うには、特に長い計算時間が必要であり、その結果、HDL言語によるRTLレベルにおけるSCAN機能の挿入の利益は、米国特許第6256770号によれば、この挿入を行うのに必要な計算時間または計算パワーが原因で、減じられ、または完全に相殺さえされることがわかっている。
米国特許出願公開公報2003/0023941では、RTLにおけるHDL言語による命令を自動的に挿入して、そのようにして変更されたHDL記述の合成によって得られることになる集積電子システム内のSCAN機能を実装する別のやり方が開示されている。この文書によれば、HDL言語によるRTLレベルにおけるSCANチェインおよびテストポイントの挿入は、まず、集積電子システムのHDL言語による記述のテスト可能性を分析することによって行われる。
米国特許出願公開公報2003/0023941に記載の方法により、SCAN機能に対応するHDL命令の自動挿入が合成後に可能にはなるが、テスト可能性の分析は、大量の計算資源または時間を消費するステップであり、その結果、集積電子システムのHDLレベルにおける自動変更によって得られる利益は、テスト可能性の分析の計算時間によって最小となる。
さらに、米国特許出願公開公報2003/0023941では、SCANチェインの挿入を、IDの作成および様々な既存のクロックドメインの分析、その後のテスト生成コストを最小するための、およびクロックドメインを最小化するための計算によってどのように行えるかも開示されている。このクロックドメインの分析、およびこの最小化にも、多くの資源が必要である。
したがって、集積デジタル電子システムのRTLレベルにおけるHDL記述の一環としてSCAN機能を自動的に挿入することによって、合成後、従来技術による方法によって処理されたRTLレベルにおけるHDL記述から合成されるはずの集積システムのパフォーマンスと、パフォーマンスが少なくとも同等になる集積デジタル電子システムを提供しながら、計算時間を実質的に減らす方法が必要とされている。
この目的を達成するために、本発明は、レジスタトランスファレベルにおける、HDL言語と呼ばれる記述言語による集積デジタル電子システムの元の記述ファイルの組の分析を、HDL言語による命令を記述ファイルへと自動的に挿入して、集積デジタル電子システムのHDL言語による新しい記述ファイルの組を得て、テスト機能を含めて、新しいHDL記述ファイルの組を用いた集積デジタル電子システムの自動合成の際に、得られる集積デジタル電子システムに、少なくとも前記メモリ要素の動作のテストに必要な論理電子回路の少なくとも一部が組み込まれるようにするために、行う方法に関する。
本発明によれば、前記分析および自動挿入の方法は、
・前記元のHDL記述ファイル内で、前記システムの合成時に前記メモリ要素の元になるHDL命令シーケンスを自動的に発見するステップと、
・前記システムの合成時に、第1に、前記メモリ要素を接続する少なくとも1つのいわゆる「SCAN」チェインを、第2に、前記回路の前記いわゆるSCANテストを実装する手段を、得るのに使用されるHDL命令を、HDL記述ファイルの少なくとも一部へと、識別済みメモリ要素の関係または関数の分析を行わずに、自動的に順次挿入するステップとを含むことを特徴とする。
本発明によれば、集積デジタル電子システムのHDL記述ファイルの組は、HDL命令で、集積デジタル電子システムの1つ、いくつか、またはすべての機能モジュールを記述する1つまたはいくつかのテキストまたはASCIIコードのファイル、および、様々なモジュールの間に存在する可能な関係を含む。また、本発明によれば、集積デジタル電子システムのHDL記述は、記述のデータベースの一環として記述することもできる。
同様に、本発明の目的で、いわゆるSCANテストを使用するのに必要なHDL命令すべての挿入、すなわち、特に、テストすべき回路をテストモードにするのに使用される命令の挿入、テスト信号入力命令、テスト信号出力命令、テストクロックを実装する命令、メモリ要素をチェイン化する命令、SCANテストコントローラの定義のための命令が行われるが、このリストが網羅的またはSCANテストの実装に必要な可能性のある他の機能を除外すると考えることはできない。
本発明による方法には、要素のチェイン化の命令をHDL記述ページ内での出現に応じて順次(すなわち、それらの可能な関係の分析を行わずに)挿入するため、多くの計算資源が必要でなく、その結果、本発明による方法は、パーソナルコンピュータなどのコンピュータ上で、従来技術による方法を使用するのに必要な時間よりも処理時間を少なくして使用できるという利点がある。
SCAN機能の実装に必要なHDL命令を挿入するために、関係または関数の分析、あるいはテスト可能性分析さえ行う必要がなかったこと、および、ヒューリスティックな挿入と見ることのできる、メモリ要素を生成できる命令のHDL記述ファイル中内での出現に応じての、前記HDL命令の順次挿入により、結局、集積デジタル電子システムのメモリ要素のテストの機能すべてを、パフォーマンスを変更しまたはこれらのメモリ要素の表面積を過度に増加させずに、得られるようになったことを発明者らは証明している。
また、本発明は、本発明による方法の使用によって得られるHDL言語による記述ファイルの組の合成の結果であり、1つまたはいくつかのSCANチェインなど、少なくともメモリ要素の動作をテストするのに必要な論理電子回路の少なくとも一部を含む、集積デジタル電子システムに関する。
本発明の一特徴によれば、分析および自動挿入の方法は、得られた前記新しいHDL記述ファイルの組を記録するステップを含む。
本発明の別の特徴によれば、新しいHDL記述ファイルの組を用いた回路の合成の際のSCAN規則に対する違反を防ぐために、分析および自動挿入の方法は、既存の様々なクロックドメインを識別するステップを含み、HDLメモリ要素チェイン化命令を挿入する前記ステップは、このとき、クロックドメインごとに別々の少なくとも1つのSCANチェインを作成するように実現される。
さらに、本発明によれば、合成時にSCAN規則が遵守されるように保証するRTLレベルにおけるSCANを実装するために、変数または信号の寸法は、HDL SCAN命令を挿入するステップの前に決定される。したがって、たとえば、整数型または列挙型のVHDL変数の場合、本発明では、ビット数に対応するワード長をVHDL SCAN命令が挿入される前に固定して、各メモリを構成する要素的メモリが互いに正しくチェインされるようにすることを要求している。
したがって、本発明の別の特徴によれば、分析および自動挿入の方法は、
・元のHDL記述ファイルすべての分析またはインデキシングと、HDLのオブジェクトおよびプロセスごとに、もしあれば設計ユニット(エンティティ、ライブラリ、パケット)のリスト、ならびに、設計ユニットごとの宣言すべてを含み、各宣言は行番号、オブジェクト名、型、サイズ、および関連するテストの構築のタイプを含む、少なくとも1つのインデキシングファイルの作成とを行うステップを含み、
・回路の合成の際にメモリ要素の元になるHDL命令を発見する前記ステップが、少なくとも各メモリ要素に対応するHDLオブジェクトの名前を、型、寸法、および元のHDL記述ファイル内での座標と共に含むメモリ発見ファイルの作成のフェーズを含む。
さらに、ある変数の寸法についての情報が元のHDL記述ファイルの組にない可能性がある以上、本発明の好ましい一実施形態では、この寸法を所定のデフォルト値に基づいて自動定義するか、またはこの方法のユーザが定義を対話的に行うステップを含む。
同様に、好ましい一変形実施形態によれば、本発明による方法では、HDLチェイン化命令が挿入されるときに、メモリ要素間の互換性が検査される。実際、同じ型で寸法の互換性のあるオブジェクトに対応するメモリ要素をチェインすることが可能なだけである。したがって、互換性のない場合、本発明は、衝突の元となる2つのオブジェクトのうちの1つの型および/または寸法を自動変換するためのフェーズ、または衝突の元となる2つのオブジェクトのうちの1つの型および/または寸法をユーザが対話的に変更するためのフェーズを含む、好ましいが最低限必要ではない、HDLチェイン化命令を挿入するやり方を含む。言語の使用におけるシンタクスまたは文法のエラーに対応するそのような衝突の自動的な検出および訂正についての情報に関しては、米国特許出願公開公報2003/0033595を参照されたい。
本発明の別の特徴によれば、メモリ要素のチェイン化の前記HD命令挿入ステップは、
・合成時にHDLプロセスごとに、メモリ要素の別々のチェインの少なくとも1つを得るように、HDLプロセスに対応するHDL命令の組において、メモリ要素のローカルなチェイン化のためのHDL命令を挿入するフェーズと、
・ローカルなチェイン化のフェーズのときに作成されたメモリ要素のチェインを含む、少なくとも1つのメモリ要素のチェインを合成時に得るように、HDL記述ファイルにおいて、グローバルと呼ばれるHDLチェイン化命令を挿入するフェーズとを含む。
一般に、シーケンシャルドメインにおけるチェイン化という用語は、プロセス内部のチェイン化に関して使用され、コンカレントドメインにおけるチェイン化という用語は、プロセス外部のチェイン化に関して使用される。
したがって、本発明の別の特徴によれば、HDL命令の自動挿入のための前記ステップは、次のフェーズ、すなわち、
・入力−出力ポートとして使用されるテスト信号に対応するHDL命令の挿入と、
・1次入力/出力ポートを含むいくつかのプロセス間のメモリ要素の場合に、中間作業信号に対応するHDL命令の挿入と、
・プロセス固有のメモリ要素を接続する、少なくとも1つのいわゆる「SCAN」チェインを回路の合成の際に得るためのHDL命令の各プロセスにおける挿入と、
・プロセスの外部のSCANチェインの入出力のチェインのコンカレントな代入のためのHDL命令の挿入とを含む。
本発明によれば、HDL命令を分析し挿入する方法は、VerilogやVHDLなど、様々なHDL記述言語の一環として使用することができるが、これらは、非限定的な例であり、本発明によるプロセスは、他のHDL記述言語にも使用可能であることが了解されている。
さらにまた、プロセスは、もっぱらにではなくたとえば、Verilog言語で作られたある記述ファイルやVHDL言語で作られた他の記述ファイルを含む、元のHDL言語の記述ファイルの混成の組に対して使用することが可能である。
したがって、本発明の別の特徴によれば、VerilogおよびVHDLの言語がHDL記述言語として使用されるとき、メモリ要素の元となるHDL命令を発見する前記ステップは、
・同期したプロセスを検索してこれらのプロセス内部で代入されたオブジェクトを検出するステップと、
・メモリ要素の元となる命令の識別のための次の命令の適用を含む。
・あるプロセス内部で代入され、別のプロセス内でまたはHDLコードの現在の部分の中で読み込まれるどのようなオブジェクトも、メモリ要素であると見なされることになる
・同期したプロセス内で、「if」制御構造のある分岐内部で代入され、この同じ構造の他の分岐すべての内部で代入されないどのようなオブジェクトも、メモリ要素と見なされる
・同期したプロセス内で、書き込まれる前に読み取られたどのようなオブジェクトも、メモリ要素と見なされる
本発明によるプロセスのある好ましい実施形態には、またVHDL言語で記述されたプロセスのローカルなチェイン化の一環として、変数ストリングのチェインの値を再使用するように設計された中間信号の定義のためのVHDL命令を挿入して、プロセス外部でこれらのチェインを代入しチェイン化できるようにするフェーズが含まれる。
さらに、本発明によれば、HDL命令の自動挿入は、HDL言語による元の集積デジタル電子システムのコードのどのような機能的低下も引き起こさないようなやり方で行わなければならない。
本発明の別の特徴によれば、新しいHDL記述ファイルの組を用いた集積デジタル電子システムの合成後にSCANチェインの最適化および故障検出率の改善を、HDL言語による記述の再度の変更および本発明によるプロセスの再度の使用を必要とせずに可能にし、そうして回路設計時間の増加を防ぐために、プログラム可能なSCANチェインが作成される。これを達成するために、HDL SCAN命令を挿入する前記ステップは、合成時にSCANチェインのメモリ要素の少なくとも一部の間に挿入されるプログラム可能なマルチプレクサを生成することになるHDL命令を挿入するフェーズを含む。好ましくは、そのようなマルチプレクサは、SCANチェインの連続するメモリ要素すべての間に挿入される。もちろん、SCANチェイン内で挿入されるマルチプレクサのコントローラに対応するHDL命令の挿入も行われる。
本発明による方法の上で述べたような様々な特徴は、これらの特徴が互いに両立しないものでないときは、様々な組合せで組み合わせられることに注意されたい。
また、本発明は、少なくとも1つの組合せ論理関数モジュールおよび関連するメモリ要素、ならびに、少なくとも1つのメモリ要素のチェインを含むSCAN型テスト手段を含む、集積デジタル電子システムまたはシングルチップシステムに関する。本発明によれば、集積デジタル電子システムは、SCANチェインのプログラム可能な再構成のための手段を含むことを特徴とする。
本発明の別の特徴によれば、やはり新しいHDL記述ファイルの組から得られることになる回路のテスト能力を改善するために、このプロセスは、合成すると集積デジタル電子システムのBIST(built−in system tests)が作成されることになるHDL命令を挿入するステップを含む。そのような手段は、少なくとも1つの自動テストパターン生成器(TPG、Test Pattern Generator)、電子システムの応答を分析する手段、およびテストコントロール手段を含む。本発明の好ましい一特徴によれば、自動テストパターン生成器は、線形PRPG(Parallel Random Pattern Generator)を含み、このPRPGの初期化シーケンスがプログラム可能であるように設計される。さらに、本発明の好ましい一実施形態によれば、テストパターン生成機構(structure)および応答分析機構は、上で触れたプログラム可能なまたは再構成可能なSCANに基づく。
上で述べた本発明による集積デジタルシステムの様々な特徴は、これらの特徴が互いに両立しないものでないときは、様々な組合せで組み合わせられる。
また、本発明は、HDL言語と呼ばれる、レジスタトランスファレベルにおける記述言語による集積デジタル電子システムのシステム全体または一部の自動設計のための装置であって、この装置は、少なくとも1つの計算ユニット、メモリユニット、およびファイルストレージユニットを含み、前記ストレージユニットが、前記集積電子システムの前記システムまたは一部のHDL言語記述ファイルを含むこと、および、前記計算ユニットおよびメモリユニットが、本発明による前記方法を使用してHDL記述ファイルから、HDL記述を含むこのシステムまたは一部の新しいHDL記述ファイルを生成するように適合されて、その結果、前記新しいファイルから得られる前記集積デジタル電子システムの前記システムまたは一部が、少なくとも前記メモリ要素の動作テストに必要な電子論理回路の少なくとも一部を含むことを特徴とする装置に関する。
ある好ましい実施形態では、この装置は、本発明によるプロセスを使用するように実行されるプログラムを使用するパーソナルコンピュータを含む。
また、本発明は、コンピュータによって実行されたとき、本発明による方法の使用を可能にするプログラムが記録される、コンピュータにより読み取り可能なデータサポート(data support)に関する。
本発明の他の様々な特徴は、以下の説明を添付の図面を参照して行うことから明らかとなろうが、そこでは本発明の様々な目的を、例として与えた非限定的な形で示している。
これまでに触れたように、本発明による方法の第1の実施形態は、回路の合成後にはその回路に、SCAN技法を用いてそのメモリ要素の少なくとも一部をテストするのに必要な手段をすべて与えることになる、HDL命令の回路をHDL言語記述において挿入することを意図している。本発明は、この目的を、高価な計算資源を必要とし長い処理時間を生じさせる関係または関数の分析など、回路のどのような予測的分析も行わずに達成することを意図している。他方、本発明によれば、SCANチェインおよび関連する機能に対応する命令は、メモリ要素またはそのメモリ要素に対応するHDL命令が現れる際に挿入される。もちろん、本発明によれば、このチェイン化は、作成中のSCANチェイン(群)が、ユーザが必要ならば課す基準を満たしていることを、進めながら検査することによって行われ、何か必要な修正は、既に作成済みのチェインおよび/またはチェインの断片に対して働きかけることによって行われる。
ある好ましい実施形態では、図1の流れ図に示す本発明による方法は、まず、システムの合成時にメモリ要素の元となることになる命令の自動発見のステップ1を含む。
この自動発見は、いくつかのフェーズを含んでもよく、ある好ましい実施形態では、この自動発見のステップは、少なくとも1つのVIFインデキシングファイルを作成するための元の記述ファイルすべての分析またはインデキシングのためのステップ1aを含み、VIFインデキシングファイルは、もしあれば設計ユニット(エンティティ、ライブラリ、パケット)のリスト、および設計ユニットごとの宣言すべてを含み、宣言はそれぞれ、行番号、オブジェクト名、タイプ、サイズ、および関連するコントロールの構築のタイプを含む。そして、このインデキシングファイルは、元のまたは初期のHDL記述ファイル内の少なくともタイプおよび座標を、HDLオブジェクトおよびプロセスごとに含むことになる。
たとえば、あるプロセスのVHDL言語による記述の、図2に示すものなどのファイルから行われる、この分析またはインデキシングのステップ1aの一環として、図3に示すものなどのVIFインデキシングファイルが生成される。
本発明によれば、この分析またはインデキシングのステップ1aは、他のタイプのHDL言語に対して実現してもよい。このため、図4に、いくつかのプロセスに基づくVERILOG言語による元のまたは初期の記述ファイルの例を示してあり、このファイルに適用されるインデキシングのステップ1aは、図5に示すもののようなVIFインデキシングファイルを得るために使用されることになる。
本発明によれば、インデキシングのステップ1aは、いくつかのインデキシングファイル、インデキシングファイルシステムの作成、および、好ましいが最低限必要ではない、インデキシングデータベースの作成に至る可能性があることに注意されたい。
このインデキシングのステップの一環として、本発明による方法は、システムのHDL記述内にある可能性のある恒等インスタンス(identical instance)のインデキシングを、様々な初等的な命令のインデキシングのほかに含む。恒等インスタンスとは、そのシステム内で何回か使用されるシステムの部分を記述する単一ファイルまたはHDLファイルの単一の組を意味する。
このインデキシングのステップ1aの後には、回路の合成の後でメモリ要素の元となるHDL命令を自動的に発見するためのステップ1bがある。本発明によれば、この発見のステップ1bは、単一のシステムを記述するのに組み合わせて使用してもよい、様々なタイプのHDL記述言語、もっぱらにではなくたとえば、VHDLおよびVerilogの言語のために使用される。したがって、このシステムの一部はVHDLで書かれたファイルで記述してもよいが、システムの他の部分はVerilogで書かれたファイルで記述される。
その好ましい実施形態において、またVHDLまたはVerilogのファイルで利用する場合、メモリ要素の元となるHDL命令を発見するステップ1bは、同期したプロセスを検索してそうしたプロセス内部で代入されたオブジェクトを検出するステップを含む。同期したプロセスを検索するこのステップは、インデキシングのステップ1aの結果から、すなわち、VIFファイルの、あるいはこのステップ1a中に作成または定義されたデータベースからのデータの処理によって、また場合によっては、そのシステムのHDL言語による初期HDL記述ファイルの処理によって、行われる。同期したプロセスの発見の後、合成時にメモリ要素を生成する可能性のある命令の識別は、以下の規則を用いて行われる。すなわち、
・あるプロセス内部で代入され、別のプロセスへまたはHDLコードの現在の部分へと読み込まれるどのようなオブジェクトも、メモリ要素の元となると見なされることになる
・同期したプロセス内で、「if」制御構造の1つの分岐の内部で代入され、この構造の他の分岐すべての内部で代入されないどのようなオブジェクトも、メモリ要素の元となると見なされる
・同期したプロセス内で、書き込まれる前に読み込まれたどのようなオブジェクトも、メモリ要素の元となるとして参照される。
発見のステップ1bを識別するステップは、同期したプロセスを検索するステップと同様に、インデキシングのステップ1aの結果を用いて、すなわち、VIFファイルの、あるいはこのステップ1a中に作成または定義されたデータベースからのデータの処理によって、また場合によっては、そのシステムのHDL言語による初期HLD記述ファイルの処理によって、行われる。また、発見のステップ1bは、少なくとも対応するHDLオブジェクトの名前、ならびに元のHDL記述ファイル内でのそのタイプ、寸法、および座標をメモリ要素ごとにリストするMEMファイルを書き込みまたは作成するステップを含む。図6には、図3のVIFインデキシングファイルの処理により、図2に従ってVHDL言語によるHDL記述ファイル用に得られたメモリ要素MEMファイルの発見ファイルを示している。同様に、図7には、図5のVIFインデキシングファイルの処理により、図4に従ってVerilog言語によるHDL記述ファイル用に得られたメモリ要素MEMファイルの発見ファイルを示している。もちろん、本発明によれば、MEMファイルなどの1つまたは1組の発見ファイルを作成するステップは、データベースの作成にも、またデータベースを上で非限定的に挙げた各メモリ要素についての情報で満たすことにも同様に適用することができる。
本発明の別の目的は、元のHDL記述ファイルのある変数の寸法に関する情報の不足を克服するために、欠けている寸法の値を本発明によるプロセスの使用の前またはその間にユーザのあらかじめ定めたデフォルト値に基づいて自動的に選ぶステップ、またはこの情報の不足が生じたときにこの方法をユーザと対話的に定義するステップを、ユーザの選択に応じて含めることである。このようにして定義された寸法の値は、そのときに、MEM発見ファイルまたは対応するデータベース(群)に記録される。
本発明のある本質的な特徴によれば、この方法は、合成時にメモリ要素の元となることになるHDL命令の発見の後に、HDL言語によるシステムの元のHDL記述ファイル(群)の少なくとも一部にHDL命令を挿入する挿入のステップ2を含み、システムの合成時に、これらの命令は、少なくとも1つのSCANチェインと、もっぱらにではなくたとえば、SCANの入力および出力、システムをテストモードにする手段、SCANテストクロック、SCANテストコントローラなどのSCANテストを行う手段とを得るのに使用されることになる。
SCAN用のHDL命令の挿入は、回路の合成時にSCAN規則に対するどのような違反も防ぐために行われることになる。このとき、本発明による方法は、好ましくは、適用可能な場合、様々なクロックドメインのファイルまたはアドホックな発見データベース内のレコードのともなう様々な既存のどのようなクロックドメインも識別するフェーズを含む。
好ましくは、HDL SCAN命令を挿入するステップ2は、ユーザの決めたパラメータ、すなわち、システム全体に対する、または関係するシステムの一部の要素に対するSCANチェインの数および長さに応じて行われる。
このようにして、挿入のステップ2では、MEMファイル、元のHDL記述ファイル、様々なクロックドメインの発見、ユーザの定義したSCAN使用パラメータなど、発見のステップ1の結果を使用することになる。
本発明によれば、HDLメモリ要素チェイン化命令の挿入は、はじめはローカルに、その後グローバルに行われる。
ローカルなチェイン化のフェーズは、必要な回数繰り返されるが、合成時に、HDLプロセスごとに少なくともメモリ要素の1つのチェインを得るように、HDLプロセスに対応するHDL命令全体のレベルに関する。この点で、VHDL言語で記述されたプロセスのローカルなチェイン化の一環として、本発明は、プロセス外部でのその代入およびチェイン化を可能にするために、変数のチェインを再使用するように設計された中間信号のためのVHDL定義命令を挿入するフェーズを含む。
したがって、本発明のある好ましい実施形態によれば、ローカルなチェイン化のためのHDL命令の自動挿入のためのフェーズは、次のフェーズを含む。すなわち、
・入出力ポートとして使用されるテスト信号に対応するHDL命令の挿入
・中間作業信号に対応するHDL命令の可能な挿入
・プロセス固有のメモリ要素をリンクする、「SCAN」と呼ばれる少なくとも1つのチェインを回路の合成の際に得るための、HDL命令の各プロセスにおける挿入
・プロセスの外部のSCANチェインの入出力のチェインのコンカレントな代入のためのHDL命令の挿入
SCAN規則を遵守するために、本発明では、メモリ要素相互間の互換性の検証を、HDLチェイン化命令が挿入されるときに要求しており、これを達成するために、HDLチェイン化命令を挿入するステップは、衝突の元となるオブジェクトのどちらかまたはどちらもの型および/または寸法を自動変換するためのフェーズ、または衝突の元となるオブジェクトのどちらかまたはどちらもの型および/または寸法をユーザと対話的に変更するためのフェーズを含むことに注意されたい。
グローバルなチェイン化は、ローカルなチェイン化の後で行われ、必要な回数繰り返されるが、合成時に、少なくともメモリ要素の1つのチェインを得るように、HDLグローバルチェイン化命令をHDL記述ファイルに挿入するフェーズを含み、ローカルなチェイン化のフェーズ中に作成されたメモリ要素チェインを含む。
したがって、本発明による方法を使用するとき、その結果は、図2に示す元のVHDL HDLファイルから作られる、図8に示すSCAN済みHDLファイル(SCANNED HDL File)であり、合成時にSCAN機能の元になるVHDL命令を含む同じシステムのVHDL記述を含む。同様に、図9には、図4に示す元のVerilog記述ファイルに対する本発明によるプロセスの使用によって得られるVerilog SCAN済みHDL記述ファイルを示している。
本発明による方法は、好ましくは、第1に、インデキシングのステップ1aの間にインデキシングされるインスタンスなどの恒等インスタンスの存在を、第2に、たとえば、システムのある部分ではあるインスタンスに単一のSCANチェインが関係するが、システムの別の部分では同じインスタンスにいくつかのSCANチェインが関係することになる可能性のあるユーザの選択を考慮するように、使用されることに注意されたい。これら2つ以上の恒等インスタンスは同じファイルまたはHDLファイルの組によって記述されることが了解されている。そこで、本発明では、この要件を満たすために、インスタンスがシステムの記述ファイルの組のためのSCAN HDL命令の自動挿入の間に初めて現れたときに、そのインスタンスをSCAN HDL命令の自動挿入によって変更することを意図している。次いで、前記インスタンスにまた出遭うたびに、SCAN HDL命令を使用してローカルなSCAN制約を満たすことができるかどうかの検査が行われ、そうである場合は、そのインスタンスの記述ファイルには変更は行われない。他方、そうでない場合は、そのインスタンスの記述ファイル(群)は変更され、前記インスタンスが生起したそれまでの場所が検証され、その環境は、新しい形のインスタンスと共にローカルなSCAN制約を満たすように変更される。本発明の趣旨によれば、この処置の仕方により、恒等インスタンスに対するどのような予測的分析の計算も避けられ、既に行われたHDL命令の挿入は、必要なときだけ再考される。
この方法のある変形実施形態では、本発明は、設計者が、RTLレベルにおいて構築されたSCANチェインの構成に関する選択について意向を変られるようにすることを意図している。これを達成するために、本発明は、SCAN HDL命令に加えて、HDL再構成命令を、すなわち、第1に、1つのSCANチェインの各メモリ要素の間、および/またはSCANチェインの部分の間に挿入される中間スイッチを定義するHDL命令を、第2に、これらの中間スイッチの少なくともコントローラに対応するHDL命令を、挿入することを意図している。
合成時に、HDLのSCANおよび再構成の命令を使用して、図10で図式的に示すものなどの機能のある集積デジタルシステムSが作られることになる。このため、システムSは、コントローラ17へと接続される中間スイッチ15、16により、図10に示すように互いに次々と接続されているSCANチェインの部分11、12、13、14を含む。
これらの手段の使用する際、SCANチェインの動的な再構成は、ハードウェアレベルにおける合成後に、次のパラメータを再定義することによって行うことが可能になる。すなわち、
>SCANチェインのサイズ
>1つまたはいくつかのSCANチェインの物理的構成
である。
図10に示すように、そのような再構成には、コントローラ17が必要であり、これは、スイッチ15、16に対して動作して、チェインの部分11から14の相互間およびコントローラ17との接続のアクティブ化を、コントローラでアクティブ化された構成シーケンスに応じて行う。
ある実施形態では、本発明は、また、HDL命令のシステムのHDL記述ファイルへの自動挿入のためのステップを含み、これらのHDL命令により、システムの合成後には、BIST(Built−In Self−Test)機能がすべて、システムに与えられることになる。
したがって、本発明は、合成時に少なくとも次のものの元になるHDL組み込み自己テスト命令を自動的に挿入するステップを含む。すなわち、
− テストパターン生成器20などのテストパターン生成手段
− テスト結果圧縮ブロック21など、テスト済み回路の応答を分析する手段
− テストコントローラ22などのテストコントロール手段
− テストの入力23および出力24
図11に示すように、これらの要素は、システムSの機能のすべてまたは一部だけを行うことのできる、テストすべき回路25に関する。
本発明によれば、テストコントローラは、組み込み自己テストの信頼性および特に故障検出率(fault coverage)を高めるために、少なくともテスト生成器の初期化シーケンス(群)のプログラミングを可能にするように適合されることになる。テストコントローラは、故障検出率をさらに高めるために、テストコントローラの構成のプログラミングおよび場合によってはSCANコントローラのプログラミングを可能にするように適合されることになる。
本発明の別の特徴によれば、合成の後でテストコントローラおよび場合によってはSCANコントローラのプログラムを行うステップがあり、そのとき、このプログラミングは、テストコントローラの低レベルで行って、そのテストパラメータを決めることができる。
もちろん、本発明への様々な修正形態は、その範囲から逸脱することなく行うことができる。
本発明による方法の使用のための流れ図の例を示す図である。 集積デジタルシステムの一部分の、VHDL言語による元の記述ファイルを示す図である。 図2のファイルについての、本発明による方法の使用中に生成されるファイルの例を示す図である。 集積デジタルシステムの一部分の、Verilog言語による元の記述ファイルを示す図である。 図4のファイルについての、本発明による方法の使用中に生成されるファイルの例を示す図である。 図2および4によるファイル用に、本発明による方法によって生成されるメモリ要素のインデキシングファイルの例を示す図である。 図2および4によるファイル用に、本発明による方法によって生成されるメモリ要素のインデキシングファイルの例を示す図である。 本発明による方法によって自動的に挿入されるHDL SCANを組み込む、それぞれ図2および4の元のファイルに対応するHDL記述ファイルを示す図である。 本発明による方法によって自動的に挿入されるHDL SCANを組み込む、それぞれ図2および4の元のファイルに対応するHDL記述ファイルを示す図である。 集積デジタルシステムのSCANチェインを再構成する手段の使用を図式的に示す図である。 本発明によるプロセスによって生成されたHDL記述の合成後に得られ、組み込み自己テスト「BIST」の機能を使用するような集積デジタルシステムを図式的に示す図である。

Claims (12)

  1. 新しいファイルの組を用いた集積デジタル電子システムの自動合成の際に、得られた集積デジタル電子システムに集積デジタル電子システムのテストに必要な論理電子回路(22、23、24)の少なくとも一部が組み込まれるように、テスト機能を有する集積デジタル電子システムのHDL言語による新しい記述ファイルの組を得ることを目的としてHDL言語による命令を記述ファイルに自動的に挿入するために、HDL言語と呼ばれる記述言語による集積デジタル電子システムの元の記述ファイルの組をレジスタトランスファレベルで分析する方法であって、
    元のHDL記述ファイルのすべてを分析またはインデキシングし、エンティティ、ライブラリ、及びパケットを含む設計ユニットが存在すれば当該設計ユニットのリストを含む少なくとも一つのインデキシングファイルをオブジェクトおよびHDLプロセス毎に作成すると共に、設計ユニット毎にすべての宣言を作成するステップ(1a)であって、各宣言が行番号、オブジェクト名、型、およびサイズを含む、該ステップと、
    前記元のHDL記述ファイル内で、前記集積デジタル電子システムの合成時にメモリ要素の元になるHDL命令シーケンスを自動的に発見するステップ(1)と
    前記集積デジタル電子システムの合成時に、前記メモリ要素に接続する少なくとも一つのいわゆる「SCAN」チェイン(11)を得るのに使用される、いわゆるSCAN HDL命令を、前記元のHDL記述ファイルの少なくとも一部に自動的に順次挿入するステップであって、該順次挿入が、該集積デジタル電子システムの全体又は該集積デジタル電子システムの一部の要素に対するSCANチェインの数及び長さに応じて実行され、且つ、該元のHDL記述ファイル内において初めはローカルに、その後グローバルに実行される、該ステップと、を含み、
    前記メモリ要素の元になるHDL命令シーケンスを自動的に発見する前記ステップ(1)が、
    同期したプロセスを検索して当該プロセス内部で代入されたオブジェクトを検出するステップと、
    前記メモリ要素の元となる命令を識別するために、
    一のプロセス内部で代入され、別のプロセス内でまたはHDLコードの現在の部分の中で読み込まれるどのようなオブジェクトも、メモリ要素であると見なされることになるという規則、
    同期したプロセス内で、「if」制御構造の一の分岐内で代入され、当該構造の他のすべての分岐内では代入されないどのようなオブジェクトも、メモリ要素と見なされるという規則、および、
    同期したプロセス内で、書き込まれる前に読み取られたどのようなオブジェクトも、メモリ要素と見なされるという規則
    を適用するステップと、
    を含む、
    ことを特徴とする方法。
  2. 得られた前記新しいHDL記述ファイルの組を記録するステップを含むことを特徴とする請求項1に記載の方法。
  3. 既存の様々なクロックドメインを識別するステップを含み、
    HDLメモリ要素チェイン化命令を挿入する前記ステップが、クロックドメインごとに、少なくとも一つの異なるSCANチェインを作成するように実行される、
    ことを特徴とする請求項1又は2のいずれか一項に記載の方法。
  4. 前記元のHDL記述ファイル内でメモリ要素の元となる変数の寸法に関する情報がない場合に、この値を所定のデフォルト値に基づいて自動的に定義するか、または前記方法のユーザが対話的に前記定義を実行するステップ
    を含むことを特徴とする請求項1〜3のいずれか一項に記載の方法。
  5. HDLチェイン化命令の挿入時にメモリ要素相互間の互換性を検証するステップと、
    互換性のない場合に、衝突の元となる二つのオブジェクトのうちの一つの型および/または寸法を自動変換するフェーズか、または、衝突の元となる二つのオブジェクトのうちの一つの型および/または寸法をユーザが対話的に変更するフェーズと、
    を含むことを特徴とする請求項1〜4のいずれか一項に記載の方法。
  6. メモリ要素のチェイン化に対する前記HDL命令挿入ステップが、
    合成時にHDLオブジェクトごとに、メモリ要素の別々のチェインの少なくとも一つを得るように、HDLオブジェクトに対応するHDL命令の組に、ローカルなチェイン化と呼ばれる、メモリ要素のHDLチェイン化命令を挿入するフェーズと、
    前記ローカルなチェイン化の時に作成されたメモリ要素のチェインを含む、少なくとも一つのメモリ要素のチェインを合成時に得るように、HDL記述ファイルに、グローバルなチェイン化と呼ばれる、HDLチェイン化命令を挿入するフェーズと、
    を含むことを特徴とする、請求項1〜5のいずれか一項に記載の方法。
  7. ローカルなチェイン化に対するHDL命令の自動挿入の前記ステップが、
    入力−出力ポートとして使用されるテスト信号に対応するHDL命令を挿入するフェーズと、
    一次入力/出力ポートを含むいくつかのプロセス間のメモリ要素の場合に、中間作業信号に対応するHDL命令を挿入するフェーズと、
    プロセス固有のメモリ要素に接続する、少なくとも一つのいわゆる「SCAN」チェインを回路合成の際に得るために、各プロセスにおいてHDL命令を挿入するフェーズと、
    プロセスの外部のSCANチェインの入出力のチェインのコンカレントな代入を提供するHDL命令を挿入するフェーズと
    を含む、ことを特徴とする請求項6に記載の方法。
  8. 合成後のSCANチェインの再構成を可能にするために、HDL SCAN命令を挿入する前記ステップが、
    合成時にSCANチェインのメモリ要素の少なくとも一部の間に挿入される中間スイッチを生成することになるHDL命令を挿入するフェーズと、
    合成時に前記中間スイッチのコントローラを生成することになるHDL命令を挿入するフェーズと、
    を含むことを特徴とする、請求項1〜7のいずれか一項に記載の方法。
  9. HDL命令の自動挿入の前記ステップが、合成時にBIST(built−in self−test)HDL命令を挿入するステップを含み、
    前記BIST HDL命令が少なくとも、テストパターン生成器(20)などのテストパターン生成手段、テスト結果圧縮ブロック(21)など、テスト済み回路の応答を分析する手段、テストコントローラ(22)などのテストコントロール手段、及びテストの入力(23)および出力(24)の元となる
    ことを特徴とする請求項1〜8のいずれか一項に記載の方法。
  10. 前記テストパターン生成手段が、初期化シーケンスがプログラム可能な線形PRPG(Parallel Random Pattern Generator)を含むことを特徴とする、請求項9に記載の方法。
  11. 前記テストパターン生成手段および応答を分析する前記手段が、再構成可能なSCAN機構に基づくことを特徴とする、請求項8〜10のいずれか一項に記載の方法。
  12. HDL言語と呼ばれる、レジスタトランスファレベルにおける記述言語による集積デジタル電子システムのシステム全体または一部を自動設計し、少なくとも一つの計算ユニット、メモリユニット、およびファイルストレージユニットを備える装置であって、
    前記ストレージユニットが、前記集積デジタル電子システムまたは当該集積デジタル電子システムの一部のHDL言語記述ファイルを有し、
    前記計算ユニットおよびメモリユニットが、請求項1〜9のいずれか一項に記載の前記方法を使用して、HDL記述ファイルから、HDL記述を含む、前記集積デジタル電子システムまたは当該集積デジタル電子システムの一部の新しいHDL記述ファイルを生成し、
    その結果、前記新しいファイルから得られる前記集積デジタル電子システムまたは当該集積デジタル電子システムの一部が、前記メモリ要素の動作テストに必要な電子論理回路の少なくとも一部を含む、
    ことを特徴とする装置。
JP2006552666A 2004-02-13 2005-02-11 デジタルシステムのhdl記述ファイルを作成する方法、および得られるシステム Expired - Fee Related JP4654203B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR0401479A FR2866434A1 (fr) 2004-02-13 2004-02-13 Procede d'elaboration automatique de fichiers de description hdl de systeme electectronique digital integre et systeme digital electronique integre obtenu
FR0409181A FR2866435B1 (fr) 2004-02-13 2004-08-30 Procede d'elaboration automatique de fichiers de description hdl de systeme electronique digital integre et systeme digital elecronique integre obtenu
PCT/FR2005/000323 WO2005083454A1 (fr) 2004-02-13 2005-02-11 Procede d'elaboration de fichiers de description hdl de systemes digitaux et systemes obtenus

Publications (2)

Publication Number Publication Date
JP2007522574A JP2007522574A (ja) 2007-08-09
JP4654203B2 true JP4654203B2 (ja) 2011-03-16

Family

ID=34809853

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006552666A Expired - Fee Related JP4654203B2 (ja) 2004-02-13 2005-02-11 デジタルシステムのhdl記述ファイルを作成する方法、および得られるシステム

Country Status (7)

Country Link
US (1) US8010918B2 (ja)
EP (1) EP1716425B1 (ja)
JP (1) JP4654203B2 (ja)
AT (1) ATE440291T1 (ja)
DE (1) DE602005016079D1 (ja)
FR (1) FR2866435B1 (ja)
WO (1) WO2005083454A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7600168B2 (en) * 2005-12-26 2009-10-06 Prolific Technology Inc. Apparatus with programmable scan chains for multiple chip modules and method for programming the same
US20120131316A1 (en) * 2010-04-12 2012-05-24 Mitola Iii Joseph Method and apparatus for improved secure computing and communications
WO2014035410A1 (en) * 2012-08-30 2014-03-06 Hewlett-Packard Development Company, L.P. Global feature library useable with continuous delivery
US9081932B2 (en) * 2013-02-01 2015-07-14 Qualcomm Incorporated System and method to design and test a yield sensitive circuit
US10474441B1 (en) * 2013-02-06 2019-11-12 Altera Corporation Method and apparatus for performing automatic data compression algorithm selection during high-level compilation
US9383411B2 (en) * 2013-06-26 2016-07-05 International Business Machines Corporation Three-dimensional processing system having at least one layer with circuitry dedicated to scan testing and system state checkpointing of other system layers
US9389876B2 (en) 2013-10-24 2016-07-12 International Business Machines Corporation Three-dimensional processing system having independent calibration and statistical collection layer
US9928150B2 (en) * 2014-06-30 2018-03-27 The Board Of Trustees Of The Leland Stanford Junior University System and method for testing a logic-based processing device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05298392A (ja) * 1992-04-23 1993-11-12 Nec Corp スキャンパス設計方式
JPH0652259A (ja) * 1992-08-04 1994-02-25 Nec Eng Ltd スキャン挿入方法
JPH10124562A (ja) * 1996-03-06 1998-05-15 Matsushita Electric Ind Co Ltd Rtlにおける検査容易化設計方法及び集積回路
JPH10132899A (ja) * 1996-10-31 1998-05-22 Nec Corp レジスタトランスファレベル回路の低コストテスト方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5193092A (en) * 1990-12-20 1993-03-09 Vlsi Technology, Inc. Integrated parity-based testing for integrated circuits
US5508937A (en) * 1993-04-16 1996-04-16 International Business Machines Corporation Incremental timing analysis
US6256770B1 (en) * 1997-10-17 2001-07-03 Lucent Technologies Inc. Register transfer level (RTL) based scan insertion for integrated circuit design processes
WO1999045667A1 (en) * 1998-03-03 1999-09-10 Rutgers University Method and apparatus for combined stuck-at fault and partial-scanned delay-fault built-in self test
US6256759B1 (en) * 1998-06-15 2001-07-03 Agere Systems Inc. Hybrid algorithm for test point selection for scan-based BIST
US6301688B1 (en) * 1998-11-24 2001-10-09 Agere Systems Optoelectronics Guardian Corp. Insertion of test points in RTL designs
US6639442B1 (en) * 1999-09-22 2003-10-28 Siemens Aktiengesellschaft Integrated circuit comprising at least two clock systems
JP2001237826A (ja) * 2000-02-23 2001-08-31 Nec Corp パラレルランダムパタン生成回路及びそれを用いたスクランブル回路並びにデスクランブル回路
US6795951B2 (en) * 2001-02-09 2004-09-21 International Business Machines Corporation Method and system for fault-tolerant static timing analysis
US6957403B2 (en) * 2001-03-30 2005-10-18 Syntest Technologies, Inc. Computer-aided design system to automate scan synthesis at register-transfer level
US6714807B2 (en) * 2001-06-29 2004-03-30 Ge Medical Systems Global Technology Co., Llc Magnetic resonance imaging system
AUPR868201A0 (en) * 2001-11-05 2001-11-29 Thorlock International Limited Q-factor switching method and apparatus for detecting nuclear quadrupole and nuclear magnetic resonance signals
US7234092B2 (en) * 2002-06-11 2007-06-19 On-Chip Technologies, Inc. Variable clocked scan test circuitry and method
US6785875B2 (en) * 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US7131081B2 (en) * 2003-02-14 2006-10-31 Nec Laboratories America, Inc. Scalable scan-path test point insertion technique
JP4711801B2 (ja) * 2005-10-28 2011-06-29 ルネサスエレクトロニクス株式会社 回路設計システム及び回路設計プログラム
US7640476B2 (en) * 2006-09-22 2009-12-29 Sun Microsystems Inc. Method and system for automated path delay test vector generation from functional tests
US20080092093A1 (en) * 2006-10-12 2008-04-17 Nec Laboratories America, Inc. Register Transfer Level (RTL) Test Point Insertion Method to Reduce Delay Test Volume

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05298392A (ja) * 1992-04-23 1993-11-12 Nec Corp スキャンパス設計方式
JPH0652259A (ja) * 1992-08-04 1994-02-25 Nec Eng Ltd スキャン挿入方法
JPH10124562A (ja) * 1996-03-06 1998-05-15 Matsushita Electric Ind Co Ltd Rtlにおける検査容易化設計方法及び集積回路
JPH10132899A (ja) * 1996-10-31 1998-05-22 Nec Corp レジスタトランスファレベル回路の低コストテスト方法

Also Published As

Publication number Publication date
FR2866435A1 (fr) 2005-08-19
JP2007522574A (ja) 2007-08-09
US8010918B2 (en) 2011-08-30
FR2866435B1 (fr) 2008-04-04
DE602005016079D1 (de) 2009-10-01
US20080295045A1 (en) 2008-11-27
EP1716425B1 (fr) 2009-08-19
EP1716425A1 (fr) 2006-11-02
ATE440291T1 (de) 2009-09-15
WO2005083454A1 (fr) 2005-09-09

Similar Documents

Publication Publication Date Title
JP4654203B2 (ja) デジタルシステムのhdl記述ファイルを作成する方法、および得られるシステム
US6968514B2 (en) Block based design methodology with programmable components
US6269467B1 (en) Block based design methodology
US8417504B2 (en) Conversion of circuit description to a transaction model
US7231627B2 (en) Merging a hardware design language source file with a separate assertion file
US7925940B2 (en) Enhancing speed of simulation of an IC design while testing scan circuitry
US20070294647A1 (en) Transferring software assertions to hardware design language code
US7587690B1 (en) Method and system for global coverage analysis
US20080127009A1 (en) Method, system and computer program for automated hardware design debugging
US20050273683A1 (en) Insertion of embedded test in RTL to GDSII flow
US8386973B2 (en) Behavioral synthesis apparatus, method, and program having test bench generation function
JP4492803B2 (ja) 動作合成装置及びプログラム
US6077305A (en) Latch inference using dataflow analysis
WO2000019528A1 (de) Dram-zellenanordnung und verfahren zu deren herstellung
JPWO2006025412A1 (ja) 論理検証方法、論理モジュールデータ、デバイスデータおよび論理検証装置
US9652573B1 (en) Creating and using a wide-gate data structure to represent a wide-gate in an integrated circuit (IC) design
US9697314B1 (en) Identifying and using slices in an integrated circuit (IC) design
US9690890B1 (en) Creating and using a wide-bus data structure to represent a wide-bus in an integrated circuit (IC) design
US6711728B1 (en) Function synthesizing method and apparatus, and recording medium on which program of said method is recorded
US20180107777A1 (en) Optimizing an integrated circuit (ic) design comprising at least one wide-gate or wide-bus
US20110209108A1 (en) Device, Method, and Computer Program for LSI Design, and LSI
US20180107779A1 (en) Multi-bit-mapping aware clock gating
Chew et al. Integrating Design for Testability Technique into OpenLane with Skywater 130-Nanometer Process Design Kit
Avdeev et al. Verification and Scheme Implementation of Parallel Automata

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091208

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100308

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101026

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101124

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101220

R150 Certificate of patent or registration of utility model

Ref document number: 4654203

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131224

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees