JP4619468B2 - Plasma processing method, plasma processing apparatus, and plasma monitoring apparatus - Google Patents

Plasma processing method, plasma processing apparatus, and plasma monitoring apparatus Download PDF

Info

Publication number
JP4619468B2
JP4619468B2 JP24617599A JP24617599A JP4619468B2 JP 4619468 B2 JP4619468 B2 JP 4619468B2 JP 24617599 A JP24617599 A JP 24617599A JP 24617599 A JP24617599 A JP 24617599A JP 4619468 B2 JP4619468 B2 JP 4619468B2
Authority
JP
Japan
Prior art keywords
plasma
antenna
frequency
power
minimum value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP24617599A
Other languages
Japanese (ja)
Other versions
JP2000340550A (en
Inventor
純一 戸野谷
裕之 福水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP24617599A priority Critical patent/JP4619468B2/en
Publication of JP2000340550A publication Critical patent/JP2000340550A/en
Application granted granted Critical
Publication of JP4619468B2 publication Critical patent/JP4619468B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、半導体デバイスの製造や液晶ディスプレイ用の薄膜トランジスタ等の電子デバイスの製造で用いられるプラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置に関する。
【0002】
【従来の技術】
半導体デバイスの製造や液晶ディスプレイ用の電子デバイスの製造においては、プラズマを用いたドライエッチングによる微細加工が頻繁に用いられる。異なる材料の薄膜が重ねられた多層膜をドライエッチングする際には、エッチングの終点検出が重要である。
【0003】
通常、ドライエッチングの終点検出は、薄膜に入射した光からの反射光の強度変化や光の干渉を利用して、またはプラズマからの発光スペクトル線の強度変化を利用して行われる。前者の反射光等を利用した方法は、光路を確保するための特別な装置が必要となることが多い。そのため、一般には後者の発光スペクトル線の強度変化を利用する発光分光法が多く用いられる。
【0004】
しかし、発光分光法を利用した終点検出では、エッチング面積が小さくなるとエッチングによる反応生成物の量が少なくなるため、エッチング終点での検出感度が低下するという問題がある。例えば、反応生成物中のラジカルの発光強度をモニターする場合には、エッチング終点での発光スペクトル線の強度変化が小さすぎて、検出感度が小さくなる。また、プラズマ中のラジカルなどの発光は、透明な窓を通して検出されるが、窓の光透過率はエッチングガスや反応生成物の堆積によって低下する。そのため、発光分光法では、エッチング処理量の増大に伴い終点検出ができなくなる場合があるという問題がある。
【0005】
そこで、発光分光法よりも高感度であり、またプラズマプロセス中に起こる膜堆積の影響を受けにくいエッチング終点検出方法が求められている。
【0006】
一方、プラズマを用いたドライエッチング装置では、プロセスの安定化を図ることが重要である。そのために、ガス流量、圧力、高周波電力、温度等のプロセスパラメーターの変動を抑えるとともに、装置のチャンバ内部での処理状態を一定に保つことが必要とされている。
【0007】
前者のプロセスパラメーターの変動に対しては、通常、その変動量を常にモニタリングしている。そして、変動量が所定の値を越えると装置のインターロックが働いてプロセスが停止し、成膜や加工の不良を未然に防ぐようにしている。後者の装置のチャンバ内部の処理状態の変動に対しては、これを検出する有効手段がほとんどない。そのため、通常は一定の処理時間の後に装置のチャンバ内部をクリーニングして処理を初期化することが多い。このクリーニングには、チャンバ内を真空に保ったまま行うプラズマクリーニングと、チャンバを大気解放して各パーツを薬液等で洗浄するウェットクリーニングがある。プラズマクリーニングは、クリーニング時間を決めて行うことが多い。しかし、どちらのクリーニングを行うにせよ、装置の稼働率を低下させる要因となるため、短時間で確実な初期化が必要とされている。
【0008】
そこで、装置のチャンバ内部の処理状態の変動を検出する方法が求められている。また、プラズマクリーニングにおいては、クリーニングによるチャンバ内壁の状態の変化をモニタして初期化の終了が確認できる方法が求められている。
【0009】
【発明が解決しようとする課題】
本発明は、プラズマ処理装置のチャンバ内部での処理状態の変動を処理中の膜堆積の影響を殆ど受けずに高感度でモニタリングしながらプラズマ処理することが可能なプラズマ処理方法、プラズマ処理装置、およびプラズマモニタリング装置を提供することを目的とする。
【0010】
【課題を解決するための手段】
本発明によれば、プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理方法であって、前記プラズマ処理容器内に前記基板を収容し、位置を固定したアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記アンテナの位置を移動した場合にシフトする極小値と前記アンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングすることを特徴とするプラズマ処理方法が提供される。
【0011】
また、本発明によれば、プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、前記基板を収容するプラズマ処理容器と、プラズマ処理容器に設けられた透過窓と、透過窓を通してプラズマへ導入するための高周波電力を放出する機能を持つ第1のアンテナと、前記透過窓を通過したプラズマからの反射波電力を検知する機能を持つ第2のアンテナと、前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部はL字型をなし、さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置が提供される。
また、本発明によれば、プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、前記基板を収容するプラズマ処理容器と、プラズマ処理容器に設けられた透過窓と、透過窓を通してプラズマへ導入するための高周波電力を放出する機能を持つ第1のアンテナと、前記透過窓を通過したプラズマからの反射波電力を検知する機能を持つ第2のアンテナと、前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部はT字型をなし、さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置が提供される。
また、本発明によれば、プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、前記基板を収容するプラズマ処理容器と、プラズマ処理容器に設けられた透過窓と、透過窓を通してプラズマへ導入するための高周波電力を放出する機能を持つ第1のアンテナと、前記透過窓を通過したプラズマからの反射波電力を検知する機能を持つ第2のアンテナと、前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部は平板を有し、さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置が提供される。
【0012】
また、本発明によれば、プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、前記基板を収容するプラズマ処理容器と、プラズマ処理容器内に挿入された、先端が封じられた誘電体チューブと、誘電体チューブ内に挿入され、前記チューブを通してプラズマへ導入するための高周波電力を放出し、前記チューブを通過したプラズマからの反射波電力を検知するアンテナと、前記アンテナへ高周波電力を周波数を変化させながら導入し、前記アンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部はL字型をなし、さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置が提供される。
また、本発明によれば、プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、前記基板を収容するプラズマ処理容器と、プラズマ処理容器内に挿入された、先端が封じられた誘電体チューブと、誘電体チューブ内に挿入され、前記チューブを通してプラズマへ導入するための高周波電力を放出し、前記チューブを通過したプラズマからの反射波電力を検知するアンテナと、前記アンテナへ高周波電力を周波数を変化させながら導入し、前記アンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部はT字型をなし、さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置が提供される。
また、本発明によれば、プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、前記基板を収容するプラズマ処理容器と、プラズマ処理容器内に挿入された、先端が封じられた誘電体チューブと、誘電体チューブ内に挿入され、前記チューブを通してプラズマへ導入するための高周波電力を放出し、前記チューブを通過したプラズマからの反射波電力を検知するアンテナと、前記アンテナへ高周波電力を周波数を変化させながら導入し、前記アンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部は平板を有し、さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置が提供される。
【0013】
また、本発明によれば、プラズマ処理容器中で基板上の膜に対する所定の処理を行うために発生させたプラズマをモニタリングするプラズマモニタリング装置であって、処理中のプラズマへ導入する高周波電力を放出する第1のアンテナと、プラズマからの反射波電力を検知するためにプラズマ処理容器に取り付けられる第2のアンテナと、前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を吸収周波数として検出する検出手段とを具備し、前記アンテナの先端部はL字型をなし、さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマモニタリング装置が提供される。
また、本発明によれば、プラズマ処理容器中で基板上の膜に対する所定の処理を行うために発生させたプラズマをモニタリングするプラズマモニタリング装置であって、前記基板を処理中のプラズマへ導入する高周波電力を放出する第1のアンテナと、プラズマからの反射波電力を検知するためにプラズマ処理容器に取り付けられる第2のアンテナと、前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を吸収周波数として検出する検出手段とを具備し、前記アンテナの先端部はT字型をなし、さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマモニタリング装置が提供される。
また、本発明によれば、プラズマ処理容器中で基板上の膜に対する所定の処理を行うために発生させたプラズマをモニタリングするプラズマモニタリング装置であって、前記基板を処理中のプラズマへ導入する高周波電力を放出する第1のアンテナと、プラズマからの反射波電力を検知するためにプラズマ処理容器に取り付けられる第2のアンテナと、前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を吸収周波数として検出する検出手段とを具備し、前記アンテナの先端部は平板を有し、さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマモニタリング装置が提供される。
【0014】
本発明においては、前記第1のアンテナと前記第2のアンテナとがそれぞれ持つ前記機能を1本のアンテナに持つことが好ましい。
【0015】
【発明の実施の形態】
以下、本発明を図面を参照して詳細に説明する。図1は、本発明に係るプラズマ処理装置の一例を示す概略断面図である。本発明に係るプラズマ処理装置は、プラズマ処理部10とプラズマモニタリング部20とを備える。
【0016】
プラズマ処理部10は、当該技術分野において良く知られているプラズマを発生させて所定の処理を行う装置、例えば、マイクロ波励起方式、ECR(電子サイクロトロン共鳴)方式、ICP(誘導結合型プラズマ)方式、ダウンフロー方式、平行平板方式などのプラズマ処理装置を指している。これらの装置は、例えば、プラズマエッチング装置、プラズマクリーニング装置、プラズマアッシング装置などに用いられる。
【0017】
図1は、例としてICP方式のプラズマ処理部10を用いた装置を示す。図1において、チャンバ1には石英窓2が設けられている。石英窓2の外側には、ループアンテナ3が取付けられ、ループアンテナ3は整合器(マッチングボックス)4を介してプラズマ用高周波電源5に接続されている。電源5で発生した高周波電力は、整合器4でインピーダンスマッチングされた後に、ループアンテナ3から石英窓2を通ってチャンバ1内に導入される。また、チャンバ1には、チャンバ1内にガス供給源(図示せず)からのプラズマ用ガスを導入するためのガス導入口6と、チャンバ1内を排気ポンプ(図示せず)によって排気するための排気口7が設けられている。さらに、チャンバ1内には、シリコンウェハーなどの被処理物を載置するための載置台を兼ねた基板電極8が設けられている。
【0018】
本発明に係るプラズマモニタリング部20は、プラズマ処理部10が処理を行う間、プラズマ状態をモニタリングするためのものである。
図2は、プラズマモニタリング部20の一例を示す概略図である。
図2において、プラズマ処理装置のチャンバ1壁の開口部に、シール部材21を介して透過窓22が取付けられている。透過窓22の大気側の表面の近傍には、または前記表面に接触して、同軸ケーブル23の一端が配置され、この一端には内部導体である芯線24が露出している。同軸ケーブル23の他端は、検出手段25に接続されている。同軸ケーブル23の外部導体は、図示しない絶縁材によって内部導体24と絶縁され、接地線26によってチャンバ1とともに接地されている。
【0019】
透過窓22は、後述するように芯線24から放出される高周波電力をプラズマ内へ導入し、またプラズマからの反射波電力を大気側へと取出すためのものである。透過窓22を形成する材料は、チャンバ1内の真空雰囲気を維持でき、プラズマによる損傷を受けず、高周波電力および反射波電力を透過させる誘電体材料である。このような材料は、例えば、石英、酸化アルミ(Al2 3 )、窒化アルミ(AlN)、および窒化ボロン(BN)などの無機物、または、ポリイミド、およびポリエーテルエチルケトンなどのエンジニアリングプラスチックなどの有機物である。透過窓22の厚みなどの形状は、モニタリングするプラズマ処理の種類、使用する高周波電力の周波数などに応じて適切なものを選ぶ。透過窓22の厚みは、例えば5mmであるが、一般に薄い方が好ましい。それは、後述するように、プラズマによって反射されずに吸収される高周波電力の割合(以下、吸収率と言う)が増加するため、モニタリングが容易になるからである。
【0020】
同軸ケーブル23の露出する芯線24は、高周波電力を放射し、プラズマからの反射波電力を検知するためのアンテナとして作用する。後述する検出手段25からの高周波電力は、同軸ケーブル23によって伝達されたのち芯線24から放出されて、透過窓22を通ってチャンバ1内に導入される。高周波電力の周波数は、例えば1〜10GHzである。チャンバ1内に導入された高周波電力は、ある特定の周波数(吸収周波数と言う)のときに吸収される以外は、プラズマによって反射されて、透過窓22を通って大気側へ取り出される。取り出された反射波電力は芯線24によって検知され、同軸ケーブル23を通って検出手段25へ送られる。
【0021】
アンテナ24は、例えば、図2に示す直線形状の他に、図3に示すような先端部がL字型をなすもの(図3(a))、先端部がT字型をなすもの(図3(b))、先端部がループ(スパイラルを含む)をなすもの(図3(c))、または先端部が平板を有するもの(図3(d))などがある。
図2に示した直線形状のアンテナ24においては、直線部分が長いほど、高周波電力の吸収率が増加し、また高周波電力の吸収が起きる吸収周波数の数(吸収ピークの数)が増加する。アンテナ24の長さは、好ましくは2mm以上であり、より好ましくは8ないし12mmである。長さが2mmを下回ると高周波電力の吸収率が低すぎて、モニタリングが困難となる。長さが12mmを上回ると、吸収ピークの数が多すぎて同定が難しくなり、やはりモニタリングが困難になる。特に、電子密度の大きいプラズマに対してはその傾向が強いため、長さは短い方が良い。
【0022】
ところで、プラズマの電子密度が小さいときは、吸収率は低下する。また、プラズマの電子密度はチャンバ壁の付近では低くなるような分布を有するため、チューブ32がチャンバ1の壁に近づくと、やはり吸収率が低下する。さらに、チューブ32表面がプラズマによって汚染される場合には、汚染が進むにつれて吸収率が低下する。このように、電子密度が小さいプラズマやプローブの表面を汚染するようなプラズマについて電子密度の測定やモニタリングを行うときには、アンテナ24の形状やチューブ32の形状を適正化して吸収率を大きくし、測定感度を上げる必要がある。
【0023】
このような観点から、図2に示す直線形状よりも図3に示す各形状のものの方が好ましい。図3に示す形状では、透過窓22に対向するアンテナ24の領域、言い換えれば、透過窓22に投影されるアンテナ24の領域が増加する。そのため、アンテナ24から透過窓22を介してプラズマ中へ導入される高周波電力の割合が増加する。その結果、高周波電力の吸収率も増加してモニタリングが容易になる。従って、図3に示す形状においては、透過窓22に対向するL字、T字、およびループの部分は長い方が、また平板の部分は大きい方が、それぞれ好ましい。その際、直線部分はなるべく短い方が好ましい。短くすることで、電子密度等のプラズマモニタリングの空間分解能の低下を抑え、また直線形状のアンテナの所で述べたような吸収率の大きい複数のピークの発生を抑えられるためである。また、L字、T字、ループ、および平板の各部分は透過窓22に対して平行になるように形成されることが好ましい。また、L字とT字の部分の長さが同じ場合には、L字型よりもT字型のアンテナ24の方が、高周波電力の吸収率が増加するため好ましい。
【0024】
図2において、アンテナ24の先端部は、透過窓22の大気側の表面と接触する方が好ましい。接触することで、後述するように、吸収周波数の数が最も少なく吸収率が最も高いため、モニタリングが容易になる。
【0025】
検出手段25は、アンテナ24へ高周波電力を周波数を変化させながら導入し、アンテナ24で検知した反射波電力の極小値に対応する高周波電力の周波数を検出する。前述したように、吸収周波数のときに高周波電力はプラズマによって吸収されるため、反射波電力の値が極小となる。すなわち、検出手段25は吸収周波数を検出する。検出手段25は、例えばネットワークアナライザーなどである。ネットワークアナライザーは、周波数を掃引しながら高周波電力を発生させる機能、高周波電力を発生させながら同時に反射波電力を測定する機能、測定された反射波電力から吸収周波数を検出する機能を備えている。また、該アナライザーは、所定の時間間隔ごとに、周波数を掃引しながら高周波電力を発生させて吸収周波数を求める機能も有する。
【0026】
アンテナ24と検出手段25との間の同軸ケーブル23に、特定の周波数をカットするためのフィルターが配置されていることが好ましい。こうすることで、チャンバー1内にプラズマを起こすための高周波電力が、反射波電力の測定値に混入してノイズとなることを防止することができる。このようなフィルターは、ハイパスフィルター、ローパスフィルター、およびバンドパスフィルターなどである。
【0027】
図4は、プラズマモニタリング部20の他の例を示す概略図である。なお、説明を簡単にするために、図4の構成のモニタリング部20は図1には示していない。図4において、プラズマ処理装置のチャンバ1内にシール部材31を介して誘電体チューブ32が挿入されている。誘電体チューブ32内には、図2で示した同軸ケーブル23の一端が挿入され、他端は図2に示した検出手段25に接続されている。同軸ケーブル23の露出する芯線24は、チューブ32の先端部の内面の近傍に、または内面に接触して、配置されている。図示していないが、図4の同軸ケーブル23の外部導体も、図2に示す同軸ケーブル23と同様に、チャンバ1とともに接地されている。誘電体チューブ32を形成する材料は、図2に示す透過窓22を形成する材料と同様の誘電体材料である。誘電体チューブ32を構成する誘電体の厚みなどの形状も、モニタリングするプラズマ処理の種類、使用する高周波電力の周波数などによって、適切なものを選ぶ。チューブ32の厚み、特に先端部の厚みは、透過窓22と同様に一般に薄い方が好ましい。チューブ32の先端部の厚みは、例えば2mmである。また、図4に示す誘電体チューブ32の先端は曲面で封じられているが、チューブ32の先端は平面で封じられている方が好ましい。こうすることで、やはりプラズマによる高周波電力の吸収率が増加する。
【0028】
図4に示した芯線24も、図2の芯線24と同様に、高周波電力を放射して反射波電力を検知するためのアンテナとして作用する。つまり、芯線24から放射された高周波電力は誘電体チューブ32を透過してチャンバ1内のプラズマへ導入され、プラズマからの反射波電力は誘電体チューブ32を透過した後に芯線24によって検知される。
【0029】
図4のアンテナ24の形状および長さなどは、図2および図3に示したアンテナ24と同様である。図4のアンテナ24の先端部も、チューブ32の先端部の内面に接触する方が好ましい。なお、チューブ32の先端が平面でなく曲面で封じられているときには、その曲面に合うようにアンテナ24の先端部を変形させることが好ましい。こうすることで、チューブ32の先端が曲面であっても、アンテナ24の先端部をチューブ32の先端部の内面に十分に接触させることができる。
【0030】
なお、図4に示す形態のプラズマモニタリング部20よりも、図2に示したモニタリング部20の方が好ましい。図4の誘電体チューブ32はチャンバ1内に挿入して用いるが、図2の透過窓22はチャンバ1の壁に取り付けるだけである。そのため、図2のモニタリング部20の方が、モニタリング中にプラズマに与える影響がより少ないからである。
【0031】
次に、図1に示した装置の動作を説明する。
まず、プラズマ処理部10は以下のようにしてプラズマ処理を行う。最初にチャンバ1内を排気口7を通して排気して所定の圧力にした後に、ガス供給源(図示せず)からガス導入口6を通してチャンバ1内にプラズマ用ガスを導入する。プラズマ発生用の高周波電力を石英窓2を通してチャンバ1内に導入してプラズマ用ガスをイオン化し、プラズマを発生させる。発生したプラズマによって基板電極8上の被処理物がプラズマ処理される。
【0032】
プラズマモニタリング部20は、以下のようにしてプラズマをモニタリングする。検出手段25からの高周波電力を周波数を変えながらチャンバ1内部に導入して、同時に検出手段25によってチャンバ1内部のプラズマからの反射波電力を測定する。そして、反射波電力の極小値に対応する吸収周波数を検出する。後述するように、吸収周波数はチャンバ1内部のプラズマの状態を反映する相関関係を有する。従って、吸収周波数を繰り返して検出してこの周波数の時間の経過に伴う変化を観測することにより、プラズマ処理中のプラズマ状態をモニタリングすることができる。
【0033】
また、透過窓22および誘電体チューブ32が前述したように誘電体材料によって形成されていることによって、プラズマ処理中に透過窓22およびチューブ32に膜が堆積されても、高周波電力および反射波電力の透過には殆ど影響が出ない。そのため、処理中の膜堆積の影響を殆ど受けずにモニタリングすることが可能である。また、透過窓22およびチューブ32が誘電体材料から形成されていることによって、プラズマ処理中のチャンバ1の内壁および被処理物などを金属汚染することなく、プラズマをモニタリングすることができる。
【0034】
上述したプラズマモニタリング部20は、図2および3に示したような構成の他に、検出手段25で求めた吸収周波数を所定の時間間隔ごとに入力して、この周波数の変化を自動的にモニタリングするためのモニタリング手段をさらに備えていても良い。モニタリング手段は、検出手段25で検出される吸収周波数の値が時間の経過とともにシフトして予め設定した範囲から外れたときに、外部に所定の表示を出す機能、または後述するプラズマ調整手段などへ所定の信号を送る機能を有する。モニタリング手段は、例えば所定のプログラミングがされたコンピューターなどである。
【0035】
なお、吸収周波数のモニタリングとしては、以下の方法を採用しても良い。すなわち、検出手段25において周波数を固定して高周波電力をチャンバ1内部へ導入しながら同時に反射波電力の値を測定する。そして、測定される反射波電力の値の変化をモニタリング手段でモニタリングする。固定された高周波電力の周波数には、例えばプラズマ処理中に検出手段25によって一番最初に検出された吸収周波数の値を用いる。このように吸収周波数における反射波電力の変化をモニタリングすることによっても、吸収周波数の変化を観測することができる。それは、吸収周波数における反射波電力は極小値を示しており、吸収周波数がシフトすれば反射波電力の値は極小値から増加するためである。モニタリング装置は、反射波電力の値がシフトして予め設定した範囲から外れたときに、外部に所定の表示を出したり、または後述するプラズマ調整手段などへ所定の信号を送る。
【0036】
プラズマモニタリング部20は、さらに必要であれば、処理中のプラズマ状態を調整するためのプラズマ調整手段をさらに備えていても良い。このプラズマ調整手段は、モニタリング手段からの信号を受けて、処理中のプラズマの状態を調整する。このプラズマ調整手段も、先のモニタリング手段と同様に、例えば所定のプログラミングがされたコンピューターなどからなる。プラズマ調整手段は、例えば、図1に示すプラズマ用高周波電源5、整合器4、またはガス供給源(図示せず)と接続されている。そして、モニタリング手段から信号を受けたときに、これらの構成の少なくとも1つの動作パラメーターを調整して、チャンバ1内部のプラズマ状態を調整する。調整するパラメーターとしては、例えば、高周波電源5で発生させる高周波電力の電圧もしくは周波数、ガス供給源(図示せず)から供給するガスの流量などである。
【0037】
プラズマ調整手段でプラズマ状態を調整することによって、例えばプラズマ処理部10でのプラズマ処理を適切なタイミングで停止することができる。具体的には、プラズマエッチング処理の停止、プラズマクリーニング処理の停止、プラズマアッシング処理の停止などを行うことができる。言い換えれば、プラズマエッチングの終点(エンドポイント)の検出、プラズマクリーニングの終了時点の検出、またはプラズマアッシングの終了時点の検出などを行うことができる。
【0038】
なお、以上説明したプラズマモニタリング部20を構成する部材のうち、図2または3に示した同軸ケーブル23の先端部のアンテナ(芯線)24、検出手段25、また必要に応じて、モニタリング手段およびプラズマ調整手段を、まとめて外付け用のプラズマモニタリング用装置として用いても良い。このような構成のモニタリング装置を、モニタリング機能を有さない既存のプラズマ処理装置に取り付ければ、既存のプラズマ処理装置についてもプラズマをモニタリングすることができる。
【0039】
なお、プラズマ処理装置が、チャンバ1内部を観測するための透明窓のための開口部を予め有する場合には、この開口部に図2の透過窓22を取り付ければ良く、プラズマ処理装置本体に新しく開口部を設ける必要がない。従って、既存のプラズマ処理装置に対して、図2に示す構成を含むモニタリング用装置を容易に取り付けることができる。また、図1に示したようにICP方式のプラズマ処理部10の場合には、チャンバー1の誘電体部品を透過窓22として共用しても良い。このような部品は、例えば、チャンバー1内に高周波電力を印加するための石英窓2、または基板電極8の被覆材(フォーカスリング)などである。こうすることで、透過窓22などの新たな誘電体部品を設ける必要がなくなる。
【0040】
図5は、図1に示す装置を用いてプラズマを発生させ、チャンバ1内部に高周波電力を周波数を変えながら導入して反射波電力を測定したときの測定結果を模式的に示した図である。図5の横軸は高周波電力の周波数、縦軸は反射波電力を高周波電力の値で除した反射係数比である。図5に示すように、測定される反射係数比には極小値のピークが現れる(このピークの高さが、前述の高周波電力の吸収率に対応する)。前述したように、極小値が現れるのは、チャンバ1内部に導入された高周波電力の一部がプラズマに吸収されるからである。図5では、一例として複数の極小値a、b、c、dが現れる場合を示している。これらの極小値のそれぞれに対応する高周波電力の周波数が吸収周波数である。それぞれの吸収周波数は、プラズマ処理中のプラズマの変化を反映して時間とともにシフトする。このシフト量を観測することによって、処理中のプラズマ状態をモニタリングすることができる。なお、図5に示すように吸収周波数が複数あるときには、そのうちの1つの周波数に着目して、その変化をモニタリングする。
【0041】
吸収周波数は、プラズマ状態に対応する量であり、より詳細にはプラズマの電子密度に対応する量である。プラズマの電子密度は、プラズマとチャンバ1内壁との間の相互作用の変動、すなわちチャンバ1内部での処理状態の変動を敏感に反映して変化する。すなわち、プラズマの電子密度は、例えば、プラズマ中の活性種のチャンバ1内壁表面での失活、電子の損失、チャンバ1の内壁表面からプラズマ中への粒子の再放出等によって、プラズマ中のガス組成が変化するために変化する。より具体的には、電子密度の変化は、例えばエッチング中に反応生成物が生じたりエッチングガスの一部が反応で消費されたりしてプラスマ中のガス組成が変化するために起こる。このような電子密度の増減は、プラズマ中のガスが電子を放出しやすくなるか、取り込みやすくなるかによって変わり、エッチング材料によってその増減量が変化する。従って、吸収周波数の変化をモニタリングすることによって、処理中のプラズマ状態、ひいてはチャンバ1内部での処理状態を高感度でモニタリングすることができる。
【0042】
なお、図4の構成のモニタリング部20を採用した場合には、測定される吸収周波数の値は、チューブ32の長さ方向での同軸ケーブル23の位置とともにシフトする。これは、吸収周波数の値が、誘電体チューブ32を形成する絶縁物表面付近に存在する表面波の異なるモードに対応していると考えられるからである。特に、図5に示すように吸収周波数が複数ある場合には、最も周波数の低い吸収周波数(例えば図5のピークaの周波数)は同軸ケーブル23の位置を移動させてもシフトせず、他の吸収周波数(例えば図5のピークb〜dの周波数)がシフトする。これらの周波数は全て、同軸ケーブル23の芯線(アンテナ)24の先端位置を誘電チューブ32の最先端位置(チューブ32の先端部外表面の位置)に外挿した点で、値が一致する。この一致した吸収周波数は表面波共鳴周波数に一致すると考えられ、この周波数から下式(1)に従ってプラズマの電子密度を求めることができる。
【0043】
e =(ε0 ・me /e2 )・(1+εd )ω2 ………………(1)
ここで、ne は電子密度、ε0 は真空中の誘電率、me は電子の質量、eは電子の電荷素量、εd は誘電体チューブの誘電率、ωは外挿点での吸収周波数である。
【0044】
しかし、同軸ケーブル23の位置を動かしてピークb〜dをシフトさせ、前述のように外挿点で一致する周波数を求めるのは煩雑であり時間がかかる。また、シフトしないピークaの周波数は、外挿点で一致する周波数を常に示しているわけだが、他のb〜dのピークに比べて大きさが小さく、周波数の同定が難しい。
【0045】
そこで、通常は、シフトするピークのうち最もその値の大きいピーク(例えばピークd)とシフトしないピークaとの間で、周波数の相関関係を測定しておく。測定は、同軸ケーブル23の位置を固定した状態で、あるガス種のプラズマに対して電子密度を様々に変化させて図5のデータを取り、これらのデータから2つのピークa、dの周波数の間の関係を求めて行う。一度この相関関係を求めておけば、プラズマのモニタリング中に測定された図5のデータから、プラズマの電子密度を容易にかつ迅速に求めることが可能となる。すなわち、図5のデータからピークdの周波数を求め、前記相関関係および式(1)を使って電子密度を求めれば良い。また、前述したような電子密度を求めるために同軸ケーブル23の位置を動かす必要もない。
【0046】
以上、説明したように、本発明においては、処理中のプラズマに高周波電力を導入して反射波電力を測定することによって、プラズマ状態の変化をモニタリングしている。このようにモニタリングすることで、プラズマ状態、ひいてはプラズマ処理装置のチャンバ1内部での処理状態の変動を高感度でモニタリングしながらプラズマ処理することが可能である。また、誘電体材料からなる透過窓22またはチューブ32を通して高周波電力や反射波電力の導入または測定をしているため、プラズマ処理中の膜のプラズマモニタリング部への堆積の影響を殆ど受けない。
【0047】
なお、本明細書中の例(プラズマ処理装置およびプラズマモニタリング装置)では、高周波電力の導入と反射波電力の測定とを1本のアンテナ24で行ったが、それぞれ互いに近接する複数のアンテナに分けて行なっても良い。
【0048】
【実施例】
(実施例1)
図1に示したプラズマ処理装置を用いて、MoW基板をエッチング処理した。そして、エッチング中とエッチング後とで、チャンバ1内部に高周波電力を導入して反射波電力を測定し、吸収周波数を求めた。エッチングは、プラズマ用ガスとしてSF6 /O2 をそれぞれ100/100sccmで導入し、ガス圧を20mTorrに保ち、プラズマ用高周波電力として600Wを用いて行った。また、プラズマモニタリング部20には、図2に示したような石英からなる透過窓22を用いる構成のものを用いた。
【0049】
図6に、エッチング中に反射波電力を測定した結果の一例を示す。図6の横軸はチャンバ1内部へ導入した高周波電力の周波数であり、縦軸は反射係数比である。図6から分かるように、反射係数比の極小値のピークに対応する吸収周波数は、約0.85GHzに位置する。図7は、エッチング終了後に反射波電力を測定した結果の一例である。図7から分かるように、吸収周波数は約0.75GHzに位置している。これらの結果から分かるように、エッチング後の吸収周波数は、エッチング中の吸収周波数よりも約0.1GHzだけ低周波数側にシフトしている。このように、本発明によってエッチングの終点を検出できることが分かり、本発明の効果が確かめられた。
【0050】
(実施例2)
図1に示す装置を用いてチャンバ1内にプラズマを発生させた。そのとき、図4に示す構成のプラズマモニタリング部20を用いてプラズマ状態を観測して、図5に示した複数の吸収周波数を伴う反射波電力のデータを測定した。そして、同軸ケーブル23の位置とともに移動するピークと移動しないピークとの間の周波数の相関関係を求めた。
【0051】
プラズマは、SF6 、O2 、SF6 /O2 、Arをそれぞれ100〜200sccmで導入してガス圧を10〜20mTorrに保ち、プラズマ用高周波電力として300〜800Wを用いて発生させた。そして、プラズマの電子密度は、ガス流量、ガス圧、高周波電力を変化させることによって様々に変化させた。また、誘電体チューブ32には石英チューブを採用し、同軸ケーブル23は石英チューブ32の先端部の内面に接触させて使用した。
【0052】
図8に、測定結果の一例を示す。図8の横軸は図5のピークdのような同軸ケーブル23とともに移動するピークの吸収周波数、縦軸は図5のピークaのような移動しないピークの吸収周波数である。図8の結果から、両ピークの間にはきれいな比例関係があることが分かった。そして、この比例関係および式(1)を用いれば、モニタリング中に測定される図5のようなデータから容易にかつ迅速にプラズマの電子密度を求められることが確認できた。
【0053】
(実施例3)
図1の装置を用いて、図4に示すチューブ32内の芯線24(アンテナ)の位置と反射係数比との関係を測定した。プラズマは、Ar=50sccm、10mTorr、200Wの条件で発生させた。また、アンテナ24は長さ6mmの直線形状のものを使用した。図9に測定結果の一例を示す。図9から明らかなように、アンテナ24先端部がチューブ内面に接触している(図のX=0mm)ときには2つのピークB、Dしか発生しないが、アンテナ24がチューブ32内面から離れる(図のX=6mm、10mm)と、3つピークA、B、Dが発生する。ピークB、Dの周波数はアンテナ24の位置によって変化し、また、アンテナ24がチューブ32内面に接触しているときに発生する高周波側のピークDが、アンテナ24の位置を変化させたときのどのピークよりも大きい。このことから、モニタリング中のアンテナ24すなわち芯線24の位置は、チューブ32内部で固定することが好ましいことが分かった。また、アンテナ24先端部は、チューブ32先端部の内面に接触していることが好ましいことが確認された。
以下の実施例はすべて、アンテナ24の先端部をチューブ32内面に接触させて行った。
【0054】
(実施例4)
図1の装置を用いて、図4に示すチューブ32外表面の汚染状態と高周波電力の吸収率との関係を調べた。チューブ32外表面の汚染は、RIE方式のプラズマチャンバにチューブ32を挿入した状態でプラズマを起こし、チューブ32外表面に堆積物を形成して行った。汚染時のプラズマは、C48=50sccm、150mTorrを、200Wの放電で発生させた。一方、吸収率の測定は、Ar=50sccm、20mTorr、400Wのプラズマ中で行った。アンテナ24は、3mmおよび10mmの長さの直線形状のものを使用した。
【0055】
図10に測定結果の一例を示す。図10の横軸は汚染時の堆積時間であり、縦軸は測定された吸収率である。図10から明らかなように、汚染時間が長くなるにつれ、つまりプローブの表面が汚れるに従って吸収率は低下する。アンテナが3mmのとき、約90分の汚染では吸収率が小さくピークを検出することはできなかった。しかし、10mmのアンテナでは90分でもピークを検出でき、さらにより長い時間までピークを検出できた。このように、堆積性プラズマの電子密度測定やモニタリングにおいては、表面の汚染によって吸収率が低下するので、より感度の高いアンテナが求められ、アンテナの形状が直線形状のものでは、その長さが長い方が感度が良いことが確認された。
【0056】
(実施例5)
図1の装置を用いて、図4に示すチューブ内32でのアンテナ24の長さと、高周波電力の吸収率との関係を測定した。アンテナ24には直線形状のものを使用した。プラズマは、Ar=50sccm、10mTorr、400Wの条件で発生させた。図11に測定結果の一例を示す。図11(a)から明らかなように、アンテナ24の長さが長くなるほど吸収率が大きくなることが確認された。一方、図11(b)に示すように、アンテナ24の長さが20mm程度になると、複数の吸収率のピーク(図の反射係数比の極小値のピーク)の高さが同程度になって、ピークの同定が困難になることも確かめられた。これらの結果より、アンテナ24の直線部分の長さは8mm以上12mm以下が適切であることが確認された。
【0057】
(実施例6)
図1の装置、ならびに図4に示すチューブ32およびアンテナ24を用いて、アンテナ24に入力する高周波電力の周波数と吸収率との関係を調べた。プラズマは、Ar=50sccm、10mTorrのもとでRFパワー(すなわち周波数)を様々に変化させて発生させた。アンテナ24は、10mmの長さの直線形状のものを使用した。
【0058】
図12に測定結果の一例を示す。図12から分かるように、周波数が小さい(RFパワーが小さい)ほど、すなわちプラズマの電子密度が小さいほど、吸収率が低下することが確かめられた。
【0059】
一方、周波数を上げていくと、吸収率も増加するが800W程度で複数のピークが発生した。これは、前述したように、高周波電力の周波数が大きくなって波長が短くなったために、余計なモードの波が発生したからであると考えられる。このように、直線形状のアンテナ24については、電子密度の大きいプラズマに対しては、長さは短い方が良いことが確かめられた。
【0060】
(実施例7)
図1の装置を用いて、図4に示すチューブ32内でのアンテナ24の形状と、高周波電力の吸収率との関係を測定した。各アンテナ24の直線部分の長さはほぼ3.0mmに固定し、直線形状、L字型先端部、T字型先端部の各アンテナ形状について測定した。プラズマは、Ar=50sccm、20mTorr、500Wの条件で発生させた。
【0061】
図13に測定結果の一例を示す。図13から明らかなように、直線形状よりもL字型の方が吸収率が高いことが確認された。また、L字部分およびT字部分の長さが同じであれば、L字型よりもT字型のアンテナ24の方がさらに吸収率が高いことが確められた。さらに、L字部分またはT字部分がそれぞれ長くなるほど、吸収率が高くなることも確認された。
【0062】
(実施例8)
図1の装置を用いて、図4に示すチューブ32の先端部の形状および先端の厚みと、高周波電力の吸収率との関係を測定した。チューブ32には、図14(a)に示すように先端が曲面で封じられたものと、図14(b)に示すように先端が平面で封じられたものを用いた。各チューブ32とも石英製で、それぞれ外径は8mm、内径は5mmであった。アンテナ24は、長さ3mmの直線形状のものを使用した。プラズマは、 Ar=50sccm、7.5mTorr、400Wの条件で発生させた。
【0063】
まず、先端の厚みが等しい両チューブ32について吸収率を測定したところ、図14(b)のチューブ32の方が図14(a)のチューブ32よりも約40%高い吸収率を示した。この結果より、先端が平面で封じられたチューブ32を用いる方が吸収率が高いことが確認された。
【0064】
次に、図14(b)のチューブ32の先端の厚みを変えて吸収率を測定した。
測定結果の一例を下表1に示す。
【0065】
【表1】

Figure 0004619468
【0066】
上表1から明らかなように、チューブ32の先端部の厚みが小さいほど吸収率が大きいことが確かめられた。
【0067】
【発明の効果】
以上、詳述した通り、本発明によれば、プラズマ処理装置の内面状態の変動を処理中の膜堆積の影響を殆ど受けずに高感度でモニタリングしながら処理することが可能なプラズマ処理方法およびその装置、ならびにプラズマモニタリング装置を提供することができる。本発明によれば、プラズマ処理装置のメンテナンスが必要な時期を検出できて、メンテナンス終了直後の装置がプラズマ処理を再開できる状態に戻る時期を検出することもできる。
【図面の簡単な説明】
【図1】本発明に係るプラズマ処理装置の一例を示す概略断面図。
【図2】本発明に係るプラズマモニタリング部の一例を示す概略図。
【図3】本発明に係るアンテナの形状の一例を示す斜視図。
【図4】本発明に係るプラズマモニタリング部の他の例を示す概略図。
【図5】本発明に係る反射波電力の測定結果の一例を示す図。
【図6】本発明の実施例におけるエッチング中の測定結果の一例。
【図7】本発明の実施例におけるエッチング後の測定結果の一例。
【図8】本発明の実施例における2つの吸収周波数の間の相関関係を測定した結果の一例を示す図。
【図9】本発明の実施例におけるアンテナの位置と反射係数比との間の関係を測定した結果の一例を示す図。
【図10】本発明の実施例におけるチューブ外表面の汚染状態と高周波電力の吸収率との間の関係を測定した結果の一例を示す図。
【図11】本発明の実施例におけるアンテナの長さと吸収率との間の関係を測定した結果の一例を示す図。
【図12】本発明の実施例における高周波電力の周波数と吸収率との間の関係を測定した結果の一例を示す図。
【図13】本発明の実施例におけるアンテナの形状と吸収率との間の関係を測定した結果の一例を示す図。
【図14】本発明の実施例で用いたチューブの形状の一例を示す断面図。
【符号の説明】
1…チャンバ
2…石英窓
3…ループアンテナ
4…整合器
5…プラズマ用高周波電源
6…ガス導入口
7…排気口
8…基板電極
10…プラズマ処理部
20…プラズマモニタリング部
21、31…シール部材
22…透過窓
23…同軸ケーブル
24…芯線
25…検出手段
26…接地線
32…誘電体チューブ[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a plasma processing method, a plasma processing apparatus, and a plasma monitoring apparatus used in the manufacture of semiconductor devices and electronic devices such as thin film transistors for liquid crystal displays.
[0002]
[Prior art]
In the manufacture of semiconductor devices and liquid crystal display electronic devices, fine processing by dry etching using plasma is frequently used. When dry etching a multilayer film in which thin films of different materials are stacked, detection of the end point of etching is important.
[0003]
Usually, the end point detection of dry etching is performed by using the intensity change of reflected light from the light incident on the thin film, the interference of light, or the intensity change of the emission spectrum line from the plasma. The former method using reflected light or the like often requires a special device for securing an optical path. For this reason, generally, the latter emission spectroscopy utilizing the intensity change of the latter emission spectrum line is often used.
[0004]
However, in the end point detection using emission spectroscopy, there is a problem that the detection sensitivity at the etching end point is lowered because the amount of reaction product due to the etching is reduced when the etching area is reduced. For example, when the emission intensity of radicals in the reaction product is monitored, the change in intensity of the emission spectrum line at the etching end point is too small, and the detection sensitivity becomes low. In addition, light emission such as radicals in the plasma is detected through a transparent window, but the light transmittance of the window is lowered by the deposition of etching gas and reaction products. Therefore, the emission spectroscopy has a problem that the end point may not be detected as the etching processing amount increases.
[0005]
Accordingly, there is a need for an etching end point detection method that is more sensitive than emission spectroscopy and is less susceptible to film deposition that occurs during the plasma process.
[0006]
On the other hand, in a dry etching apparatus using plasma, it is important to stabilize the process. Therefore, it is necessary to suppress fluctuations in process parameters such as gas flow rate, pressure, high frequency power, temperature, and to keep the processing state inside the apparatus chamber constant.
[0007]
For the former process parameter variation, the variation amount is usually constantly monitored. When the fluctuation amount exceeds a predetermined value, the apparatus interlocks and the process is stopped, so that defects in film formation and processing are prevented in advance. There is almost no effective means for detecting the variation of the processing state inside the chamber of the latter apparatus. For this reason, usually, after a certain processing time, the inside of the chamber of the apparatus is cleaned to initialize the processing. This cleaning includes plasma cleaning performed while keeping the inside of the chamber in a vacuum, and wet cleaning in which the chamber is opened to the atmosphere and each part is cleaned with a chemical solution or the like. In many cases, the plasma cleaning is performed by determining a cleaning time. However, whichever cleaning is performed, it causes a reduction in the operating rate of the apparatus, so that a reliable initialization is required in a short time.
[0008]
Therefore, there is a need for a method for detecting changes in the processing state inside the chamber of the apparatus. In plasma cleaning, there is a need for a method capable of monitoring the change in the state of the inner wall of the chamber due to cleaning and confirming the end of initialization.
[0009]
[Problems to be solved by the invention]
The present invention relates to a plasma processing method, a plasma processing apparatus, and a plasma processing method capable of performing plasma processing while monitoring with high sensitivity the fluctuation of the processing state inside the chamber of the plasma processing apparatus without being affected by film deposition during processing. And it aims at providing a plasma monitoring apparatus.
[0010]
[Means for Solving the Problems]
According to the present invention, plasma is generated in a plasma processing vessel. Place for film on substrate A plasma processing method for performing constant processing, Storing the substrate in the plasma processing vessel; Introducing high frequency power to the plasma from a fixed antenna while changing the frequency to obtain the reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, measured in advance, Based on the correlation of the frequency between the minimum value that shifts when the position of the antenna is moved and the minimum value that does not shift even if the position of the antenna is moved, the reflected minimum value of the reflected wave power is changed to the shifted minimum value. A plasma processing method is provided, wherein a plasma state is monitored from a change in the frequency of the high-frequency power during the corresponding plasma processing.
[0011]
According to the present invention, there is also provided a plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on the substrate, the plasma processing container for accommodating the substrate, and the plasma processing container provided in the plasma processing container A transmission window, a first antenna having a function of emitting high-frequency power to be introduced into the plasma through the transmission window, and a second antenna having a function of detecting reflected wave power from the plasma that has passed through the transmission window. An antenna, and detecting means for introducing high frequency power to the first antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna. The tip of the antenna is L-shaped, further, Introducing high frequency power from the first antenna with a fixed position to the plasma while changing the frequency to obtain reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, Based on the measured correlation of the frequency between the minimum value that shifts when the position of the first antenna is moved and the minimum value that does not shift when the position of the first antenna is moved, The state of the plasma is monitored from the change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power. With a plasma monitoring unit A plasma processing apparatus is provided.
According to the present invention, there is also provided a plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on the substrate, the plasma processing container for accommodating the substrate, and the plasma processing container provided in the plasma processing container A transmission window, a first antenna having a function of emitting high-frequency power to be introduced into the plasma through the transmission window, and a second antenna having a function of detecting reflected wave power from the plasma that has passed through the transmission window. An antenna, and detecting means for introducing high frequency power to the first antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna. The tip of the antenna is T-shaped, further, Introducing high frequency power from the first antenna with a fixed position to the plasma while changing the frequency to obtain reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, Based on the measured correlation of the frequency between the minimum value that shifts when the position of the first antenna is moved and the minimum value that does not shift when the position of the first antenna is moved, The state of the plasma is monitored from the change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power. With a plasma monitoring unit A plasma processing apparatus is provided.
According to the present invention, there is also provided a plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on the substrate, the plasma processing container for accommodating the substrate, and the plasma processing container provided in the plasma processing container A transmission window, a first antenna having a function of emitting high-frequency power to be introduced into the plasma through the transmission window, and a second antenna having a function of detecting reflected wave power from the plasma that has passed through the transmission window. An antenna, and detecting means for introducing high frequency power to the first antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna. The tip of the antenna has a flat plate, further, Introducing high frequency power from the first antenna with a fixed position to the plasma while changing the frequency to obtain reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, Based on the measured correlation of the frequency between the minimum value that shifts when the position of the first antenna is moved and the minimum value that does not shift when the position of the first antenna is moved, The state of the plasma is monitored from the change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power. With a plasma monitoring unit A plasma processing apparatus is provided.
[0012]
According to the present invention, there is also provided a plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on the substrate, the plasma processing container containing the substrate, and the plasma processing container Inserted dielectric tube with sealed tip, and high-frequency power inserted into the dielectric tube and introduced into the plasma through the tube, and the reflected wave power from the plasma passing through the tube is detected And a detecting means for introducing high frequency power to the antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the antenna, and a tip of the antenna The part is L-shaped, further, Introducing high frequency power from the first antenna with a fixed position to the plasma while changing the frequency to obtain reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, Based on the measured correlation of the frequency between the minimum value that shifts when the position of the first antenna is moved and the minimum value that does not shift when the position of the first antenna is moved, The state of the plasma is monitored from the change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power. With a plasma monitoring unit A plasma processing apparatus is provided.
According to the present invention, there is also provided a plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on the substrate, the plasma processing container containing the substrate, and the plasma processing container Inserted dielectric tube with sealed tip, and high-frequency power inserted into the dielectric tube and introduced into the plasma through the tube, and the reflected wave power from the plasma passing through the tube is detected And a detecting means for introducing high frequency power to the antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the antenna, and a tip of the antenna The part is T-shaped, further, Introducing high frequency power from the first antenna with a fixed position to the plasma while changing the frequency to obtain reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, Based on the measured correlation of the frequency between the minimum value that shifts when the position of the first antenna is moved and the minimum value that does not shift when the position of the first antenna is moved, The state of the plasma is monitored from the change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power. With a plasma monitoring unit A plasma processing apparatus is provided.
According to the present invention, there is also provided a plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on the substrate, the plasma processing container containing the substrate, and the plasma processing container Inserted dielectric tube with sealed tip, and high-frequency power inserted into the dielectric tube and introduced into the plasma through the tube, and the reflected wave power from the plasma passing through the tube is detected And a detecting means for introducing high frequency power to the antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the antenna, and a tip of the antenna The part has a flat plate, further, Introducing high frequency power from the first antenna with a fixed position to the plasma while changing the frequency to obtain reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, Based on the measured correlation of the frequency between the minimum value that shifts when the position of the first antenna is moved and the minimum value that does not shift when the position of the first antenna is moved, The state of the plasma is monitored from the change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power. With a plasma monitoring unit A plasma processing apparatus is provided.
[0013]
Further, according to the present invention, there is provided a plasma monitoring apparatus for monitoring plasma generated to perform a predetermined process on a film on a substrate in a plasma processing container, and releasing a high frequency power to be introduced into the plasma being processed. A first antenna that performs, a second antenna that is attached to a plasma processing vessel to detect reflected wave power from plasma, and high frequency power that is introduced into the first antenna while changing the frequency, and the second antenna Detecting means for detecting, as an absorption frequency, the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the antenna of the antenna, the tip of the antenna is L-shaped, further, Introducing high frequency power from the first antenna with a fixed position to the plasma while changing the frequency to obtain reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, Based on the measured correlation of the frequency between the minimum value that shifts when the position of the first antenna is moved and the minimum value that does not shift when the position of the first antenna is moved, The state of the plasma is monitored from the change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power. With a plasma monitoring unit A plasma monitoring device is provided.
According to the present invention, there is also provided a plasma monitoring apparatus for monitoring plasma generated in order to perform a predetermined process on a film on a substrate in a plasma processing container, wherein the high frequency for introducing the substrate into the plasma being processed. A first antenna that emits power, a second antenna that is attached to a plasma processing vessel to detect reflected wave power from the plasma, and high frequency power is introduced into the first antenna while changing the frequency, Detecting means for detecting, as an absorption frequency, the frequency of the high-frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna, and the tip of the antenna has a T-shape, further, Introducing high frequency power from the first antenna with a fixed position to the plasma while changing the frequency to obtain reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, Based on the measured correlation of the frequency between the minimum value that shifts when the position of the first antenna is moved and the minimum value that does not shift when the position of the first antenna is moved, The state of the plasma is monitored from the change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power. With a plasma monitoring unit A plasma monitoring device is provided.
According to the present invention, there is also provided a plasma monitoring apparatus for monitoring plasma generated in order to perform a predetermined process on a film on a substrate in a plasma processing container, wherein the high frequency for introducing the substrate into the plasma being processed. A first antenna that emits power, a second antenna that is attached to a plasma processing vessel to detect reflected wave power from the plasma, and high frequency power is introduced into the first antenna while changing the frequency, Detecting means for detecting, as an absorption frequency, the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna, and the tip of the antenna has a flat plate, further, Introducing high frequency power from the first antenna with a fixed position to the plasma while changing the frequency to obtain reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power, Based on the measured correlation of the frequency between the minimum value that shifts when the position of the first antenna is moved and the minimum value that does not shift when the position of the first antenna is moved, The state of the plasma is monitored from the change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power. With a plasma monitoring unit A plasma monitoring device is provided.
[0014]
In the present invention, it is preferable that one antenna has the functions of the first antenna and the second antenna.
[0015]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, the present invention will be described in detail with reference to the drawings. FIG. 1 is a schematic sectional view showing an example of a plasma processing apparatus according to the present invention. The plasma processing apparatus according to the present invention includes a plasma processing unit 10 and a plasma monitoring unit 20.
[0016]
The plasma processing unit 10 generates a plasma well known in the art and performs a predetermined process, such as a microwave excitation method, an ECR (electron cyclotron resonance) method, an ICP (inductively coupled plasma) method. It refers to a plasma processing apparatus such as a down flow system or a parallel plate system. These apparatuses are used in, for example, a plasma etching apparatus, a plasma cleaning apparatus, a plasma ashing apparatus, and the like.
[0017]
FIG. 1 shows an apparatus using an ICP plasma processing unit 10 as an example. In FIG. 1, a chamber 1 is provided with a quartz window 2. A loop antenna 3 is attached to the outside of the quartz window 2, and the loop antenna 3 is connected to a plasma high-frequency power source 5 through a matching unit (matching box) 4. The high frequency power generated by the power source 5 is impedance matched by the matching unit 4 and then introduced from the loop antenna 3 through the quartz window 2 into the chamber 1. The chamber 1 has a gas inlet 6 for introducing a plasma gas from a gas supply source (not shown) into the chamber 1 and an exhaust pump (not shown) for exhausting the inside of the chamber 1. The exhaust port 7 is provided. Furthermore, a substrate electrode 8 also serving as a mounting table for mounting an object to be processed such as a silicon wafer is provided in the chamber 1.
[0018]
The plasma monitoring unit 20 according to the present invention is for monitoring the plasma state while the plasma processing unit 10 performs processing.
FIG. 2 is a schematic diagram illustrating an example of the plasma monitoring unit 20.
In FIG. 2, a transmission window 22 is attached to the opening of the chamber 1 wall of the plasma processing apparatus via a seal member 21. One end of the coaxial cable 23 is disposed in the vicinity of the surface of the transmission window 22 on the atmosphere side or in contact with the surface, and the core wire 24 as an internal conductor is exposed at this one end. The other end of the coaxial cable 23 is connected to the detection means 25. The outer conductor of the coaxial cable 23 is insulated from the inner conductor 24 by an insulating material (not shown), and is grounded together with the chamber 1 by a ground wire 26.
[0019]
As will be described later, the transmission window 22 introduces high-frequency power emitted from the core wire 24 into the plasma and takes out reflected wave power from the plasma to the atmosphere side. The material forming the transmission window 22 is a dielectric material that can maintain a vacuum atmosphere in the chamber 1 and that is not damaged by plasma and transmits high-frequency power and reflected-wave power. Such materials include, for example, quartz, aluminum oxide (Al 2 O Three ), Aluminum nitride (AlN), and boron nitride (BN), or organic materials such as engineering plastics such as polyimide and polyether ethyl ketone. An appropriate shape such as a thickness of the transmission window 22 is selected according to the type of plasma processing to be monitored, the frequency of the high frequency power to be used, and the like. The thickness of the transmission window 22 is, for example, 5 mm, but generally thinner is preferable. This is because, as will be described later, the ratio of the high-frequency power absorbed without being reflected by the plasma (hereinafter referred to as absorption rate) increases, so that monitoring becomes easy.
[0020]
The exposed core wire 24 of the coaxial cable 23 radiates high frequency power and functions as an antenna for detecting reflected wave power from plasma. High-frequency power from the detection means 25 described later is transmitted from the coaxial cable 23, then emitted from the core wire 24, and introduced into the chamber 1 through the transmission window 22. The frequency of the high frequency power is, for example, 1 to 10 GHz. The high frequency power introduced into the chamber 1 is reflected by the plasma and taken out to the atmosphere side through the transmission window 22 except that it is absorbed at a specific frequency (referred to as an absorption frequency). The extracted reflected wave power is detected by the core 24 and sent to the detecting means 25 through the coaxial cable 23.
[0021]
For example, in addition to the linear shape shown in FIG. 2, the antenna 24 has an L-shaped tip portion as shown in FIG. 3 (FIG. 3A), and an antenna tip portion having a T-shape (FIG. 3). 3 (b)), the tip portion forming a loop (including a spiral) (FIG. 3C), the tip portion having a flat plate (FIG. 3D), and the like.
In the linear antenna 24 shown in FIG. 2, the longer the straight line portion, the higher the absorption rate of the high-frequency power, and the number of absorption frequencies (the number of absorption peaks) at which the absorption of the high-frequency power occurs. The length of the antenna 24 is preferably 2 mm or more, more preferably 8 to 12 mm. If the length is less than 2 mm, the absorption rate of the high-frequency power is too low and monitoring becomes difficult. If the length exceeds 12 mm, the number of absorption peaks is too large, making identification difficult, and monitoring becomes difficult. In particular, since the tendency is strong for plasma with a high electron density, a shorter length is better.
[0022]
By the way, when the electron density of plasma is small, the absorptance decreases. Further, since the electron density of the plasma has a distribution that becomes low in the vicinity of the chamber wall, when the tube 32 approaches the wall of the chamber 1, the absorption rate also decreases. Furthermore, when the surface of the tube 32 is contaminated by plasma, the absorption rate decreases as the contamination progresses. As described above, when measuring or monitoring the electron density of plasma with low electron density or plasma that contaminates the surface of the probe, the shape of the antenna 24 and the shape of the tube 32 are optimized to increase the absorption rate. Need to increase sensitivity.
[0023]
From such a viewpoint, each shape shown in FIG. 3 is more preferable than the linear shape shown in FIG. In the shape shown in FIG. 3, the area of the antenna 24 facing the transmission window 22, in other words, the area of the antenna 24 projected onto the transmission window 22 is increased. Therefore, the ratio of the high frequency power introduced from the antenna 24 into the plasma through the transmission window 22 increases. As a result, the absorption rate of the high frequency power is increased and monitoring becomes easy. Therefore, in the shape shown in FIG. 3, it is preferable that the L-shaped, T-shaped, and loop portions facing the transmission window 22 are longer and the flat plate portion is larger. At that time, it is preferable that the straight portion is as short as possible. This is because by reducing the length, it is possible to suppress a decrease in the spatial resolution of plasma monitoring such as electron density, and to suppress the generation of a plurality of peaks having a large absorption rate as described for the linear antenna. Moreover, it is preferable that each of the L-shaped, T-shaped, loop, and flat plate portions is formed to be parallel to the transmission window 22. Further, when the lengths of the L-shaped portion and the T-shaped portion are the same, the T-shaped antenna 24 is preferable to the L-shaped portion because the absorption rate of the high-frequency power increases.
[0024]
In FIG. 2, the tip of the antenna 24 is preferably in contact with the atmosphere side surface of the transmission window 22. By contacting, as will be described later, monitoring is easy because the number of absorption frequencies is the smallest and the absorption rate is the highest.
[0025]
The detection means 25 introduces high frequency power to the antenna 24 while changing the frequency, and detects the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the antenna 24. As described above, since the high frequency power is absorbed by the plasma at the absorption frequency, the value of the reflected wave power is minimized. That is, the detection means 25 detects the absorption frequency. The detection means 25 is, for example, a network analyzer. The network analyzer has a function of generating high-frequency power while sweeping a frequency, a function of simultaneously measuring reflected wave power while generating high-frequency power, and a function of detecting an absorption frequency from the measured reflected wave power. The analyzer also has a function of obtaining an absorption frequency by generating high-frequency power while sweeping the frequency at predetermined time intervals.
[0026]
It is preferable that a filter for cutting a specific frequency is disposed on the coaxial cable 23 between the antenna 24 and the detection means 25. By doing so, it is possible to prevent high-frequency power for generating plasma in the chamber 1 from being mixed into the measured value of the reflected wave power and becoming noise. Such filters include a high pass filter, a low pass filter, and a band pass filter.
[0027]
FIG. 4 is a schematic diagram illustrating another example of the plasma monitoring unit 20. In order to simplify the description, the monitoring unit 20 having the configuration shown in FIG. 4 is not shown in FIG. In FIG. 4, a dielectric tube 32 is inserted through a seal member 31 into the chamber 1 of the plasma processing apparatus. One end of the coaxial cable 23 shown in FIG. 2 is inserted into the dielectric tube 32, and the other end is connected to the detection means 25 shown in FIG. The exposed core wire 24 of the coaxial cable 23 is disposed in the vicinity of the inner surface of the distal end portion of the tube 32 or in contact with the inner surface. Although not shown, the outer conductor of the coaxial cable 23 in FIG. 4 is also grounded together with the chamber 1 in the same manner as the coaxial cable 23 shown in FIG. The material forming the dielectric tube 32 is the same dielectric material as the material forming the transmission window 22 shown in FIG. An appropriate shape such as the thickness of the dielectric constituting the dielectric tube 32 is selected depending on the type of plasma processing to be monitored, the frequency of the high frequency power to be used, and the like. In general, the thickness of the tube 32, particularly the thickness of the tip, is preferably thinner like the transmission window 22. The thickness of the distal end portion of the tube 32 is 2 mm, for example. Moreover, although the front-end | tip of the dielectric tube 32 shown in FIG. 4 is sealed by the curved surface, it is more preferable that the front-end | tip of the tube 32 is sealed by the plane. By doing so, the absorption rate of the high frequency power by the plasma is also increased.
[0028]
The core wire 24 shown in FIG. 4 also acts as an antenna for detecting reflected wave power by radiating high-frequency power, similarly to the core wire 24 of FIG. That is, the high frequency power radiated from the core wire 24 passes through the dielectric tube 32 and is introduced into the plasma in the chamber 1, and the reflected wave power from the plasma is detected by the core wire 24 after passing through the dielectric tube 32.
[0029]
The shape and length of the antenna 24 of FIG. 4 are the same as those of the antenna 24 shown in FIGS. It is preferable that the tip of the antenna 24 of FIG. When the distal end of the tube 32 is sealed with a curved surface instead of a flat surface, it is preferable to deform the distal end portion of the antenna 24 so as to fit the curved surface. By doing so, even if the distal end of the tube 32 is a curved surface, the distal end portion of the antenna 24 can be sufficiently brought into contact with the inner surface of the distal end portion of the tube 32.
[0030]
Note that the monitoring unit 20 shown in FIG. 2 is preferable to the plasma monitoring unit 20 in the form shown in FIG. The dielectric tube 32 of FIG. 4 is used by being inserted into the chamber 1, but the transmission window 22 of FIG. 2 is only attached to the wall of the chamber 1. Therefore, the monitoring unit 20 in FIG. 2 has less influence on the plasma during monitoring.
[0031]
Next, the operation of the apparatus shown in FIG. 1 will be described.
First, the plasma processing unit 10 performs plasma processing as follows. The chamber 1 is first evacuated through the exhaust port 7 to a predetermined pressure, and then a plasma gas is introduced into the chamber 1 from the gas supply source (not shown) through the gas inlet 6. High frequency power for plasma generation is introduced into the chamber 1 through the quartz window 2 to ionize the plasma gas and generate plasma. The object to be processed on the substrate electrode 8 is plasma-processed by the generated plasma.
[0032]
The plasma monitoring unit 20 monitors plasma as follows. The high frequency power from the detection means 25 is introduced into the chamber 1 while changing the frequency, and simultaneously the reflected wave power from the plasma inside the chamber 1 is measured by the detection means 25. Then, an absorption frequency corresponding to the minimum value of the reflected wave power is detected. As will be described later, the absorption frequency has a correlation reflecting the state of plasma inside the chamber 1. Therefore, the plasma state during plasma processing can be monitored by repeatedly detecting the absorption frequency and observing the change of this frequency over time.
[0033]
Further, since the transmission window 22 and the dielectric tube 32 are formed of the dielectric material as described above, even if a film is deposited on the transmission window 22 and the tube 32 during the plasma processing, high-frequency power and reflected wave power are used. There is almost no effect on the transmission. Therefore, it is possible to monitor almost without being affected by film deposition during processing. Further, since the transmission window 22 and the tube 32 are formed of a dielectric material, the plasma can be monitored without contaminating the inner wall of the chamber 1 and the object to be processed during the plasma processing.
[0034]
In addition to the configuration shown in FIGS. 2 and 3, the plasma monitoring unit 20 described above inputs the absorption frequency obtained by the detection means 25 at predetermined time intervals, and automatically monitors changes in this frequency. It may further comprise a monitoring means for doing so. When the absorption frequency value detected by the detection means 25 shifts with time and deviates from the preset range, the monitoring means outputs a predetermined display to the outside, or a plasma adjustment means described later. It has a function of sending a predetermined signal. The monitoring means is, for example, a computer with a predetermined programming.
[0035]
Note that the following method may be employed for monitoring the absorption frequency. That is, the value of the reflected wave power is simultaneously measured while the high frequency power is introduced into the chamber 1 while the frequency is fixed in the detecting means 25. And the change of the value of the reflected wave power measured is monitored by the monitoring means. For the fixed frequency of the high-frequency power, for example, the value of the absorption frequency detected first by the detecting means 25 during the plasma processing is used. Thus, the change in the absorption frequency can also be observed by monitoring the change in the reflected wave power at the absorption frequency. This is because the reflected wave power at the absorption frequency shows a minimum value, and the value of the reflected wave power increases from the minimum value when the absorption frequency shifts. When the value of the reflected wave power shifts and deviates from the preset range, the monitoring device gives a predetermined display to the outside, or sends a predetermined signal to a plasma adjustment means to be described later.
[0036]
If necessary, the plasma monitoring unit 20 may further include a plasma adjusting unit for adjusting the plasma state during processing. The plasma adjusting unit receives a signal from the monitoring unit and adjusts the state of the plasma being processed. This plasma adjustment means is also composed of, for example, a computer programmed in a predetermined manner, like the previous monitoring means. The plasma adjustment means is connected to, for example, the plasma high-frequency power source 5, the matching unit 4, or a gas supply source (not shown) shown in FIG. When a signal is received from the monitoring means, at least one operation parameter of these components is adjusted to adjust the plasma state inside the chamber 1. The parameters to be adjusted are, for example, the voltage or frequency of high-frequency power generated by the high-frequency power source 5, the flow rate of gas supplied from a gas supply source (not shown), and the like.
[0037]
By adjusting the plasma state with the plasma adjusting means, for example, the plasma processing in the plasma processing unit 10 can be stopped at an appropriate timing. Specifically, the plasma etching process can be stopped, the plasma cleaning process can be stopped, the plasma ashing process can be stopped, and the like. In other words, it is possible to detect the end point of plasma etching, the end point of plasma cleaning, or the end point of plasma ashing.
[0038]
Of the members constituting the plasma monitoring unit 20 described above, the antenna (core wire) 24 at the end of the coaxial cable 23 shown in FIG. 2 or 3, the detection unit 25, and, if necessary, the monitoring unit and the plasma. The adjusting means may be used collectively as an external plasma monitoring device. If the monitoring apparatus having such a configuration is attached to an existing plasma processing apparatus that does not have a monitoring function, the plasma can also be monitored for the existing plasma processing apparatus.
[0039]
If the plasma processing apparatus has an opening for a transparent window for observing the inside of the chamber 1, the transmission window 22 shown in FIG. There is no need to provide an opening. Therefore, the monitoring apparatus including the configuration shown in FIG. 2 can be easily attached to the existing plasma processing apparatus. In the case of the ICP plasma processing unit 10 as shown in FIG. 1, the dielectric part of the chamber 1 may be shared as the transmission window 22. Such a component is, for example, a quartz window 2 for applying high-frequency power into the chamber 1 or a covering material (focus ring) for the substrate electrode 8. This eliminates the need to provide a new dielectric component such as the transmission window 22.
[0040]
FIG. 5 is a diagram schematically showing a measurement result when plasma is generated using the apparatus shown in FIG. 1 and high frequency power is introduced into the chamber 1 while changing the frequency to measure the reflected wave power. . The horizontal axis in FIG. 5 represents the frequency of the high frequency power, and the vertical axis represents the reflection coefficient ratio obtained by dividing the reflected wave power by the value of the high frequency power. As shown in FIG. 5, a peak of the minimum value appears in the measured reflection coefficient ratio (the height of this peak corresponds to the absorption rate of the above-described high-frequency power). As described above, the minimum value appears because a part of the high-frequency power introduced into the chamber 1 is absorbed by the plasma. FIG. 5 shows a case where a plurality of local minimum values a, b, c, and d appear as an example. The frequency of the high frequency power corresponding to each of these minimum values is the absorption frequency. Each absorption frequency shifts with time reflecting changes in plasma during plasma processing. By observing this shift amount, the plasma state during processing can be monitored. As shown in FIG. 5, when there are a plurality of absorption frequencies, the change is monitored by paying attention to one of them.
[0041]
The absorption frequency is an amount corresponding to the plasma state, and more specifically, an amount corresponding to the electron density of the plasma. The electron density of the plasma changes sensitively reflecting fluctuations in the interaction between the plasma and the inner wall of the chamber 1, that is, fluctuations in the processing state inside the chamber 1. That is, the electron density of the plasma is determined by, for example, deactivation of active species in the plasma on the inner wall surface of the chamber 1, loss of electrons, re-emission of particles from the inner wall surface of the chamber 1 into the plasma, and the like. It changes because the composition changes. More specifically, the change in the electron density occurs because, for example, a reaction product is generated during etching or a part of the etching gas is consumed in the reaction to change the gas composition in the plasma. Such increase / decrease in the electron density varies depending on whether the gas in the plasma is likely to emit or capture electrons, and the amount of increase / decrease varies depending on the etching material. Therefore, by monitoring the change in the absorption frequency, it is possible to monitor with high sensitivity the plasma state during processing, and hence the processing state inside the chamber 1.
[0042]
When the monitoring unit 20 having the configuration shown in FIG. 4 is employed, the measured absorption frequency value shifts with the position of the coaxial cable 23 in the length direction of the tube 32. This is because the value of the absorption frequency is considered to correspond to different modes of surface waves existing in the vicinity of the insulator surface forming the dielectric tube 32. In particular, when there are a plurality of absorption frequencies as shown in FIG. 5, the lowest absorption frequency (for example, the frequency of peak a in FIG. 5) does not shift even if the position of the coaxial cable 23 is moved. The absorption frequency (for example, the frequency of peaks b to d in FIG. 5) is shifted. All of these frequencies coincide with each other in that the tip position of the core wire (antenna) 24 of the coaxial cable 23 is extrapolated to the most advanced position of the dielectric tube 32 (the position of the outer surface of the tip portion of the tube 32). The coincident absorption frequency is considered to coincide with the surface wave resonance frequency, and the electron density of the plasma can be obtained from this frequency according to the following equation (1).
[0043]
n e = (Ε 0 ・ M e / E 2 ) ・ (1 + ε d ) Ω 2 ……………… (1)
Where n e Is the electron density, ε 0 Is the dielectric constant in vacuum, m e Is the mass of the electron, e is the elementary charge of the electron, ε d Is the dielectric constant of the dielectric tube, and ω is the absorption frequency at the extrapolation point.
[0044]
However, it is complicated and time-consuming to move the position of the coaxial cable 23 to shift the peaks b to d and obtain the frequency that coincides at the extrapolation point as described above. In addition, the frequency of the peak a that does not shift always indicates the frequency that matches at the extrapolation point, but is smaller in size than the other peaks b to d, and it is difficult to identify the frequency.
[0045]
Therefore, normally, the correlation of the frequency is measured between the peak having the largest value (for example, peak d) among the peaks that shift and the peak a that does not shift. In the measurement, while the position of the coaxial cable 23 is fixed, the data of FIG. 5 is obtained by changing the electron density with respect to plasma of a certain gas type, and the frequency of two peaks a and d is obtained from these data. To seek the relationship between. Once this correlation is obtained, the electron density of the plasma can be obtained easily and quickly from the data of FIG. 5 measured during plasma monitoring. That is, the frequency of the peak d is obtained from the data shown in FIG. 5, and the electron density is obtained using the correlation and the equation (1). Further, it is not necessary to move the position of the coaxial cable 23 in order to obtain the electron density as described above.
[0046]
As described above, in the present invention, the change in the plasma state is monitored by introducing the high frequency power into the plasma being processed and measuring the reflected wave power. By monitoring in this way, it is possible to perform plasma processing while monitoring with high sensitivity fluctuations in the plasma state, and hence the processing state inside the chamber 1 of the plasma processing apparatus. In addition, since high-frequency power or reflected-wave power is introduced or measured through the transmission window 22 or the tube 32 made of a dielectric material, the film is hardly affected by deposition on the plasma monitoring unit during plasma processing.
[0047]
In the examples in the present specification (plasma processing apparatus and plasma monitoring apparatus), the introduction of the high frequency power and the measurement of the reflected wave power are performed by one antenna 24, but each is divided into a plurality of antennas that are close to each other. You may do it.
[0048]
【Example】
Example 1
The MoW substrate was etched using the plasma processing apparatus shown in FIG. Then, during etching and after etching, high frequency power was introduced into the chamber 1 and the reflected wave power was measured to obtain the absorption frequency. Etching is SF as a plasma gas. 6 / O 2 Were introduced at 100/100 sccm, the gas pressure was kept at 20 mTorr, and 600 W was used as the plasma high-frequency power. Further, the plasma monitoring unit 20 is configured to use a transmission window 22 made of quartz as shown in FIG.
[0049]
FIG. 6 shows an example of the result of measuring the reflected wave power during etching. The horizontal axis of FIG. 6 is the frequency of the high frequency power introduced into the chamber 1, and the vertical axis is the reflection coefficient ratio. As can be seen from FIG. 6, the absorption frequency corresponding to the peak of the minimum value of the reflection coefficient ratio is located at about 0.85 GHz. FIG. 7 shows an example of the result of measuring the reflected wave power after completion of etching. As can be seen from FIG. 7, the absorption frequency is located at about 0.75 GHz. As can be seen from these results, the absorption frequency after etching is shifted to the lower frequency side by about 0.1 GHz than the absorption frequency during etching. Thus, it was found that the end point of etching can be detected by the present invention, and the effect of the present invention was confirmed.
[0050]
(Example 2)
Plasma was generated in the chamber 1 using the apparatus shown in FIG. At that time, the plasma state was observed using the plasma monitoring unit 20 having the configuration shown in FIG. 4, and the reflected wave power data with a plurality of absorption frequencies shown in FIG. 5 was measured. And the correlation of the frequency between the peak which moves with the position of the coaxial cable 23, and the peak which does not move was calculated | required.
[0051]
Plasma is SF 6 , O 2 , SF 6 / O 2 , Ar was introduced at 100 to 200 sccm, respectively, and the gas pressure was maintained at 10 to 20 mTorr, and 300 to 800 W was generated as high-frequency power for plasma. And the electron density of plasma was changed variously by changing gas flow rate, gas pressure, and high frequency electric power. The dielectric tube 32 is a quartz tube, and the coaxial cable 23 is used in contact with the inner surface of the tip of the quartz tube 32.
[0052]
FIG. 8 shows an example of the measurement result. The horizontal axis of FIG. 8 is the absorption frequency of a peak that moves with the coaxial cable 23 as in the peak d of FIG. 5, and the vertical axis is the absorption frequency of a peak that does not move as in the peak a of FIG. From the results of FIG. 8, it was found that there is a clean proportional relationship between both peaks. Then, it was confirmed that the electron density of the plasma can be obtained easily and quickly from the data as shown in FIG. 5 measured during monitoring by using this proportional relationship and the equation (1).
[0053]
(Example 3)
The relationship between the position of the core wire 24 (antenna) in the tube 32 shown in FIG. 4 and the reflection coefficient ratio was measured using the apparatus of FIG. The plasma was generated under conditions of Ar = 50 sccm, 10 mTorr, 200 W. The antenna 24 used was a linear shape having a length of 6 mm. FIG. 9 shows an example of the measurement result. As is clear from FIG. 9, when the tip of the antenna 24 is in contact with the inner surface of the tube (X = 0 mm in the figure), only two peaks B and D are generated, but the antenna 24 is separated from the inner surface of the tube 32 (in the drawing). X = 6 mm, 10 mm) and three peaks A, B, D are generated. The frequencies of the peaks B and D change depending on the position of the antenna 24, and the high frequency side peak D generated when the antenna 24 is in contact with the inner surface of the tube 32 determines which of the peaks when the position of the antenna 24 is changed. Greater than the peak. From this, it was found that the position of the antenna 24, that is, the core wire 24 during monitoring is preferably fixed inside the tube 32. It was also confirmed that the tip of the antenna 24 is preferably in contact with the inner surface of the tip of the tube 32.
In all the following examples, the tip of the antenna 24 was brought into contact with the inner surface of the tube 32.
[0054]
Example 4
Using the apparatus of FIG. 1, the relationship between the contamination state of the outer surface of the tube 32 shown in FIG. Contamination of the outer surface of the tube 32 was performed by generating plasma in a state where the tube 32 was inserted into an RIE type plasma chamber and forming a deposit on the outer surface of the tube 32. The plasma at the time of contamination is C Four F 8 = 50 sccm, 150 mTorr was generated with a 200 W discharge. On the other hand, the absorptance was measured in a plasma of Ar = 50 sccm, 20 mTorr, 400 W. The antenna 24 used was a linear shape having a length of 3 mm and 10 mm.
[0055]
FIG. 10 shows an example of the measurement result. The horizontal axis in FIG. 10 is the deposition time at the time of contamination, and the vertical axis is the measured absorption rate. As is apparent from FIG. 10, the absorption rate decreases as the contamination time increases, that is, as the surface of the probe becomes dirty. When the antenna was 3 mm, the absorption rate was small and the peak could not be detected when contamination was about 90 minutes. However, with a 10 mm antenna, a peak could be detected even in 90 minutes, and a peak could be detected for a longer time. As described above, in the electron density measurement and monitoring of the depositing plasma, the absorption rate decreases due to surface contamination, so a more sensitive antenna is required. If the antenna has a linear shape, its length is It was confirmed that the longer one has better sensitivity.
[0056]
(Example 5)
Using the apparatus shown in FIG. 1, the relationship between the length of the antenna 24 in the tube 32 shown in FIG. 4 and the absorption rate of high-frequency power was measured. The antenna 24 was a linear one. The plasma was generated under the conditions of Ar = 50 sccm, 10 mTorr, 400 W. FIG. 11 shows an example of the measurement result. As is clear from FIG. 11A, it was confirmed that the absorptance increases as the length of the antenna 24 increases. On the other hand, as shown in FIG. 11B, when the length of the antenna 24 is about 20 mm, the heights of a plurality of absorption peaks (the peak of the minimum value of the reflection coefficient ratio in the figure) become approximately the same. It was also confirmed that peak identification was difficult. From these results, it was confirmed that the length of the linear portion of the antenna 24 is suitably 8 mm or more and 12 mm or less.
[0057]
(Example 6)
Using the apparatus of FIG. 1 and the tube 32 and the antenna 24 shown in FIG. 4, the relationship between the frequency of the high frequency power input to the antenna 24 and the absorption rate was examined. The plasma was generated by varying the RF power (ie frequency) under Ar = 50 sccm and 10 mTorr. The antenna 24 used was a linear shape having a length of 10 mm.
[0058]
FIG. 12 shows an example of the measurement result. As can be seen from FIG. 12, it was confirmed that the absorptance decreased as the frequency decreased (RF power decreased), that is, as the electron density of plasma decreased.
[0059]
On the other hand, as the frequency was increased, the absorption rate increased, but a plurality of peaks occurred at about 800 W. As described above, this is considered to be because an extra mode wave was generated because the frequency of the high-frequency power was increased and the wavelength was shortened. As described above, it was confirmed that the linear antenna 24 should have a shorter length for plasma with a high electron density.
[0060]
(Example 7)
Using the apparatus shown in FIG. 1, the relationship between the shape of the antenna 24 in the tube 32 shown in FIG. 4 and the absorption rate of the high-frequency power was measured. The length of the straight line portion of each antenna 24 was fixed to approximately 3.0 mm, and the antenna shape of the straight line shape, the L-shaped tip portion, and the T-shaped tip portion was measured. The plasma was generated under the conditions of Ar = 50 sccm, 20 mTorr, and 500 W.
[0061]
FIG. 13 shows an example of the measurement result. As is clear from FIG. 13, it was confirmed that the L-shape has a higher absorption rate than the linear shape. Further, it was confirmed that if the lengths of the L-shaped part and the T-shaped part are the same, the T-shaped antenna 24 has a higher absorption rate than the L-shaped part. It was also confirmed that the absorptance increases as the L-shaped portion or the T-shaped portion becomes longer.
[0062]
(Example 8)
Using the apparatus of FIG. 1, the relationship between the shape and thickness of the tip of the tube 32 shown in FIG. 4 and the absorption rate of high-frequency power was measured. As the tube 32, a tube whose tip was sealed with a curved surface as shown in FIG. 14A and a tube whose tip was sealed with a flat surface as shown in FIG. 14B were used. Each tube 32 was made of quartz, and had an outer diameter of 8 mm and an inner diameter of 5 mm. The antenna 24 used was a linear shape having a length of 3 mm. The plasma was generated under the conditions of Ar = 50 sccm, 7.5 mTorr, 400 W.
[0063]
First, when the absorptance was measured for both tubes 32 having the same tip thickness, the tube 32 of FIG. 14B showed an absorptivity about 40% higher than the tube 32 of FIG. From this result, it was confirmed that the absorption rate was higher when the tube 32 whose tip was sealed with a flat surface was used.
[0064]
Next, the absorptance was measured by changing the thickness of the tip of the tube 32 in FIG.
An example of the measurement results is shown in Table 1 below.
[0065]
[Table 1]
Figure 0004619468
[0066]
As apparent from Table 1 above, it was confirmed that the smaller the thickness of the tip of the tube 32, the higher the absorption rate.
[0067]
【The invention's effect】
As described above in detail, according to the present invention, a plasma processing method capable of performing processing with high sensitivity while monitoring fluctuations in the inner surface state of the plasma processing apparatus with almost no influence of film deposition during processing, and The apparatus and the plasma monitoring apparatus can be provided. According to the present invention, it is possible to detect a time when maintenance of the plasma processing apparatus is necessary, and it is possible to detect a time when the apparatus immediately after completion of the maintenance returns to a state where the plasma processing can be resumed.
[Brief description of the drawings]
FIG. 1 is a schematic sectional view showing an example of a plasma processing apparatus according to the present invention.
FIG. 2 is a schematic diagram showing an example of a plasma monitoring unit according to the present invention.
FIG. 3 is a perspective view showing an example of the shape of an antenna according to the present invention.
FIG. 4 is a schematic diagram showing another example of a plasma monitoring unit according to the present invention.
FIG. 5 is a view showing an example of a measurement result of reflected wave power according to the present invention.
FIG. 6 shows an example of measurement results during etching in an example of the present invention.
FIG. 7 shows an example of measurement results after etching in an example of the present invention.
FIG. 8 is a diagram showing an example of a result of measuring a correlation between two absorption frequencies in the example of the present invention.
FIG. 9 is a diagram illustrating an example of a result of measuring a relationship between an antenna position and a reflection coefficient ratio in an embodiment of the present invention.
FIG. 10 is a diagram illustrating an example of a result of measuring a relationship between a contamination state of an outer surface of a tube and an absorption rate of high-frequency power in an example of the present invention.
FIG. 11 is a diagram illustrating an example of a result of measuring a relationship between an antenna length and an absorption rate in an example of the present invention.
FIG. 12 is a diagram showing an example of the result of measuring the relationship between the frequency of high-frequency power and the absorption rate in an example of the present invention.
FIG. 13 is a diagram showing an example of a result of measuring a relationship between an antenna shape and an absorptance in an example of the present invention.
FIG. 14 is a cross-sectional view showing an example of the shape of a tube used in an example of the present invention.
[Explanation of symbols]
1 ... Chamber
2 ... Quartz window
3. Loop antenna
4 ... Matching device
5 ... High frequency power supply for plasma
6 ... Gas inlet
7 ... Exhaust port
8 ... Board electrode
10 ... Plasma processing part
20 ... Plasma monitoring part
21, 31 ... Sealing member
22 ... Transparent window
23 ... Coaxial cable
24 ... core wire
25. Detection means
26 ... Ground wire
32 ... Dielectric tube

Claims (19)

プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理方法であって、
前記プラズマ処理容器内に前記基板を収容し、
位置を固定したアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、
予め測定された、前記アンテナの位置を移動した場合にシフトする極小値と前記アンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、
前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングすることを特徴とするプラズマ処理方法。
A plasma processing method for generating a plasma in a plasma processing container and performing a predetermined process on a film on a substrate,
Storing the substrate in the plasma processing vessel;
Introducing high frequency power to the plasma from a fixed antenna while changing the frequency to obtain the reflected wave power from the plasma, detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power,
Based on the correlation of the frequency between the minimum value that is measured in advance when shifting the position of the antenna and the minimum value that does not shift even if the position of the antenna is moved,
A plasma processing method comprising: monitoring a plasma state from a change in the frequency of the high-frequency power during the plasma processing corresponding to the shifted minimum value of the reflected wave power.
前記処理がプラズマクリーニングであることを特徴とする請求項1記載のプラズマ処理方法。  The plasma processing method according to claim 1, wherein the processing is plasma cleaning. 前記処理がプラズマエッチングであることを特徴とする請求項1記載のプラズマ処理方法。  The plasma processing method according to claim 1, wherein the processing is plasma etching. 前記処理がプラズマアッシングであることを特徴とする請求項1記載のプラズマ処理方法。  The plasma processing method according to claim 1, wherein the processing is plasma ashing. プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、
前記基板を収容するプラズマ処理容器と、
プラズマ処理容器に設けられた透過窓と、
透過窓を通してプラズマへ導入するための高周波電力を放出する機能を持つ第1のアンテナと、
前記透過窓を通過したプラズマからの反射波電力を検知する機能を持つ第2のアンテナと、
前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部はL字型をなし、
さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置。
A plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on a substrate,
A plasma processing container containing the substrate;
A transmission window provided in the plasma processing vessel;
A first antenna having a function of emitting high-frequency power to be introduced into the plasma through the transmission window;
A second antenna having a function of detecting reflected wave power from plasma that has passed through the transmission window;
Detecting means for introducing high frequency power into the first antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna; The tip of is L-shaped,
Further, a high frequency power seek reflected power from the plasma by introducing with various frequencies to detect a frequency of the high frequency power corresponding to the minimum value of the reflected power from the first antenna with a fixed position to the plasma , based on the correlation in frequency between the minimum value is also not shifted by moving the position of the minimum value and the first antenna to shift when measured pre Me, moves the position of said first antenna Te, which before Symbol change in the frequency of the high frequency power in the plasma processing corresponding to the minimum value to the shift of the reflected wave power, characterized by comprising a plasma monitoring unit for monitoring the state of the plasma plasma Processing equipment.
プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、
前記基板を収容するプラズマ処理容器と、
プラズマ処理容器に設けられた透過窓と、
透過窓を通してプラズマへ導入するための高周波電力を放出する機能を持つ第1のアンテナと、
前記透過窓を通過したプラズマからの反射波電力を検知する機能を持つ第2のアンテナと、
前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部はT字型をなし、
さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置。
A plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on a substrate,
A plasma processing container containing the substrate;
A transmission window provided in the plasma processing vessel;
A first antenna having a function of emitting high-frequency power to be introduced into the plasma through the transmission window;
A second antenna having a function of detecting reflected wave power from plasma that has passed through the transmission window;
Detecting means for introducing high frequency power into the first antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna; The tip of is T-shaped,
Further, a high frequency power seek reflected power from the plasma by introducing with various frequencies to detect a frequency of the high frequency power corresponding to the minimum value of the reflected power from the first antenna with a fixed position to the plasma , based on the correlation in frequency between the minimum value is also not shifted by moving the position of the minimum value and the first antenna to shift when measured pre Me, moves the position of said first antenna Te, which before Symbol change in the frequency of the high frequency power in the plasma processing corresponding to the minimum value to the shift of the reflected wave power, characterized by comprising a plasma monitoring unit for monitoring the state of the plasma plasma Processing equipment.
プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、
前記基板を収容するプラズマ処理容器と、
プラズマ処理容器に設けられた透過窓と、
透過窓を通してプラズマへ導入するための高周波電力を放出する機能を持つ第1のアンテナと、
前記透過窓を通過したプラズマからの反射波電力を検知する機能を持つ第2のアンテナと、
前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部は平板を有し、
さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置。
A plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on a substrate,
A plasma processing container containing the substrate;
A transmission window provided in the plasma processing vessel;
A first antenna having a function of emitting high-frequency power to be introduced into the plasma through the transmission window;
A second antenna having a function of detecting reflected wave power from plasma that has passed through the transmission window;
Detecting means for introducing high frequency power into the first antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna; The tip of has a flat plate,
Further, a high frequency power seek reflected power from the plasma by introducing with various frequencies to detect a frequency of the high frequency power corresponding to the minimum value of the reflected power from the first antenna with a fixed position to the plasma , based on the correlation in frequency between the minimum value is also not shifted by moving the position of the minimum value and the first antenna to shift when measured pre Me, moves the position of said first antenna Te, which before Symbol change in the frequency of the high frequency power in the plasma processing corresponding to the minimum value to the shift of the reflected wave power, characterized by comprising a plasma monitoring unit for monitoring the state of the plasma plasma Processing equipment.
前記第1のアンテナと前記第2のアンテナとがそれぞれ持つ前記機能を1本のアンテナに持つことを特徴とする請求項5乃至7のいずれか1項記載のプラズマ処理装置。  The plasma processing apparatus according to claim 5, wherein the first antenna and the second antenna each have the function of each of the first antenna and the second antenna. 前記アンテナの先端部は前記窓と接触することを特徴とする請求項5乃至7のいずれか1項記載のプラズマ処理装置。  The plasma processing apparatus according to claim 5, wherein a tip portion of the antenna is in contact with the window. プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、
前記基板を収容するプラズマ処理容器と、
プラズマ処理容器内に挿入された、先端が封じられた誘電体チューブと、
誘電体チューブ内に挿入され、前記チューブを通してプラズマへ導入するための高周波電力を放出し、前記チューブを通過したプラズマからの反射波電力を検知するアンテナと、
前記アンテナへ高周波電力を周波数を変化させながら導入し、前記アンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段とを具備し、前記アンテナの先端部はL字型をなし、
さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングすることを特徴とするプラズマ処理装置。
A plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on a substrate,
A plasma processing container containing the substrate;
A dielectric tube with a sealed tip inserted into a plasma processing vessel;
An antenna that is inserted into a dielectric tube, emits high-frequency power for introduction into the plasma through the tube, and detects reflected wave power from the plasma that has passed through the tube;
Detecting means for introducing high-frequency power into the antenna while changing the frequency, and detecting the frequency of the high-frequency power corresponding to the minimum value of the reflected wave power detected by the antenna, the tip of the antenna having an L-shape Mold,
Further, a high frequency power seek reflected power from the plasma by introducing with various frequencies to detect a frequency of the high frequency power corresponding to the minimum value of the reflected power from the first antenna with a fixed position to the plasma , based on the correlation in frequency between the minimum value is also not shifted by moving the position of the minimum value and the first antenna to shift when measured pre Me, moves the position of said first antenna Te, from a change in the frequency of the high-frequency power before Symbol plasma processing corresponding to the minimum value to the shift of the reflected wave power, plasma processing apparatus characterized by monitoring the state of the plasma.
プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、
前記基板を収容するプラズマ処理容器と、
プラズマ処理容器内に挿入された、先端が封じられた誘電体チューブと、
誘電体チューブ内に挿入され、前記チューブを通してプラズマへ導入するための高周波電力を放出し、前記チューブを通過したプラズマからの反射波電力を検知するアンテナと、
前記アンテナへ高周波電力を周波数を変化させながら導入し、前記アンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段と
を具備し、前記アンテナの先端部はT字型をなし、
さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置。
A plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on a substrate,
A plasma processing container containing the substrate;
A dielectric tube with a sealed tip inserted into a plasma processing vessel;
An antenna that is inserted into a dielectric tube, emits high-frequency power for introduction into the plasma through the tube, and detects reflected wave power from the plasma that has passed through the tube;
Detecting means for introducing high-frequency power into the antenna while changing the frequency, and detecting the frequency of the high-frequency power corresponding to the minimum value of the reflected wave power detected by the antenna, the tip of the antenna having a T-shape Mold,
Further, a high frequency power seek reflected power from the plasma by introducing with various frequencies to detect a frequency of the high frequency power corresponding to the minimum value of the reflected power from the first antenna with a fixed position to the plasma , based on the correlation in frequency between the minimum value is also not shifted by moving the position of the minimum value and the first antenna to shift when measured pre Me, moves the position of said first antenna Te, which before Symbol change in the frequency of the high frequency power in the plasma processing corresponding to the minimum value to the shift of the reflected wave power, characterized by comprising a plasma monitoring unit for monitoring the state of the plasma plasma Processing equipment.
プラズマ処理容器中でプラズマを発生させて基板上の膜に対する所定の処理を行うプラズマ処理装置であって、
前記基板を収容するプラズマ処理容器と、
プラズマ処理容器内に挿入された、先端が封じられた誘電体チューブと、
誘電体チューブ内に挿入され、前記チューブを通してプラズマへ導入するための高周波電力を放出し、前記チューブを通過したプラズマからの反射波電力を検知するアンテナと、
前記アンテナへ高周波電力を周波数を変化させながら導入し、前記アンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を検出する検出手段と
を具備し、前記アンテナの先端部は平板を有し、
さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマ処理装置。
A plasma processing apparatus for generating a plasma in a plasma processing container and performing a predetermined process on a film on a substrate,
A plasma processing container containing the substrate;
A dielectric tube with a sealed tip inserted into a plasma processing vessel;
An antenna that is inserted into a dielectric tube, emits high-frequency power for introduction into the plasma through the tube, and detects reflected wave power from the plasma that has passed through the tube;
Detecting means for detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the antenna, wherein the high frequency power is introduced to the antenna while changing the frequency, and the tip of the antenna is a flat plate Have
Further, a high frequency power seek reflected power from the plasma by introducing with various frequencies to detect a frequency of the high frequency power corresponding to the minimum value of the reflected power from the first antenna with a fixed position to the plasma , based on the correlation in frequency between the minimum value is also not shifted by moving the position of the minimum value and the first antenna to shift when measured pre Me, moves the position of said first antenna Te, which before Symbol change in the frequency of the high frequency power in the plasma processing corresponding to the minimum value to the shift of the reflected wave power, characterized by comprising a plasma monitoring unit for monitoring the state of the plasma plasma Processing equipment.
前記アンテナの先端部は前記チューブの先端部の内面と接触することを特徴とする請求項10乃至12のいずれか記載のプラズマ処理装置。  The plasma processing apparatus according to claim 10, wherein a tip portion of the antenna is in contact with an inner surface of the tip portion of the tube. 前記アンテナと前記検出手段との間に、特定の周波数をカットするためのフィルターが配置されることを特徴とする請求項5ないし13のいずれか1項記載のプラズマ処理装置。  14. The plasma processing apparatus according to claim 5, wherein a filter for cutting a specific frequency is disposed between the antenna and the detection unit. プラズマ処理容器中で基板上の膜に対する所定の処理を行うために発生させたプラズマをモニタリングするプラズマモニタリング装置であって、
処理中のプラズマへ導入する高周波電力を放出する第1のアンテナと、
プラズマからの反射波電力を検知するためにプラズマ処理容器に取り付けられる第2のアンテナと、
前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を吸収周波数として検出する検出手段と
を具備し、前記アンテナの先端部はL字型をなし、
さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマモニタリング装置。
A plasma monitoring apparatus for monitoring plasma generated to perform a predetermined process on a film on a substrate in a plasma processing container,
A first antenna that emits high frequency power to be introduced into the plasma being processed;
A second antenna attached to the plasma processing vessel for detecting reflected wave power from the plasma;
Detecting means for introducing high frequency power to the first antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna as an absorption frequency. The tip of the antenna is L-shaped,
Further, a high frequency power seek reflected power from the plasma by introducing with various frequencies to detect a frequency of the high frequency power corresponding to the minimum value of the reflected power from the first antenna with a fixed position to the plasma , based on the correlation in frequency between the minimum value is also not shifted by moving the position of the minimum value and the first antenna to shift when measured pre Me, moves the position of said first antenna Te, which before Symbol change in the frequency of the high frequency power in the plasma processing corresponding to the minimum value to the shift of the reflected wave power, characterized by comprising a plasma monitoring unit for monitoring the state of the plasma plasma Monitoring device.
プラズマ処理容器中で基板上の膜に対する所定の処理を行うために発生させたプラズマをモニタリングするプラズマモニタリング装置であって、
前記基板を処理中のプラズマへ導入する高周波電力を放出する第1のアンテナと、
プラズマからの反射波電力を検知するためにプラズマ処理容器に取り付けられる第2のアンテナと、
前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を吸収周波数として検出する検出手段と
を具備し、前記アンテナの先端部はT字型をなし、
さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマモニタリング装置。
A plasma monitoring apparatus for monitoring plasma generated to perform a predetermined process on a film on a substrate in a plasma processing container,
A first antenna that emits high frequency power to introduce the substrate into the plasma being processed;
A second antenna attached to the plasma processing vessel for detecting reflected wave power from the plasma;
Detecting means for introducing high frequency power to the first antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna as an absorption frequency. The tip of the antenna is T-shaped,
Further, a high frequency power seek reflected power from the plasma by introducing with various frequencies to detect a frequency of the high frequency power corresponding to the minimum value of the reflected power from the first antenna with a fixed position to the plasma , based on the correlation in frequency between the minimum value is also not shifted by moving the position of the minimum value and the first antenna to shift when measured pre Me, moves the position of said first antenna Te, which before Symbol change in the frequency of the high frequency power in the plasma processing corresponding to the minimum value to the shift of the reflected wave power, characterized by comprising a plasma monitoring unit for monitoring the state of the plasma plasma Monitoring device.
プラズマ処理容器中で基板上の膜に対する所定の処理を行うために発生させたプラズマをモニタリングするプラズマモニタリング装置であって、
前記基板を処理中のプラズマへ導入する高周波電力を放出する第1のアンテナと、
プラズマからの反射波電力を検知するためにプラズマ処理容器に取り付けられる第2のアンテナと、
前記第1のアンテナへ高周波電力を周波数を変化させながら導入し、前記第2のアンテナで検知した反射波電力の極小値に対応する高周波電力の周波数を吸収周波数として検出する検出手段とを具備し、前記アンテナの先端部は平板を有し、
さらに、位置を固定した前記第1のアンテナから前記プラズマへ高周波電力を周波数を変えながら導入してプラズマからの反射波電力を求め、反射波電力の極小値に対応する高周波電力の周波数を検出し、予め測定された、前記第1のアンテナの位置を移動した場合にシフトする極小値と前記第1のアンテナの位置を移動してもシフトしない極小値との間の周波数の相関関係に基づいて、前記反射波電力の前記シフトする極小値に対応するプラズマ処理中の前記高周波電力の前記周波数の変化から、プラズマの状態をモニタリングするプラズマモニタリング部とを備えていることを特徴とするプラズマモニタリング装置。
A plasma monitoring apparatus for monitoring plasma generated to perform a predetermined process on a film on a substrate in a plasma processing container,
A first antenna that emits high frequency power to introduce the substrate into the plasma being processed;
A second antenna attached to the plasma processing vessel for detecting reflected wave power from the plasma;
Detecting means for introducing high frequency power to the first antenna while changing the frequency, and detecting the frequency of the high frequency power corresponding to the minimum value of the reflected wave power detected by the second antenna as an absorption frequency. The tip of the antenna has a flat plate,
Further, a high frequency power seek reflected power from the plasma by introducing with various frequencies to detect a frequency of the high frequency power corresponding to the minimum value of the reflected power from the first antenna with a fixed position to the plasma , based on the correlation in frequency between the minimum value is also not shifted by moving the position of the minimum value and the first antenna to shift when measured pre Me, moves the position of said first antenna Te, which before Symbol change in the frequency of the high frequency power in the plasma processing corresponding to the minimum value to the shift of the reflected wave power, characterized by comprising a plasma monitoring unit for monitoring the state of the plasma plasma Monitoring device.
前記第1のアンテナと前記第2のアンテナとがそれぞれ持つ前記機能を1本のアンテナに持つことを特徴とする請求項15乃至17のいずれか1項記載のプラズマモニタリング装置。  18. The plasma monitoring apparatus according to claim 15, wherein the antenna has the functions of the first antenna and the second antenna, respectively. 18. 前記アンテナと前記検出手段との間に、特定の周波数をカットするためのフィルターが配置されることを特徴とする請求項15乃至17のいずれか1項記載のプラズマモニタリング装置。  The plasma monitoring apparatus according to any one of claims 15 to 17, wherein a filter for cutting a specific frequency is disposed between the antenna and the detection means.
JP24617599A 1999-03-25 1999-08-31 Plasma processing method, plasma processing apparatus, and plasma monitoring apparatus Expired - Fee Related JP4619468B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP24617599A JP4619468B2 (en) 1999-03-25 1999-08-31 Plasma processing method, plasma processing apparatus, and plasma monitoring apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP8130399 1999-03-25
JP11-81303 1999-03-25
JP24617599A JP4619468B2 (en) 1999-03-25 1999-08-31 Plasma processing method, plasma processing apparatus, and plasma monitoring apparatus

Publications (2)

Publication Number Publication Date
JP2000340550A JP2000340550A (en) 2000-12-08
JP4619468B2 true JP4619468B2 (en) 2011-01-26

Family

ID=26422333

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24617599A Expired - Fee Related JP4619468B2 (en) 1999-03-25 1999-08-31 Plasma processing method, plasma processing apparatus, and plasma monitoring apparatus

Country Status (1)

Country Link
JP (1) JP4619468B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180061033A (en) 2016-11-28 2018-06-07 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3773189B2 (en) * 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 Window probe, plasma monitoring apparatus, and plasma processing apparatus
JP5404984B2 (en) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus
JP2006066552A (en) * 2004-08-25 2006-03-09 Renesas Technology Corp Frequency-measuring device, plasma processing device and plasma processing method
JP5026916B2 (en) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP5286898B2 (en) * 2008-04-08 2013-09-11 パナソニック株式会社 Microwave processing equipment
JP6479562B2 (en) * 2015-05-07 2019-03-06 東京エレクトロン株式会社 Method of generating processing condition of plasma processing apparatus and plasma processing apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000100599A (en) * 1998-07-23 2000-04-07 Univ Nagoya Plasma density information measuring method, probe used for the measurement, and plasma density information measuring device
JP2000100598A (en) * 1998-07-23 2000-04-07 Univ Nagoya Control method for plasma producting high-frequency power and plasma producing device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000100599A (en) * 1998-07-23 2000-04-07 Univ Nagoya Plasma density information measuring method, probe used for the measurement, and plasma density information measuring device
JP2000100598A (en) * 1998-07-23 2000-04-07 Univ Nagoya Control method for plasma producting high-frequency power and plasma producing device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180061033A (en) 2016-11-28 2018-06-07 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
US11569068B2 (en) 2016-11-28 2023-01-31 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
JP2000340550A (en) 2000-12-08

Similar Documents

Publication Publication Date Title
JP3497092B2 (en) Plasma density information measurement method, probe used for measurement, and plasma density information measurement device
JP3709552B2 (en) Plasma processing apparatus and plasma processing method
US7169254B2 (en) Plasma processing system and apparatus and a sample processing method
KR100586387B1 (en) Method and apparatus for measuring electron density of plasma and plasma processing apparatus
US6184623B1 (en) Method for controlling plasma-generating high frequency power, and plasma generating apparatus
US7102292B2 (en) Method and device for removing harmonics in semiconductor plasma processing systems
JPH07169590A (en) Electron density measuring method and device thereof and electron density control device and plasma processing device
JP2011029475A (en) Plasma processing device, and plasma processing method
KR101015730B1 (en) Method And Apparatus For Determining an Etch Property using an Endpoint Signal
JPH0773997A (en) Plasma cvd device and cvd processing method employing the device and cleaning method for inside of the device
JP4619468B2 (en) Plasma processing method, plasma processing apparatus, and plasma monitoring apparatus
JP2001313285A (en) Plasma processing apparatus and method of processing specimen
JP2021026855A (en) Plasma processing device and control method
JP4022902B2 (en) Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus
JPH06146026A (en) Plasma treatment device
JP4098711B2 (en) Plasma processing equipment
JP2003115477A (en) Plasma processor and sample processing method
TW202242388A (en) Device for high speed sensing of rf signals from rf plasma processing equipment
JP2003332319A (en) Plasma treatment apparatus and method therefor
JPH09145675A (en) Method and device for measuring neutral radical

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060830

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081202

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090224

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100420

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100706

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100906

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100928

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101027

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131105

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131105

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees