JP4098711B2 - Plasma processing equipment - Google Patents

Plasma processing equipment Download PDF

Info

Publication number
JP4098711B2
JP4098711B2 JP2003424390A JP2003424390A JP4098711B2 JP 4098711 B2 JP4098711 B2 JP 4098711B2 JP 2003424390 A JP2003424390 A JP 2003424390A JP 2003424390 A JP2003424390 A JP 2003424390A JP 4098711 B2 JP4098711 B2 JP 4098711B2
Authority
JP
Japan
Prior art keywords
plasma
hole
optical transmission
plate
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003424390A
Other languages
Japanese (ja)
Other versions
JP2004119995A (en
Inventor
建人 臼井
俊夫 増田
満 末広
寛 兼清
秀之 山本
主人 高橋
弘充 榎並
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2003424390A priority Critical patent/JP4098711B2/en
Publication of JP2004119995A publication Critical patent/JP2004119995A/en
Application granted granted Critical
Publication of JP4098711B2 publication Critical patent/JP4098711B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Description

本発明は、本発明は、プラズマ処理装置及び試料の処理方法、特に半導体製造工程における微細なパターンを形成するのに好適なプラズマ処理装置及び試料の処理方法に係る。特に、処理室内のプラズマ発光やウエハなどの試料表面の薄膜の状態を計測する装置及び試料の処理方法に関する。   The present invention relates to a plasma processing apparatus and a sample processing method, and more particularly to a plasma processing apparatus and a sample processing method suitable for forming a fine pattern in a semiconductor manufacturing process. In particular, the present invention relates to an apparatus for measuring a state of a thin film on a surface of a sample such as plasma emission or a wafer in a processing chamber and a sample processing method.

半導体製造工程では、エッチング、成膜、アッシングなどの微細加工プロセスで、プラズマ処理装置が広く用いられている。プラズマ処理装置は、真空処理室(リアクタ)の内部に導入されたプロセスガスをプラズマ発生手段によりプラズマ化し、半導体ウエハ表面で反応させて微細な孔や溝などの加工あるいは成膜などの処理を行うとともに、揮発性の反応生成物を排気することにより所定の処理を行うものである。   In semiconductor manufacturing processes, plasma processing apparatuses are widely used in microfabrication processes such as etching, film formation, and ashing. In the plasma processing apparatus, a process gas introduced into a vacuum processing chamber (reactor) is converted into plasma by a plasma generating means and reacted on the surface of a semiconductor wafer to perform processing such as processing of fine holes and grooves or film formation. At the same time, a predetermined treatment is performed by exhausting the volatile reaction product.

このプラズマ処理装置においては、処理中のプラズマからの発光を検出してエッチング処理の終点を検出したり、プラズマ発光のウエハ表面の薄膜における反射光や干渉信号などから膜厚やエッチング・成膜の速度(レート)をリアルタイムで測定してプラズマ処理の精度を向上させることが行われている。たとえば、特許文献1には、平行平板型プラズマエッチング装置において、ウエハと対向する電極面に2つ以上のプラズマ受光センサーをもうけることで、ウエハ上の複数点のプラズマ発光強度からレートや膜厚の均一性や分布に関する情報を得てプラズマ密度を均一化させる方法が記載されている。   In this plasma processing apparatus, the end point of the etching process is detected by detecting light emission from the plasma being processed, and the film thickness, etching and film formation are determined from the reflected light and interference signals on the thin film on the wafer surface of the plasma light emission. The accuracy of plasma processing is improved by measuring the speed (rate) in real time. For example, in Patent Document 1, in a parallel plate type plasma etching apparatus, two or more plasma light receiving sensors are provided on an electrode surface facing a wafer, so that the rate and film thickness can be determined from the plasma emission intensities at a plurality of points on the wafer. A method for obtaining information on uniformity and distribution and making the plasma density uniform is described.

また、特許文献2には、平行平板型プラズマエッチング装置において、レーザ光を上部平板電極を貫いて上方からウエハに照射して反射レーザ光からエッチング量を測定して終点を検出する装置について、上部電極の汚れを防止するための石英製の電極カバーのレーザ光が通過する部分にφ10 mm程度の穴を形成することで、電極カバーが汚れてもレーザ光が減衰することなく正確にエッチング量を測定して、安定に終点検出を行う方法が記載されている。   Patent Document 2 discloses an apparatus for detecting an end point by irradiating a wafer from above through a top plate electrode and measuring an etching amount from reflected laser light in a parallel plate type plasma etching apparatus. By forming a hole of about φ10 mm in the part of the quartz electrode cover through which the laser beam passes to prevent electrode contamination, the amount of etching can be accurately controlled without attenuation even if the electrode cover is dirty. A method of measuring and stably detecting the end point is described.

特開平5−136098号公報Japanese Patent Laid-Open No. 5-136098

特開平3−148118号公報Japanese Patent Laid-Open No. 3-148118

しかしながら上記の方法では、次のような課題があった。まず、ウエハ表面の薄膜などの状態のモニタリングは、ウエハに対向する上方、あるいは45度程度までの斜め上方から計測するのが望ましいが、こうした方法で計測が可能なプラズマ処理装置は方式や構造が限定されてしまう。たとえば、マイクロ波ECR方式や誘導結合方式のプラズマ処理装置などでは、処理室内にマイクロ波を放射したり誘導電界を導入するために石英製の透明な窓や板をウエハの上方に設けることがあり、この場合にはウエハ表面の状態を上方から計測することができる。しかしながら、容量結合式のいわゆる平行平板型のプラズマ処理装置では、ウエハに対向する上部電極はアルミなどの導電性の金属であるため、ウエハ表面を直接透視できるような構造にはなっていない。このため、ウエハ表面を計測するには、特許文献1に記されたようにウエハと対向する電極面にプラズマ受光センサーをもうけることになる。しかしながら、実際には放電を重ねるにつれてプラズマ受光センサーには反応生成物が堆積していくので、長時間にわたって安定した計測を行うことは困難である。   However, the above method has the following problems. First of all, it is desirable to monitor the state of the thin film on the wafer surface from the upper side facing the wafer or from an oblique upper side up to about 45 degrees. However, a plasma processing apparatus capable of measuring by this method has a method and structure. It will be limited. For example, in a microwave ECR system or inductively coupled plasma processing apparatus, a quartz transparent window or plate may be provided above the wafer in order to radiate microwaves or introduce an induced electric field into the processing chamber. In this case, the state of the wafer surface can be measured from above. However, in the so-called parallel plate type plasma processing apparatus of the capacitive coupling type, since the upper electrode facing the wafer is a conductive metal such as aluminum, the structure is not such that the wafer surface can be directly seen through. For this reason, in order to measure the wafer surface, a plasma light receiving sensor is provided on the electrode surface facing the wafer, as described in Japanese Patent Application Laid-Open No. H11-228707. However, in reality, reaction products accumulate on the plasma light receiving sensor as the discharge is repeated, and it is difficult to perform stable measurement over a long period of time.

この課題を解決しようとしたのが、特許文献2に記された方法であり、プラズマに直接さらされる石英製の電極カバーのレーザ光が通過する計測部分にφ10 mm程度の穴を形成することで、石英カバー表面に堆積膜が付着しても計測には影響を及ぼさないとしたものである。しかしながら、実際にはこの方法もまた、安定した計測は困難である。プラズマ処理に必要な所定のプラズマ密度を得るためには上部電極には数kWもの大電力の高周波電力が印加されるので、上記公報に記されているようなφ10 mm程度の穴を電極や電極カバーに形成すると、穴の部分で局部的な異常放電を引き起こしたり、穴の内部にプラズマが侵入したりして、上部電極や電極カバーが損傷を受けることになる。また、上部電極にはバイアスが印加されるので、電極カバーの穴をとおして上部電極がプラズマ中のイオンでスパッタされることになるが、上部電極はアルミなどの金属で形成されているために損傷したり異物発生の要因になったりするといった問題もある。   An attempt to solve this problem is the method described in Patent Document 2, in which a hole of about φ10 mm is formed in a measurement portion through which a laser beam of a quartz electrode cover directly exposed to plasma passes. Even if a deposited film adheres to the surface of the quartz cover, the measurement is not affected. However, in practice, this method is also difficult to measure stably. In order to obtain a predetermined plasma density required for plasma processing, high-frequency power of several kW is applied to the upper electrode. Therefore, a hole with a diameter of about 10 mm as described in the above publication is provided as an electrode or electrode. If it is formed on the cover, local abnormal discharge is caused in the hole portion, or plasma enters the inside of the hole, so that the upper electrode and the electrode cover are damaged. Also, since a bias is applied to the upper electrode, the upper electrode is sputtered with ions in the plasma through the hole in the electrode cover, but the upper electrode is made of metal such as aluminum. There are also problems such as damage and the occurrence of foreign matter.

もちろん、ウエハに対向する上方からでなく、処理室の側壁から浅い角度をもたせてウエハ表面を計測することも原理的には可能ではある。しかしながら、特に酸化膜エッチング装置では、プロセスガスの過剰な解離を抑制したりプロセス再現性を向上させるために、試料と対向しておよそ数10 mm程度の距離を隔てた位置にシリコンなどの平板を対向させて設置する対向平板型の構造をとることが多い。この場合、ウエハに対する計測の角度は現実的には10度程度とならざるをえず、計測精度を十分にとることは困難である。このため、対向平板型のプラズマ処理装置においても、ウエハに対向する上方からウエハ表面の状態を計測できる方法が望まれていた。   Of course, it is possible in principle to measure the wafer surface not from above the wafer, but at a shallow angle from the side wall of the processing chamber. However, particularly in an oxide film etching apparatus, in order to suppress excessive dissociation of the process gas and improve process reproducibility, a flat plate made of silicon or the like is placed at a position facing the sample at a distance of about several tens of millimeters. In many cases, it has a structure of an opposed flat plate that is installed facing each other. In this case, the measurement angle with respect to the wafer must be practically about 10 degrees, and it is difficult to obtain sufficient measurement accuracy. For this reason, there has been a demand for a method capable of measuring the state of the wafer surface from above, which faces the wafer, even in the opposed flat plate type plasma processing apparatus.

また、先に、マイクロ波ECR方式や誘導結合方式のプラズマ処理装置などで、ウエハ上方の石英製の透明窓からウエハ表面の計測が可能であると述べたが、実際には放電を重ねるごとに石英製の窓の表面に反応生成物が付着して透過率が低下したり、逆に表面がエッチングされてあれたりするために、やはり長期にわたる安定した計測は難しいという問題があり、実用的ではなかった。   In addition, it was mentioned earlier that it is possible to measure the wafer surface from a quartz transparent window above the wafer using a microwave ECR system or an inductively coupled plasma processing apparatus. Since the reaction product adheres to the surface of the quartz window and the transmittance decreases, or the surface is etched, there is still a problem that stable measurement over a long period of time is difficult. There wasn't.

本発明は、上記の課題を解決するためになされたものであり、真空処理室の外部から、試料面やプラズマの状態あるいは真空処理室の壁面の状態を精度よく、かつ異常放電や異物を発生させることなく、長期的に安定して計測できるようなプラズマ処理装置及び試料の処理方法を提供することを目的とする。   The present invention has been made in order to solve the above-mentioned problems, and generates abnormal discharge and foreign matter from the outside of the vacuum processing chamber with high accuracy in the state of the sample surface, plasma, or wall surface of the vacuum processing chamber. It is an object of the present invention to provide a plasma processing apparatus and a sample processing method that can be measured stably over a long period of time.

本発明の特徴は、真空容器と、この内側において下方に配置された試料台及び上方に配置され前記試料台に載置されるウエハに対向して配置されるプレートと、このプレートと前記ウエハとの間に配置されてこのウエハを処理するためのプラズマが形成される空間と、前記真空容器内であって前記プレートの上方に配置され前記プラズマを形成するための電界を供給する円板状の導電性部材を含む電界供給手段と、前記プレート上に配置された貫通孔と、前記貫通孔の背面の前記真空容器の内側の前記電界供給手段の内側にこの真空容器の外から取り外し可能に配置されこの貫通孔を通過した前記真空容器内からの光を透過する光伝送休を有する受光手段とを備えたプラズマ処理装置であって、前記プレートに前記処理中に電力が供給され、前記真空容器に対して前記光伝送体を取り付けて保持する保持手段と、前記光伝送体の前記真空容器内側の箇所に設けられ前記貫通孔側の径より段差を有して径が大きくされた大径部分と、この段差の上方の前記光伝送体の表面と接して配置され前記保持手段による取り付けによって前記真空容器の内側と外側との間で前記光伝送体をシールする手段とを備えたプラズマ処理装置にある。
A feature of the present invention is that a vacuum vessel, a sample stage disposed below the inside of the vacuum container, a plate disposed above the wafer placed above and placed on the sample stage, and the plate and the wafer A disk-shaped space between which the plasma for processing the wafer is formed and a disk-like shape in the vacuum vessel that is disposed above the plate and supplies an electric field for forming the plasma An electric field supply means including a conductive member, a through hole disposed on the plate, and an inside of the electric field supply means inside the vacuum container on the back surface of the through hole can be removed from the outside of the vacuum container. a plasma processing apparatus including a light receiving means having a light transmission rest for transmitting light from the placed the vacuum container which has passed through the through hole, power is fed into the process on the plate Holding means for holding mounted to the optical transmission member to said vacuum chamber, diameter has a step than the diameter of the through-hole side is provided in the vacuum vessel inner portion of the optical transmission member is larger A large-diameter portion ; and means for sealing the optical transmission body between the inside and the outside of the vacuum vessel by being attached to the surface of the optical transmission body above the step and being attached by the holding means It is in the plasma processing apparatus.

本発明のさらに他の特徴は、前記受光手段が前記真空容器の外側から取り外し可能に取り付けられたプラズマ処理装置にある。 Still another feature of the present invention resides in a plasma processing apparatus in which the light receiving means is detachably attached from the outside of the vacuum vessel.

本発明のさらに他の特徴は、前記部材が前記プレートの背面側の前記真空容器の内側に配置され、前記ウエハに平行して対向する平板状部材に取り付けられたプラズマ処理装置にある。 Still another feature of the present invention resides in a plasma processing apparatus in which the member is disposed inside the vacuum vessel on the back side of the plate and attached to a flat plate member facing in parallel with the wafer.

本発明の他の特徴は、前記受光手段が前記真空容器にシールされて取り付けられたプラズマ処理装置にある。 Another feature of the present invention resides in a plasma processing apparatus in which the light receiving means is sealed and attached to the vacuum vessel.

以上説明したように、本発明によれば、真空処理室の外部から、試料面やプラズマの状態あるいは真空処理室の壁面の状態を精度よく、かつ異常放電や異物を発生させることなく、長期的に安定して計測できる。
例えば、ウエハ面に対向してアンテナや電極などが設置された対向平板型の構造においても、試料Wの上方あるいは斜め上方の位置から、プラズマや試料表面の薄膜の状態を、異常放電や異物を発生させることなく、量産レベルでも長期的に安定して精度よく測定できる。この結果、エッチング処理の終点検出やエッチング・成膜のレート・均一性のin-situモニタリングが可能となるので、より進んだプロセス制御の方法が提供できるとともに、処理の再現性や安定性も向上できるので装置の稼働率や生産性の向上に寄与しうるプラズマ処理装置を提供することが可能となる。
As described above, according to the present invention, from the outside of the vacuum processing chamber, the sample surface, the state of plasma or the state of the wall surface of the vacuum processing chamber can be accurately determined for a long time without causing abnormal discharge or foreign matter. Can be measured stably.
For example, even in an opposed flat plate structure in which an antenna, an electrode, etc. are installed facing the wafer surface, the state of the plasma or the thin film on the surface of the sample from the position above or obliquely above the sample W, abnormal discharge or foreign matter Without generation, it can be measured stably and accurately over the long term even at the mass production level. As a result, it is possible to detect the end point of the etching process and in-situ monitoring of the etching / deposition rate and uniformity, providing a more advanced process control method and improving the reproducibility and stability of the process. Therefore, it is possible to provide a plasma processing apparatus that can contribute to an improvement in the operating rate and productivity of the apparatus.

以下、本発明の実施例について、図面に基づいて説明する。
図1は、本発明を、有磁場UHF帯電磁波放射放電方式のプラズマエッチング装置へ適用した実施例を示すもので、当該プラズマエッチング装置の断面模式図である。
Embodiments of the present invention will be described below with reference to the drawings.
FIG. 1 shows an embodiment in which the present invention is applied to a magnetic field UHF band electromagnetic wave radiation discharge type plasma etching apparatus, and is a schematic sectional view of the plasma etching apparatus.

図1において、処理室100は、10−6 Torr程度の真空度を達成可能な真空容器であり、その上部にプラズマ発生手段としての電磁波を放射するアンテナ110を、その下部にはウエハなどの試料Wを載置する下部電極130を、それぞれ備えている。アンテナ110と下部電極130は、平行して対向する形で設置される。処理室100の周囲には、たとえば電磁コイルとヨークからなる磁場形成手段101が設置されており、所定の分布と強度をもつ磁場が形成される。そして、アンテナ110から放射される電磁波と磁場形成手段101で形成される磁場との相互作用により、処理室内部に導入された処理ガスをプラズマ化して、プラズマPを発生させ、下部電極130上の試料Wを処理する。 In FIG. 1, a processing chamber 100 is a vacuum container that can achieve a vacuum degree of about 10 −6 Torr, an antenna 110 that radiates electromagnetic waves as plasma generating means is provided above it, and a sample such as a wafer is provided below it. Lower electrodes 130 on which W is placed are provided. The antenna 110 and the lower electrode 130 are installed so as to face each other in parallel. Around the processing chamber 100, a magnetic field forming unit 101 including, for example, an electromagnetic coil and a yoke is installed, and a magnetic field having a predetermined distribution and strength is formed. Then, due to the interaction between the electromagnetic wave radiated from the antenna 110 and the magnetic field formed by the magnetic field forming means 101, the processing gas introduced into the processing chamber is turned into plasma to generate plasma P, and on the lower electrode 130. Sample W is processed.

処理室100は、真空室103に接続された真空排気系104と圧力制御手段105により真空排気と圧力調整がなされて、内部の圧力がたとえば0.5 Pa以上4 Pa以下程度の所定の値に制御できる。処理室100および真空室103は、アース電位となっている。処理室100の側壁102は、図示しない温度制御手段により、たとえば50 ℃程度に温調されている。   The processing chamber 100 is evacuated and pressure-adjusted by the evacuation system 104 and the pressure control means 105 connected to the vacuum chamber 103 so that the internal pressure becomes a predetermined value of, for example, about 0.5 Pa to 4 Pa. Can be controlled. The processing chamber 100 and the vacuum chamber 103 are at ground potential. The side wall 102 of the processing chamber 100 is adjusted to a temperature of, for example, about 50 ° C. by temperature control means (not shown).

電磁波を放射するアンテナ110は、円板状導電体111、誘電体112、誘電体リング113からなり、真空容器の一部としてのハウジング114に保持される。また、円板状導電体111のプラズマに接する側の面には、構造体即ちプレート115が設置される。試料のエッチング、成膜等の処理を行なう処理ガスは、ガス供給手段116から所定の流量と混合比をもって供給され、円板状導電体111の内部で均一化されて、プレート115に設けられた多数の孔を通して処理室100に供給される。円板状導電体111は図示しない温度制御手段により、たとえば30℃に温調されている。アンテナ110には、アンテナ電源121、アンテナバイアス電源123およびマッチング回路・フィルタ系122、124、125からなるアンテナ電源系120が導入端子126を介して接続される。アンテナ電源121は、望ましくは300 MHzから900 MHzのUHF帯周波数の電力を供給して、アンテナ110からUHF帯の電磁波を放射する。   An antenna 110 that radiates electromagnetic waves includes a disk-shaped conductor 111, a dielectric 112, and a dielectric ring 113, and is held by a housing 114 as a part of a vacuum vessel. Further, a structure, that is, a plate 115 is provided on the surface of the disk-shaped conductor 111 on the side in contact with the plasma. A processing gas for performing processing such as etching and film formation of the sample is supplied from the gas supply means 116 at a predetermined flow rate and mixing ratio, and is uniformized inside the disc-shaped conductor 111 and provided on the plate 115. It is supplied to the processing chamber 100 through a large number of holes. The disk-shaped conductor 111 is temperature-controlled at 30 ° C., for example, by a temperature control means (not shown). The antenna 110 is connected to an antenna power supply system 120 including an antenna power supply 121, an antenna bias power supply 123, and matching circuit / filter systems 122, 124, and 125 via an introduction terminal 126. The antenna power supply 121 preferably supplies power of a UHF band frequency of 300 MHz to 900 MHz, and radiates UHF band electromagnetic waves from the antenna 110.

アンテナバイアス電源123は、円板状導電体111を介してプレート115に、たとえば100 kHz程度あるいは数MHzから10 MHz程度の周波数のバイアスを印加して、プレート115の表面での反応を制御する。特にCF系のガスを用いた酸化膜エッチングにおいては、プレート115の材質を高純度のシリコンやカーボンなどとすることで、プレート115の表面でのFラジカルやCFxラジカルの反応を制御して、ラジカルの組成比を調整することが可能である。本実施例では、プレート115には高純度のシリコンを用いている。また円板状導電体111およびハウジングにはアルミ、誘電体112および誘電体リング113には石英を用いている。プレート115の下面とウエハWの距離(以下、ギャップと呼ぶ)は、30 mm以上150 mm以下、望ましくは50 mm以上120 mm以下とする。本実施例では、アンテナ電源121は、450 MHz、アンテナバイアス電源122は13.56 MHzの周波数として、ギャップは70 mmに設定している。   The antenna bias power supply 123 controls the reaction on the surface of the plate 115 by applying a bias having a frequency of about 100 kHz or about several MHz to 10 MHz to the plate 115 via the disk-shaped conductor 111. In particular, in oxide film etching using a CF-based gas, the material of the plate 115 is made of high-purity silicon, carbon, or the like, so that the reaction of F radicals or CFx radicals on the surface of the plate 115 is controlled. It is possible to adjust the composition ratio. In this embodiment, the plate 115 is made of high purity silicon. Further, aluminum is used for the disk-shaped conductor 111 and the housing, and quartz is used for the dielectric 112 and the dielectric ring 113. The distance between the lower surface of the plate 115 and the wafer W (hereinafter referred to as a gap) is 30 mm to 150 mm, preferably 50 mm to 120 mm. In this embodiment, the antenna power source 121 has a frequency of 450 MHz, the antenna bias power source 122 has a frequency of 13.56 MHz, and the gap is set to 70 mm.

処理室100の下部には、アンテナ110に対向して下部電極130が設けられている。下部電極130は、静電吸着装置131により、その上面すなわち試料載置面にウエハなどの試料Wを載置保持する。試料Wの外周部には、たとえば高純度のシリコンで形成された試料台リング132が絶縁体133の上に設置されている。下部電極130には、望ましくは400 kHzから13.56 MHzの範囲のバイアス電力を供給するバイアス電源134が、マッチング回路・フィルタ系135を介して接続されて、試料Wに印加するバイアスを制御する。本実施例では、バイアス電源134は周波数を800 kHzとしている。   A lower electrode 130 is provided below the processing chamber 100 so as to face the antenna 110. The lower electrode 130 mounts and holds a sample W such as a wafer on its upper surface, that is, the sample mounting surface, by the electrostatic chuck 131. On the outer periphery of the sample W, a sample stage ring 132 made of, for example, high-purity silicon is installed on the insulator 133. A bias power supply 134 for supplying a bias power preferably in the range of 400 kHz to 13.56 MHz is connected to the lower electrode 130 via a matching circuit / filter system 135 to control the bias applied to the sample W. . In the present embodiment, the bias power supply 134 has a frequency of 800 kHz.

次に、本実施例の要部である、試料Wの表面の状態を計測するために設置された計測ポート140A、140Bについて説明する。本実施例では、計測ポート140A、140Bは試料Wに対向したアンテナ110に取り付けられており、後述するように、プレート115に形成された多数の貫通孔を通して、試料Wの表面の薄膜などの状態を垂直上方から計測できる。そして、計測ポート140Bを試料Wの外周部を計測する位置、計測ポート140Aを試料Wの外周と中心の中間位置に設置することで、試料Wの表面の面内分布に関する情報を得るようにしている。もちろん、計測ポートの取り付けはここで説明したように外周部と中間部の2カ所に限られるものではなく、1カ所のみあるいは3カ所以上としてもよく、あるいはたとえば円周上に配列するなど別の配置にしてもよいことはいうまでもない。   Next, the measurement ports 140A and 140B installed to measure the surface state of the sample W, which is a main part of the present embodiment, will be described. In this embodiment, the measurement ports 140A and 140B are attached to the antenna 110 facing the sample W. As will be described later, the state of the thin film on the surface of the sample W through a large number of through holes formed in the plate 115. Can be measured from vertically above. Then, the measurement port 140B is installed at a position where the outer periphery of the sample W is measured, and the measurement port 140A is installed at an intermediate position between the outer periphery and the center of the sample W, so that information on the in-plane distribution of the surface of the sample W is obtained. Yes. Of course, the mounting of the measurement port is not limited to the two locations of the outer peripheral portion and the intermediate portion as described here, but may be only one location or three or more locations, or another arrangement such as arranging on the circumference, for example. Needless to say, it may be arranged.

計測ポート140A、140Bには、たとえば光ファイバやレンズなどの光学伝送手段151A、151Bが設けられており、プラズマPからの直接光やあるいはプラズマPのウエハW表面での反射光あるいは干渉光などのウエハWの表面状態を反映する光学情報が、たとえばカメラや干渉薄膜計あるいは画像処理装置などからなる計測器152に伝送されて計測される。計測器152は、計測器制御・演算手段153により制御されるとともに、さらに上位のシステム制御手段154と接続される。システム制御手段154は、制御インタフェース155を介して、装置システムの状態をモニタや制御を行う。   The measurement ports 140A and 140B are provided with optical transmission means 151A and 151B such as optical fibers and lenses, for example, such as direct light from the plasma P or reflected light or interference light of the plasma P on the surface of the wafer W. Optical information reflecting the surface state of the wafer W is transmitted to and measured by a measuring instrument 152 composed of, for example, a camera, an interference thin film meter, or an image processing apparatus. The measuring instrument 152 is controlled by the measuring instrument control / calculation means 153 and is further connected to a higher-level system control means 154. The system control unit 154 monitors and controls the state of the apparatus system via the control interface 155.

本実施例によるプラズマエッチング装置は以上のように構成されており、このプラズマエッチング装置を用いて、たとえばシリコン酸化膜のエッチングを行う場合の具体的なプロセスは次の通りである。   The plasma etching apparatus according to the present embodiment is configured as described above. A specific process in the case of etching a silicon oxide film, for example, using this plasma etching apparatus is as follows.

まず、処理の対象物であるウエハWは、図示していない試料搬入機構から処理室100に搬入された後、下部電極130の上に載置・吸着され、必要に応じて下部電極の高さが調整されて所定のギャップに設定される。ついで、処理室100内は真空排気系106により真空排気され、一方、試料Wのエッチング処理に必要なガス、たとえばCFとArとOが、ガス供給手段116から、所定の流量と混合比、たとえばAr 400 sccm、CF 15 sccm、O 5 sccmをもって、アンテナ110のプレート115から処理室100に供給される。同時に処理室100の内部が所定の処理圧力、例えば2 Paになるように調整される。他方、磁場形成手段101により、アンテナ電源121の周波数の450 MHzに対する電子サイクロトロン共鳴磁場強度に相当する概略160ガウスのほぼ水平な磁場がプレート115の下方付近に形成される。そして、アンテナ電源121によりアンテナ110からUHF帯の電磁波が放射され、磁場との相互作用により処理室100内にプラズマPが生成される。このプラズマPにより、処理ガスを解離させてイオン・ラジカルを発生させ、さらにアンテナ高周波電源123、バイアス電源134を制御して、ウェハWにエッチング等の処理を行う。 First, a wafer W that is an object to be processed is loaded into the processing chamber 100 from a sample loading mechanism (not shown), and then placed and sucked on the lower electrode 130, and the height of the lower electrode is adjusted as necessary. Is adjusted and set to a predetermined gap. Next, the inside of the processing chamber 100 is evacuated by the evacuation system 106, while gases necessary for etching the sample W, such as C 4 F 8 , Ar, and O 2, are supplied from the gas supply means 116 at a predetermined flow rate. A mixture ratio such as Ar 400 sccm, C 4 F 8 15 sccm, or O 2 5 sccm is supplied from the plate 115 of the antenna 110 to the processing chamber 100. At the same time, the inside of the processing chamber 100 is adjusted to a predetermined processing pressure, for example, 2 Pa. On the other hand, the magnetic field forming means 101 forms a substantially horizontal magnetic field of approximately 160 gauss corresponding to the electron cyclotron resonance magnetic field intensity with respect to the frequency of the antenna power supply 121 of 450 MHz near the lower portion of the plate 115. Then, an electromagnetic wave in the UHF band is radiated from the antenna 110 by the antenna power source 121, and plasma P is generated in the processing chamber 100 by interaction with the magnetic field. With this plasma P, the processing gas is dissociated to generate ions and radicals, and the antenna high frequency power supply 123 and bias power supply 134 are controlled to perform processing such as etching on the wafer W.

各電源の投入電力は、たとえばアンテナ電源121は1000 W、アンテナ高周波電源123は300 W、バイアス電源141は800 W程度である。そして、エッチング処理の終了にともない、電力および処理ガスの供給を停止してエッチングを終了する。   For example, the antenna power supply 121 is about 1000 W, the antenna high-frequency power supply 123 is about 300 W, and the bias power supply 141 is about 800 W. Then, along with the end of the etching process, the supply of electric power and processing gas is stopped to end the etching.

この処理中のプラズマ発光やウエハ表面状態を反映する光学情報が、計測ポート140A、140Bを通して、光学伝送手段151A、151Bにより伝送されて計測器152で計測がなされ、計測器制御・演算手段153で計測結果に基づいて演算処理がなされ、上位のシステム制御手段154に伝達されて、制御インタフェース155を介してプラズマ処理装置システムが制御される。   Optical information reflecting the plasma emission and the wafer surface state during processing is transmitted by the optical transmission means 151A and 151B through the measurement ports 140A and 140B and measured by the measuring instrument 152, and the measuring instrument control / calculating means 153 Arithmetic processing is performed based on the measurement result, and is transmitted to the host system control means 154 to control the plasma processing apparatus system via the control interface 155.

次に、計測ポート140について、その詳細な構造を図2から図4を用いて説明する。   Next, the detailed structure of the measurement port 140 will be described with reference to FIGS.

図2は、図1の実施例において、アンテナ110に取り付けられた計測ポート140の部分を拡大した断面図である。すでに図1で説明したように、アンテナ110を形成する円板状導電体111および誘電体112はハウジング114に保持され、また円板状導電体111にはプレート115が設置される。プレート115には多数のガス流出孔115Aが設けられており、円板状導電体111においてガス流出孔115Aと一致する位置に設けられたガス流出孔111Aを通して処理ガスを処理室100の内部に供給する。プレート115に設けられたガス透過孔115Aは、たとえば直径φ0.1 mmないしφ5 mm程度、望ましくは直径φ0.3 mmないし直径φ2 mm程度の貫通孔であり、円板状導電体111に設けられたガス透過孔111Aは、孔115Aと同等かそれ以上の大きさとして、たとえば直径φ0.5 mmないしφ5 mm程度、望ましくは直径φ2 mm程度としている。また、プレート115の厚みは3 mmないし20 mm程度であり、本実施例では6 mmとしている。   FIG. 2 is an enlarged cross-sectional view of a portion of the measurement port 140 attached to the antenna 110 in the embodiment of FIG. As already described in FIG. 1, the disk-shaped conductor 111 and the dielectric 112 that form the antenna 110 are held by the housing 114, and the plate 115 is installed on the disk-shaped conductor 111. A large number of gas outflow holes 115A are provided in the plate 115, and a processing gas is supplied into the processing chamber 100 through the gas outflow holes 111A provided at positions corresponding to the gas outflow holes 115A in the disk-shaped conductor 111. To do. The gas perforation hole 115A provided in the plate 115 is a through hole having a diameter of about 0.1 mm to 5 mm, preferably about 0.3 mm to 2 mm, and is provided in the disk-shaped conductor 111. Further, the gas transmission hole 111A has a size equal to or larger than that of the hole 115A, for example, a diameter of about 0.5 mm to 5 mm, and preferably about a diameter of 2 mm. The thickness of the plate 115 is about 3 mm to 20 mm, and is 6 mm in this embodiment.

さて、プレート115には、計測ポート140に相当する部分に、多数の貫通孔115Bが密集して形成されている。そして、プレート115の背面(プラズマPと反対側の面)にほぼ接するように光伝送体141が設置され、ハウジング114に対して保持手段142とたとえばOリングなどの真空封止手段143により真空シールされて取り付けられる。そして、光伝送体141の大気側の端面に、たとえば光ファイバやレンズなどの光学伝送手段151が設けられている。そして、プラズマPからの直接光145PやプラズマPの試料Wの表面からの反射光や干渉光145Wが、破線で示す光路144のように、プレート115の貫通孔115Bを通過し、光伝送体141を透過して光学伝送手段151に達し、さらに計測器152に伝送されて計測される。
後述するように、通孔115Bのアスペクト比は、およそ5以上100以内とするのが良い。
In the plate 115, a large number of through holes 115B are formed densely in a portion corresponding to the measurement port 140. An optical transmission body 141 is installed so as to be substantially in contact with the back surface of the plate 115 (the surface opposite to the plasma P), and the housing 114 is vacuum-sealed by the holding means 142 and a vacuum sealing means 143 such as an O-ring. Attached. An optical transmission means 151 such as an optical fiber or a lens is provided on the end face of the optical transmission body 141 on the atmosphere side. Then, the direct light 145P from the plasma P, the reflected light from the surface of the sample W of the plasma P, and the interference light 145W pass through the through-hole 115B of the plate 115 as in the optical path 144 indicated by the broken line, and the optical transmission body 141 is transmitted. Is transmitted to the optical transmission means 151 and further transmitted to the measuring device 152 for measurement.
As will be described later, the aspect ratio of the through-hole 115B is preferably about 5 or more and 100 or less.

本実施例では、光伝送体141は石英製の円柱状のロッドとしている。光伝送体141の直径はφ5 mmからφ30 mm程度が好適であり、本実施例では直径はφ10 mmとしている。貫通孔115Bは、ガス流出孔115Aと同様に、たとえば直径φ0.1 mmないし直径φ5 mm程度、望ましくは直径φ0.3 mmないしφ2 mm程度の大きさであり、本実施例では直径はφ0.5 mmとしている。また貫通孔115Bは、計測感度を向上させるために複数個、好ましくは数10個以上設けるのが望ましい。本実施例では、次に述べるように、約40個の孔が配置されている。   In this embodiment, the optical transmission body 141 is a cylindrical rod made of quartz. The diameter of the optical transmission body 141 is preferably about 5 mm to 30 mm, and in this embodiment, the diameter is 10 mm. The through hole 115B has a diameter of, for example, about φ0.1 mm to φ5 mm, preferably about φ0.3 mm to φ2 mm, as in the gas outflow hole 115A. In this embodiment, the diameter is φ0. 5 mm. Further, it is desirable to provide a plurality of through holes 115B, preferably several tens or more in order to improve measurement sensitivity. In the present embodiment, as described below, about 40 holes are arranged.

図3に、貫通孔115Bの配置の一実施例を示す。本実施例では、貫通孔115Bは、お互いに等間隔で正三角形をなすように、ピッチ1.5 mm間隔で、光伝送体141の端面に対応する領域に約40個の孔が配置されている。貫通孔115Bの直径はすでに述べたように本実施例ではφ0.5 mmとしているので、開口率(光伝送体141の端面の面積に対する貫通孔115Bの開口部の総和の割合)は約10 %(=(0.5(mm)×40(個))/(10)(mm))程度となり、十分な計測感度をとることができる。もちろん貫通孔の配置は、図3に限られるものではなく、たとえば図4のように、お互いに直交するように配置してもよく、あるいは同心円状に配置するなど様々な配置が可能である。 FIG. 3 shows an example of the arrangement of the through holes 115B. In the present embodiment, the through-holes 115B are arranged with approximately 40 holes in a region corresponding to the end face of the optical transmission body 141 at a pitch of 1.5 mm so as to form regular triangles at regular intervals. Yes. Since the diameter of the through hole 115B is φ0.5 mm in this embodiment as described above, the aperture ratio (the ratio of the sum of the openings of the through holes 115B to the area of the end face of the optical transmission body 141) is about 10%. (= (0.5 2 (mm 2 ) × 40 (pieces)) / (10 2 ) (mm 2 )), and sufficient measurement sensitivity can be obtained. Of course, the arrangement of the through-holes is not limited to that shown in FIG. 3. For example, as shown in FIG. 4, they may be arranged so as to be orthogonal to each other, or various arrangements such as concentric arrangement are possible.

なお、隣り合う貫通孔同士の間の幅をある程度あける(たとえば1 mm以上)必要があるので、貫通孔の直径が小さいほど開口率は減少する。たとえば、直径φ0.3 mmの孔を1.3 mmピッチ(開口部分の間の幅が1 mm)でφ10 mmの領域に形成する場合には、開口率は約5%となる。開口率が1%程度でも計測は可能ではあるが、エッチングレートなどをin-situに計測するためには、開口率が少なくとも5%程度以上であることが望ましく、したがって計測感度の観点から貫通孔の直径はφ0.3 mm程度以上であることが望ましい。一方、後に述べるように、貫通孔115Bの直径は分子の平均自由行程よりも十分に小さく設定することが望ましく、また異常放電を誘起させないためにも、貫通孔の直径はφ0.1 mm以上φ5 mm程度以下として、さらに好適には直径φ0.3 mm以上2 mm程度以下とするのが望ましい。   In addition, since it is necessary to open a certain width between adjacent through holes (for example, 1 mm or more), the aperture ratio decreases as the diameter of the through hole decreases. For example, when holes with a diameter of 0.3 mm are formed in a region of φ10 mm with a pitch of 1.3 mm (width between opening portions is 1 mm), the aperture ratio is about 5%. Although it is possible to measure even with an aperture ratio of about 1%, in order to measure the etching rate in-situ, it is desirable that the aperture ratio is at least about 5%. The diameter is preferably about φ0.3 mm or more. On the other hand, as will be described later, it is desirable that the diameter of the through hole 115B is set to be sufficiently smaller than the mean free path of the molecule, and in order not to induce abnormal discharge, the diameter of the through hole is φ0.1 mm or more and φ5. The diameter is preferably about mm or less, more preferably about φ0.3 mm or more and about 2 mm or less.

また、貫通孔115Bの直径は、ガス流出孔115Aと同一にしておけばプレート115の加工工程が増えることがなくコスト上昇を抑制できる利点があるが、もちろん孔径を必ずしも同一にする必要はなく、計測の感度や安定性などから最適な値に設定すればよい。また、貫通孔115Bの孔径はすべて同一とする必要もなく、たとえば外周側で孔径を大きくするなどとしてもよい。   Further, if the diameter of the through-hole 115B is the same as that of the gas outflow hole 115A, there is an advantage that the processing steps of the plate 115 are not increased and the cost increase can be suppressed, but of course, the hole diameter is not necessarily the same, What is necessary is just to set to the optimal value from the sensitivity of measurement, stability, etc. Further, it is not necessary that all the through holes 115B have the same hole diameter, and for example, the hole diameter may be increased on the outer peripheral side.

また、光伝送体141は、「透明」であること、すなわち可視光領域全域に対して透過性を持つことは必ずしも必要ではなく、計測する波長領域で十分な透過率を有していればよい。たとえば可視光領域をはさんで200 nmの紫外線領域から800 nmの近赤外領域を計測するのであれば石英やサファイアが好適である。一方、赤外領域で計測するのであれば、シリコンやあるいは赤外領域で良好な透過特性が得られるZnSなどの光学材料を使用してもよい。さらに、光伝送体141の端面に、イオンスパッタに対する耐性を向上させたり反射率を低減したりするなどの目的で、たとえばサファイアのAlOなどの薄膜を形成してもよい。 Further, the optical transmission body 141 is not necessarily required to be “transparent”, that is, to have transparency with respect to the entire visible light region, and may have sufficient transmittance in the wavelength region to be measured. . For example, quartz and sapphire are suitable if the near-infrared region of 800 nm is measured from the ultraviolet region of 200 nm across the visible light region. On the other hand, if measurement is performed in the infrared region, silicon or an optical material such as ZnS that can obtain good transmission characteristics in the infrared region may be used. Furthermore, a thin film such as sapphire Al 2 O 3 may be formed on the end face of the optical transmission body 141 for the purpose of improving the resistance to ion sputtering or reducing the reflectance.

計測ポート140は上記のような構造となっている。このような構成とすることにより、計測ポート140の部分で、異常放電や異物が発生したり、あるいは透過率などの光学的な性能が低下したりすることがなく、長期的に安定した計測が可能となる。以下、その理由を説明する。   The measurement port 140 has the above structure. By adopting such a configuration, the measurement port 140 does not cause abnormal discharge or foreign matter, or optical performance such as transmittance does not deteriorate, and stable measurement can be performed for a long time. It becomes possible. The reason will be described below.

先に従来技術の課題として言及したように、上部電極にφ10 mm程度の大きな穴を形成すると、穴の部分でホローカソードにより局部的な異常放電が発生したり、穴の内部にプラズマが侵入して損傷を与えたりする。これに対して本実施例では、貫通孔の直径をφ0.5 mm程度と小さく設定しているため、貫通孔の部分で異常放電が発生することはなく、またプラズマが貫通孔の内部に侵入することもない。本発明者らは、実験の結果、貫通孔115Bの直径をφ5 mm程度以下、より望ましくはφ2 mm程度以下とすることで上記のような異常が発生することなく計測が可能なことを確認した。また、光伝送体141が貫通孔115Bの背面にほぼ接するように設置されているので、貫通孔115Bと光伝送体141の間に異常放電をひきおこすような空間が存在せず、この部分でも異常放電は発生しない。   As mentioned above as a problem of the prior art, when a large hole of about φ10 mm is formed in the upper electrode, a local abnormal discharge is generated by the hollow cathode at the hole portion, or plasma penetrates into the hole. Damage it. In contrast, in this embodiment, the diameter of the through hole is set to be as small as about φ0.5 mm, so that no abnormal discharge occurs in the through hole portion, and plasma penetrates into the through hole. I don't have to. As a result of experiments, the present inventors have confirmed that measurement can be performed without causing the above-described abnormality by setting the diameter of the through-hole 115B to about φ5 mm or less, more preferably about φ2 mm or less. . Further, since the optical transmission body 141 is installed so as to be substantially in contact with the back surface of the through hole 115B, there is no space that causes abnormal discharge between the through hole 115B and the optical transmission body 141. There is no discharge.

また、本実施例では、光伝送体141の端面に反応生成物が付着することがなく、放電時間を重ねても透過率が低下することがないので、長期的に安定した計測が行える。   Further, in this embodiment, no reaction product adheres to the end face of the optical transmission body 141, and the transmittance does not decrease even if the discharge time is repeated, so that stable measurement can be performed over a long period.

これは、第一に、貫通孔115Bの直径は、分子の平均自由行程に比べて十分に小さい値に設定されていることによる。処理室内の動作圧力は0.5 Pa〜4 Pa程度であり、この場合の分子の平均自由行程λはおよそ5 mmないし30 mm程度である(Ar分子、25℃の場合)。これに対して、貫通孔115Bの直径Dhはφ0.5 mm程度であるので、分子の平均自由行程λとの比、すなわちDh/λの値は、およそDh/λ=0.02〜0.1である。このように貫通孔115Bの直径Dhを分子の平均自由行程λよりも十分に小さく設定しているので、プラズマP中のガス分子が貫通孔115Bの内部に侵入する確率は小さい。 First, this is because the diameter of the through-hole 115B is set to a value sufficiently smaller than the mean free path of the molecule. The operating pressure in the processing chamber is about 0.5 Pa to 4 Pa, and the mean free path λ of the molecules in this case is about 5 mm to 30 mm (Ar molecule, at 25 ° C.). On the other hand, since the diameter D h of the through-hole 115B is about φ0.5 mm, the ratio to the mean free path λ of the molecule, that is, the value of D h / λ is approximately D h /λ=0.02. ~ 0.1. This way, since the diameter D h of the through-hole 115B set sufficiently smaller than the mean free path of molecules lambda, the probability that gas molecules in the plasma P is entering the interior of the through-hole 115B is small.

第二に、貫通孔115Bは、本実施例では、直径がφ0.5 mmであるのに対して、プレートの厚みである奥行き方向の深さは6 mmに設定している。このようにアスペクト比(=深さ/直径)が10以上であり、孔が十分に深いので、ラジカルが貫通孔115Bを通過して光伝送体141の端面に付着する確率は十分小さくおさえられる。
ラジカルが光伝送体141の端面に付着する確率は、端面における貫通孔115B(穴径:D、長さ:L)を見込む立体角dΩに比例する。図5に、立体角dΩのアスペクト比(AR=L/D)依存性を示す。図より、立体角dΩはARの2乗に反比例し、立体角dΩがアスペクト比5以上であれば、立体角dΩは平面における立体角πの1/100以下であり、ラジカルが光伝送体141の端面に到達する確率は十分小さくなる。従って、光伝送体141の端面における汚れ防止効果を得るには、貫通孔115Bのアスペクト比をおよそ5以上100以内とすればよい。
また、プレート115はプラズマにより加熱されて表面温度が100 ℃以上となるので、貫通孔115Bの内部への反応生成物の付着確率は小さく、貫通孔115B内面に堆積物が付着・成長して貫通孔の実効的な透過面積が減少したりすることはない。
Secondly, in the present embodiment, the through hole 115B has a diameter of φ0.5 mm, whereas the depth in the depth direction, which is the thickness of the plate, is set to 6 mm. Thus, since the aspect ratio (= depth / diameter) is 10 or more and the hole is sufficiently deep, the probability that radicals pass through the through-hole 115B and adhere to the end face of the optical transmission body 141 is sufficiently small.
The probability that radicals adhere to the end face of the optical transmission body 141 is proportional to the solid angle dΩ in which the through hole 115B (hole diameter: D, length: L) is expected in the end face. FIG. 5 shows the dependency of the solid angle dΩ on the aspect ratio (AR = L / D). From the figure, the solid angle dΩ is inversely proportional to the square of AR, and if the solid angle dΩ is an aspect ratio of 5 or more, the solid angle dΩ is 1/100 or less of the solid angle π in the plane, and the radical is the light transmitter 141. The probability of reaching the end face is sufficiently small. Therefore, in order to obtain a stain prevention effect on the end face of the optical transmission body 141, the aspect ratio of the through hole 115B may be set to about 5 or more and 100 or less.
In addition, since the plate 115 is heated by the plasma and has a surface temperature of 100 ° C. or higher, the reaction product has a low probability of attaching to the inside of the through hole 115B, and deposits adhere and grow on the inner surface of the through hole 115B. The effective transmission area of the holes is not reduced.

第三に、プレート115には数10 Vないし数100 V程度のバイアス電圧が印加されるので、プラズマ中のイオンが貫通孔115Bの奥行き方向に引き込まれることになる。このため、光伝送体141の端面には、数10 eVから数100 eV程度のエネルギーを持ったイオンが高い確率ではないが到達しうる。このため、光伝送体141の端面に反応生成物が付着したとしても、イオンのスパッタ効果によりすみやかに除去される。そして、光伝送体141を耐プラズマ性の高いたとえば石英やサファイアで構成することにより、光伝送体141の端面の損傷による光学的な性能の低下を十分に小さくできる。   Third, since a bias voltage of about several tens of volts to several hundreds of volts is applied to the plate 115, ions in the plasma are drawn in the depth direction of the through hole 115B. For this reason, ions having energy of about several tens of eV to several hundreds of eV can reach the end face of the optical transmission body 141 with a high probability. For this reason, even if the reaction product adheres to the end face of the optical transmission body 141, it is quickly removed by the ion sputtering effect. By configuring the optical transmission body 141 with, for example, quartz or sapphire having high plasma resistance, it is possible to sufficiently reduce a decrease in optical performance due to damage to the end face of the optical transmission body 141.

これらの効果の総合的な結果として、光伝送体141は、端面に反応生成物が付着したり表面があれたりすることがなく、光透過特性が放電を重ねても一定に保たれるので、長期にわたって安定した計測が可能となる。   As a comprehensive result of these effects, the light transmission body 141 does not have reaction products attached to the end face or the surface thereof, and the light transmission characteristics are kept constant even after repeated discharges. Stable measurement is possible for a long time.

本発明者らは、上記の3つの要因について実験的な検討を重ねた結果、試料W表面の酸化膜に対してエッチング処理を行う場合について、本実施例で説明したように貫通孔の直径をφ0.5 mm、プレートの厚みを6 mmとすることで、異常放電が発生することなく、安定して計測が行えることを確認するに至った。図6は本実験で得られた信号波形の模式図である。エッチング処理の進行にともなって酸化膜表面および下地からの反射光による干渉状態が変化することによる干渉信号が得られており、この周期からエッチングレートがin-situに測定できる。 また、プラズマからの直接光であるプラズマ発光信号も同時に得られている。そして、エッチング処理の終点において、これらの干渉信号およびプラズマ発光信号が同時に変化しており、エッチング処理の終点における表面状態およびプラズマ組成の変化を検出できていることがわかる。これらの信号は、少なくとも放電数10時間以上にわたって十分な精度で検出可能であり、さらにこの間の異物の発生も20個以下(0.2μm以上)のレベルであり、安定して計測が行えることを確認した。   As a result of repeated experimental studies on the above three factors, the inventors have made the diameter of the through-hole as described in the present embodiment for the case where the oxide film on the surface of the sample W is etched. By setting the diameter to 0.5 mm and the thickness of the plate to 6 mm, it has been confirmed that stable measurement can be performed without causing abnormal discharge. FIG. 6 is a schematic diagram of signal waveforms obtained in this experiment. As the etching process proceeds, an interference signal is obtained by changing the interference state due to the reflected light from the oxide film surface and the base, and the etching rate can be measured in-situ from this period. Further, a plasma emission signal which is direct light from plasma is also obtained at the same time. Then, it can be seen that at the end point of the etching process, the interference signal and the plasma emission signal are changed at the same time, and the change in the surface state and the plasma composition at the end point of the etching process can be detected. These signals can be detected with sufficient accuracy for at least 10 hours of discharge, and the generation of foreign matter during this period is at the level of 20 or less (0.2 μm or more), and can be measured stably. confirmed.

なお、図2からわかるように、光伝送体141は保持手段142と真空封止手段143のみにより固定と真空シールがなされているので、処理室の大気開放時には、保持手段142をはずせば容易に交換可能な構造となっている。このため、プロセス条件によって光伝送体141の端面に堆積物が徐々に付着したり、イオンでスパッタされて表面があれたりした場合には、プラズマ処理装置を大気開放してウエットクリーニングを行う際に、光伝送体141を交換することが容易に可能であり、ウエットクリーニング(全掃)の際のダウンタイムを最小限にすることができる。   As can be seen from FIG. 2, the optical transmission body 141 is fixed and vacuum-sealed only by the holding means 142 and the vacuum sealing means 143. Therefore, when the processing chamber is opened to the atmosphere, it is easy to remove the holding means 142. It has a replaceable structure. For this reason, when deposits gradually adhere to the end face of the optical transmission body 141 or are sputtered by ions depending on the process conditions, the plasma processing apparatus is opened to the atmosphere and wet cleaning is performed. The optical transmission body 141 can be easily replaced, and the downtime during wet cleaning (full sweep) can be minimized.

次に、貫通孔115Bをとおした計測をおこなう検出光学系について、他の実施例を図7から図8を用いて説明する。図2の実施例においては、光学伝送手段151には光ファイバを用いており、光路144の途中のプラズマPからの直接光145PやプラズマPの試料W表面での反射光・干渉光145Wのすべてが光ファイバに入射して計測される。これは、特にエッチング処理の進行にともなってプラズマ中のラジカル組成が変化する場合にその変化を検出するのに好適な構成である。一方、試料Wの薄膜の厚さなどの表面状態の変化を敏感に検出するためには、プラズマPからの直接光は計測にとってはノイズ成分となるので、計測系には検出されない方が望ましいこともある。この場合には、光学系にはレンズなどを用いた結像光学系を用いるのが好適である。   Next, another embodiment of the detection optical system that performs measurement through the through hole 115B will be described with reference to FIGS. In the embodiment of FIG. 2, an optical fiber is used for the optical transmission means 151, and all of the direct light 145P from the plasma P in the optical path 144 and the reflected light / interference light 145W on the surface of the sample W of the plasma P are all. Is incident on the optical fiber and measured. This is a configuration suitable for detecting a change in the radical composition in the plasma, particularly as the etching process proceeds. On the other hand, in order to detect a change in the surface state such as the thickness of the thin film of the sample W sensitively, it is desirable that the direct light from the plasma P becomes a noise component for the measurement and therefore not be detected by the measurement system. There is also. In this case, it is preferable to use an imaging optical system using a lens or the like as the optical system.

図7は、このような光学系の一実施例を示したものである。本実施例では光学伝送手段151に結像手段としてのレンズ151Aを用いており、試料W表面からの光学情報をたとえばカメラや画像処理装置などの計測器152の検出素子部152Aに結像させている。検出素子152Aの直前にしぼりやピンホールなどの空間フィルタ152Bを配置することで、プラズマPからの直接光145Pを遮断して試料Wの表面からの光学情報145Wのみを検出素子152Aに伝送できるので、試料Wの表面状態に対する検出・計測の感度を向上させることができる。   FIG. 7 shows an embodiment of such an optical system. In this embodiment, the optical transmission means 151 uses a lens 151A as an imaging means, and optical information from the surface of the sample W is imaged on, for example, a detection element portion 152A of a measuring instrument 152 such as a camera or an image processing apparatus. Yes. By arranging a spatial filter 152B such as a squeeze or pinhole immediately before the detection element 152A, the direct light 145P from the plasma P can be blocked and only the optical information 145W from the surface of the sample W can be transmitted to the detection element 152A. The sensitivity of detection and measurement with respect to the surface state of the sample W can be improved.

本実施例においては、光学系の途中に貫通孔115Bがあるので、光路がさえぎられて試料W表面の計測が困難であるように思われるかもしれない。しかしながら、貫通孔115Bのアスペクト比(=深さ/直径)を試料W表面からの光の拡がりとの関係で適正な値に設定することで、貫通孔115Bが光路を遮断することなく計測が可能となる。   In the present embodiment, since the through-hole 115B is provided in the middle of the optical system, it may seem that the optical path is blocked and it is difficult to measure the surface of the sample W. However, by setting the aspect ratio (= depth / diameter) of the through hole 115B to an appropriate value in relation to the spread of light from the surface of the sample W, measurement is possible without the through hole 115B blocking the optical path. It becomes.

これを、図8を用いてより詳しく説明する。図8は、図7の実施例において、計測および光学系に関する部分のみを抜き出した模式図である。図中の記号は次のとおりである。   This will be described in more detail with reference to FIG. FIG. 8 is a schematic diagram in which only the part relating to the measurement and optical system is extracted from the embodiment of FIG. The symbols in the figure are as follows.

Dh:貫通孔115Bの直径
Lh:貫通孔115Bの深さ
(プレート115の厚みに等しい)
Lg:試料Wとプレート115の距離
(図1の実施例で説明したギャップに相当する)
Lz:試料Wから結像手段151Aまでの距離
(Lz−Lgが図1の実施例で説明したアンテナ部分の厚みに相当する)
Dz:結像手段(本実施例ではレンズ)151Aの有効径
(光伝送体141の直径Drにほぼ等しい)
そして、本実施例におけるおのおのの実際の値は、すでに述べたように、
Dh=φ0.5 mm、Lh=6 mm、Lg=70 mm、Dr=Dz=φ10 mm、
としている。また、アンテナ部分の厚みはLz−Lg=80 mmであるので、
Lz=150 mm
となっている 。
D h : Diameter of the through hole 115B
L h : depth of the through hole 115B (equal to the thickness of the plate 115)
L g : distance between the sample W and the plate 115 (corresponding to the gap described in the embodiment of FIG. 1)
L z : Distance from sample W to imaging means 151A (L z −L g corresponds to the thickness of the antenna portion described in the embodiment of FIG. 1)
D z: imaging means effective diameter of 151A (lens in the present embodiment) (approximately equal to the diameter D r of the optical transmitter 141)
And the actual value of each in this example, as already mentioned,
D h = φ0.5 mm, L h = 6 mm, L g = 70 mm, D r = D z = φ10 mm,
It is said. Moreover, since the thickness of the antenna portion is L z −L g = 80 mm,
L z = 150 mm
It has become.

ここで、試料W表面からの拡がり角θは、結像手段151Aの試料Wからの距離Lzと有効径Dzとの比Lz/Dzをもとにθz=tan-1((Dz/2) / Lz)で示される。本実施例の場合はθh=1.9°となる。試料W表面からの光の拡がり角に相当するLz/Dzは15程度であり、これに対して貫通孔115Bのアスペクト比Lh/Dhにもとづく見込み角θhをθh =tan-1((Dh/2) / Lh)で定義するとθz=2.3°とθh=よりもやや小さい値となっている。このように、試料W表面からの光の拡がり角θhを貫通孔115Bの見込み角θzよりもやや小さく設定することで、試料W表面からの光は貫通孔115Bで遮られることなく、結像手段151Aに到達して、検出素子152Aに焦点を結ぶことになる。 Here, the divergence angle θ from the surface of the sample W is determined based on the ratio Lz / Dz between the distance Lz from the sample W of the imaging means 151A and the effective diameter Dz to θz = tan-1 ((Dz / 2) / Lz). In this embodiment, θh = 1.9 °. L z / D z corresponding to the divergence angle of the light from the surface of the sample W is about 15. On the other hand, the expected angle θ h based on the aspect ratio L h / D h of the through-hole 115B is set to θ h = tan-1 ( When defined by (Dh / 2) / Lh), θz = 2.3 °, which is slightly smaller than θh =. Thus, by setting the light divergence angle θh from the surface of the sample W to be slightly smaller than the expected angle θz of the through-hole 115B, the light from the surface of the sample W is not blocked by the through-hole 115B, and the imaging means 151A is reached and the detection element 152A is focused.

図8には、このことを実験的に確認した様子を示している。試料Wの表面に数mm角の大きさの文字の像Img1を記しておく。そして、プラズマ処理中に試料W表面を観察したところ、検出素子152A上に像Img1が光学的に伝送されて、計測器152の表示画面152Cに像Img2が表示された。この像Img2は、その外周部に貫通孔115Bによる「けられ」の影響がわずかに認められる(図8では同心円状の破線で表現している)ものの、もとの像Img1の情報を十分に保有しており、試料W表面の薄膜の状態を測定するのに十分な質を有していた。そして、プラズマPにより試料W表面の酸化膜に対してエッチング処理を行ったところ、エッチング処理の進行にともなう酸化膜の厚さの変化に対応して、図6で示したものと同様に酸化膜表面および下地からの反射光による干渉信号が得られて、エッチングレートがin-situに測定できることが実験的に確認できた。   FIG. 8 shows a state in which this is confirmed experimentally. A character image Img1 having a size of several mm square is recorded on the surface of the sample W. Then, when the surface of the sample W was observed during the plasma processing, the image Img1 was optically transmitted on the detection element 152A, and the image Img2 was displayed on the display screen 152C of the measuring instrument 152. Although this image Img2 has a slight influence of “cutting” by the through-hole 115B on its outer peripheral part (represented by a concentric broken line in FIG. 8), the information of the original image Img1 is sufficiently obtained. Possessed and had a quality sufficient to measure the state of the thin film on the surface of the sample W. Then, when the etching process was performed on the oxide film on the surface of the sample W by the plasma P, the oxide film similar to that shown in FIG. 6 corresponding to the change in the thickness of the oxide film as the etching process progressed. It was experimentally confirmed that an interference signal due to reflected light from the surface and the ground was obtained, and the etching rate could be measured in-situ.

ところで、これまでの実施例では、光伝送体141に石英製の棒状体(ロッド)を用いていたが、これは一例であって、別の構成も可能であることはいうまでもない。他の実施例を、図9により説明する。図9は、光伝送体141として、棒状体(ロッド)の内部をくりぬいて中空として、そこに光学伝送手段151として光ファイバを挿入した構成としている。また、図9においては、円板状導電体111の、貫通孔115Bに相当する部分にガス導入部分111Bがもうけられている。このため、光伝送体141の端面に反応生成物が付着しやすいようなプロセス条件であっても、プロセスガスがガス導入部分111Bからも供給されるので、反応生成物の堆積を防止することができる。また図9においては、光伝送体141を透過する光路を短くできるので、光学情報の損失を低減できる効果もある。
次に、異物発生の原因となるサセプタ周りや処理室の側壁に堆積する反応生成物量の変動を検出する実施例を図10を用いて説明する。ここで、図1と同一部品の説明は省略する。光が反射する反射体169Aや196Bをサセプタをカバーする絶縁体133の側壁や1処理室の側壁102に設置し、その反射体と対向した位置に本発明の貫通孔を有する計測ポート160Aや161Aを設置し、反射体からの反射光あるいは干渉光の変動を光伝送手段161Aや161Bを介し、光計測器152に伝送し計測する。計測器152は計測器制御・演算手段162により制御されるとともに、計測される反射光あるいは干渉光が大きく変動した場合、表示手段164により警告が発せられる。
本実施例によれば、異物の原因となるサセプタ周りや処理室の側壁に堆積した反応生成物が反射体より剥れると反射体からの光量が変化するので、その変動を検出することにより、異物発生の多発を防止する警告を出すことができる。この警告により、適切な全掃時期を決定し、装置運用時の異常を未然に防止することが可能となる。
In the above embodiments, a quartz rod-shaped body (rod) is used as the optical transmission body 141. However, this is only an example, and it goes without saying that other configurations are possible. Another embodiment will be described with reference to FIG. FIG. 9 shows a configuration in which a rod-shaped body (rod) is hollowed out as an optical transmission body 141 and an optical fiber is inserted therein as an optical transmission means 151. In FIG. 9, a gas introduction portion 111B is provided in a portion corresponding to the through hole 115B of the disk-shaped conductor 111. For this reason, even if the process condition is such that the reaction product easily adheres to the end face of the optical transmission body 141, the process gas is supplied also from the gas introduction part 111B, so that the deposition of the reaction product can be prevented. it can. In FIG. 9, since the optical path that passes through the optical transmission body 141 can be shortened, the loss of optical information can be reduced.
Next, an embodiment for detecting fluctuations in the amount of reaction product deposited around the susceptor and on the side wall of the processing chamber, which causes foreign matter, will be described with reference to FIG. Here, description of the same components as those in FIG. 1 is omitted. Reflectors 169A and 196B that reflect light are installed on the side wall of the insulator 133 that covers the susceptor or the side wall 102 of one processing chamber, and the measurement ports 160A and 161A having the through holes of the present invention at positions facing the reflector. , And the fluctuation of reflected light or interference light from the reflector is transmitted to the optical measuring device 152 via the optical transmission means 161A and 161B and measured. The measuring instrument 152 is controlled by the measuring instrument control / calculation means 162, and a warning is issued by the display means 164 when the reflected light or interference light to be measured fluctuates greatly.
According to the present embodiment, the amount of light from the reflector changes when the reaction product deposited around the susceptor and the side wall of the processing chamber causing the foreign matter is peeled off from the reflector. A warning that prevents frequent occurrence of foreign matter can be issued. By this warning, it is possible to determine an appropriate sweeping time and prevent an abnormality during operation of the apparatus.

次に、連続エッチング処理時の装置診断に関するプレート115の消耗を検出する実施例を図11、12を用いて説明する。
プレート115は、ウェハのエッチング処理時に、アンテナ電源121により高周波電力が印加されるため、エッチングされ消耗する。図11はプレート115にあるガス流出孔115A断面の処理時間依存性を示したものである。図に示すように、エッチング処理時間が長くなると、プレートの厚みが減ると共に、処理室側の穴径が広がる。更にエッチング処理を続行すると、ガス流出孔115Aは厚さ約4.5mm、穴径約1.3mmの貫通穴となる。このようなガス流出孔では、穴の面積がエッチング処理初期に比べ約10.6倍増加しているために、エッチングガス供給状態が大きく変化したり、ガス流出孔内での異常放電を誘発したり、異物が発生したりする可能性が高くなり、連続エッチング処理に重大な損傷を引き起こす。
図12に、連続処理実験時のガス流出孔形状より換算し予想した立体角dΩの変化を実線により示す。また、その時の発光量変動を●印により重ねて示す。図より、処理時間400hr以内においては、立体角dΩは大きく変化しないが、処理時間500hr以上になると、急激に増加する、また発光量の変化も同様の傾向を持っていることがわかる。このことより、処理時間が500hr以上になると、連続エッチング処理に重大な損傷を引き起こす可能性が高くなっていることがわかる。
本実施例によれば、本発明の貫通孔を有する計測ポートより計測される発光量を監視することにより、プレート115の消耗を検知し、装置運用時の異常を未然に防止することが可能となる。
Next, an embodiment for detecting the consumption of the plate 115 related to the apparatus diagnosis during the continuous etching process will be described with reference to FIGS.
The plate 115 is etched and consumed because high frequency power is applied by the antenna power supply 121 during the wafer etching process. FIG. 11 shows the processing time dependence of the cross section of the gas outflow hole 115A in the plate 115. As shown in the figure, when the etching processing time is increased, the thickness of the plate is reduced and the hole diameter on the processing chamber side is increased. When the etching process is further continued, the gas outflow hole 115A becomes a through hole having a thickness of about 4.5 mm and a hole diameter of about 1.3 mm. In such a gas outflow hole, the area of the hole is increased by about 10.6 times compared to the initial stage of the etching process, so that the etching gas supply state changes greatly or an abnormal discharge is induced in the gas outflow hole. Or foreign matter is generated, which causes serious damage to the continuous etching process.
In FIG. 12, the change of the solid angle dΩ predicted from the gas outflow hole shape in the continuous treatment experiment is shown by a solid line. In addition, fluctuations in the amount of light emission at that time are indicated by ● marks. From the figure, it can be seen that the solid angle dΩ does not change significantly within the processing time of 400 hours, but increases rapidly when the processing time is 500 hours or more, and the change in the light emission amount has the same tendency. From this, it can be seen that when the processing time is 500 hours or more, there is a high possibility of causing serious damage to the continuous etching process.
According to the present embodiment, by monitoring the light emission amount measured from the measurement port having the through hole of the present invention, it is possible to detect the consumption of the plate 115 and prevent an abnormality during operation of the apparatus. Become.

なお、前記の各実施例は、いずれも有磁場UHF帯電磁波放射放電方式のプラズ
マ処理装置の場合であったが、放射される電磁波はUHF帯以外にも、たとえば2.45 GHzのマイクロ波や、あるいは数10 MHzから300 MHz程度までのVHF帯でもよい。また、磁場強度は、450 MHzに対する電子サイクロトロン共鳴磁場強度である160ガウスの場合について説明したが、必ずしも共鳴磁場を用いる必要はなく、これよりも強い磁場やあるいは数10ガウス程度以上の弱い磁場を用いてもよい。さらに電磁波放射放電方式だけでなく、容量結合型の平行平板プラズマ処理装置やマグネトロン型のプラズマ処理装置、あるいは誘導結合型のプラズマ処理装置にも、本発明が同様に適用できることはいうまでもない。
Each of the above embodiments was a case of a magnetic processing UHF band electromagnetic wave radiation discharge type plasma processing apparatus, but the radiated electromagnetic wave is not limited to the UHF band, for example, a 2.45 GHz microwave or Alternatively, the VHF band from several tens of MHz to about 300 MHz may be used. In addition, the magnetic field strength has been described for the case of 160 gauss, which is the electron cyclotron resonance magnetic field strength for 450 MHz, but it is not always necessary to use the resonant magnetic field, and a stronger magnetic field or a weak magnetic field of about several tens of gauss or more is not necessary. It may be used. Furthermore, it goes without saying that the present invention can be applied not only to the electromagnetic wave radiation discharge method but also to a capacitively coupled parallel plate plasma processing apparatus, a magnetron type plasma processing apparatus, or an inductively coupled plasma processing apparatus.

特に、下部電極に高周波を印加して上部にアース板を設けるタイプのプラズマ処理装置では、ウエハと対向する上板の構造が比較的簡便なので、本発明と同様な計測ポートを設けることが容易に可能である。また、上部電極に高周波を印加してプラズマを発生させるいわゆる平行平板型のプラズマ処理装置では、上部電極に数kWの大電力の高周波を印加するので上部電極に孔や空隙などをもうけると異常放電が発生しやすいが、本発明の構造によれば計測ポート部分で異常放電などが発生することがない。特にいわゆる狭電極型の平行平板プラズマ装置では、上下電極の間隙が小さいためにウエハ表面の情報や上下電極間のプラズマの情報を側方から得ることはきわめて困難であるので、本発明による利点は大きい。   In particular, in a plasma processing apparatus of a type in which a high frequency is applied to the lower electrode and a ground plate is provided on the upper portion, the structure of the upper plate facing the wafer is relatively simple, so that it is easy to provide a measurement port similar to the present invention. Is possible. Also, in so-called parallel plate type plasma processing equipment that generates plasma by applying a high frequency to the upper electrode, a high power of several kW is applied to the upper electrode, so abnormal discharge occurs if holes or voids are made in the upper electrode. However, according to the structure of the present invention, abnormal discharge or the like does not occur in the measurement port portion. In particular, in a so-called narrow electrode type parallel plate plasma apparatus, since the gap between the upper and lower electrodes is small, it is very difficult to obtain information on the wafer surface and information on the plasma between the upper and lower electrodes from the side. large.

一方、誘導結合(ICP)型のプラズマ処理装置では、天板に透明な石英を用い
る場合にはウエハ表面の状態計測もある程度可能ではあるが、たとえばアルミナ製のドームやシリコンプレートなどを用いる場合には、本発明による計測ポートが適用できる。具体的には、たとえばアルミナ製のプレートに図3で示したような多数の密集した孔を形成してその裏面に真空シール用の石英板をもうけるといった構造が当業者であれば容易に設計できるであろう。ICP型のプラズマ処理装
置では、プロセス特性や再現性を得るために天版をたとえば150℃以上の高温に温調する必要がある場合があるが、このような温度条件でも本発明が適用できることは言うまでもない。
On the other hand, in the inductively coupled (ICP) type plasma processing apparatus, when transparent quartz is used for the top plate, it is possible to measure the state of the wafer surface to some extent. For example, when using an alumina dome or silicon plate, etc. The measurement port according to the present invention can be applied. Specifically, for example, a person skilled in the art can easily design a structure in which a large number of dense holes as shown in FIG. 3 are formed in an alumina plate and a quartz plate for vacuum sealing is provided on the back surface thereof. Will. In an ICP type plasma processing apparatus, it may be necessary to adjust the temperature of the top plate to a high temperature of, for example, 150 ° C. or higher in order to obtain process characteristics and reproducibility. However, the present invention can be applied even under such temperature conditions. Needless to say.

また、前記の各実施例は、いずれも処理対象が半導体ウエハであり、これに対するエッチング処理の場合であったが、本発明はこれに限らず、例えば処理対象が液晶基板の場合にも適用でき、また処理自体もエッチングに限らず、たとえばスパッタリングやCVD処理に対しても適用可能である。   In each of the above embodiments, the object to be processed is a semiconductor wafer and the etching process is performed on the semiconductor wafer. However, the present invention is not limited to this. For example, the present invention can be applied to a case where the object to be processed is a liquid crystal substrate. Further, the process itself is not limited to etching, and can be applied to, for example, sputtering or CVD process.

本発明の一実施例である、プラズマエッチング装置の断面模式図である。It is a cross-sectional schematic diagram of the plasma etching apparatus which is one Example of this invention. 本発明の要部である、貫通孔部分の構造を示す図である。It is a figure which shows the structure of the through-hole part which is the principal part of this invention. 本発明における貫通孔の配置の一実施例を示す図である。It is a figure which shows one Example of arrangement | positioning of the through-hole in this invention. 本発明における貫通孔の配置の他の実施例を示す図である。It is a figure which shows the other Example of arrangement | positioning of the through-hole in this invention. 本発明における貫通孔の立体角に対するアスペクト比依存性を示す図である。It is a figure which shows the aspect-ratio dependence with respect to the solid angle of the through-hole in this invention. 本実施例において実験的に得られた信号波形の模式図を示す図である。It is a figure which shows the schematic diagram of the signal waveform experimentally obtained in the present Example. 本発明の他の実施例として、結像光学系を用いた例を説明する図である。It is a figure explaining the example using an imaging optical system as other examples of the present invention. 図6の実施例における作用を説明する図である。It is a figure explaining the effect | action in the Example of FIG. 本発明の他の実施例として、光伝送体に中空型の構造を用いた例を説明する図である。It is a figure explaining the example which used the hollow structure for the optical transmission body as another Example of this invention. 本発明の他の実施例として、反射体をサセプタや処理室側壁に設けることにより装置診断を行った例を説明する図である。It is a figure explaining the example which performed the apparatus diagnosis as another Example of this invention by providing a reflector in a susceptor or a process chamber side wall. 本発明の他の実施例として、ガス供給プレートの消耗検知に関する装置診断を行った例を説明するガス流出孔の断面図である。It is sectional drawing of the gas outflow hole explaining the example which performed the apparatus diagnosis regarding the exhaustion detection of a gas supply plate as another Example of this invention. 図11の実施例における発光変化と立体角変化を説明する図である。It is a figure explaining the light emission change and solid angle change in the Example of FIG.

符号の説明Explanation of symbols

100…処理室、101…磁場形成手段、102…側壁、103…真空室、、110…アンテナ、130…下部電極、115…プレート、115B…貫通孔、141…光伝送体、151…光学伝送手段、152…計測器、W…試料。
DESCRIPTION OF SYMBOLS 100 ... Processing chamber, 101 ... Magnetic field formation means, 102 ... Side wall, 103 ... Vacuum chamber, 110 ... Antenna, 130 ... Lower electrode, 115 ... Plate, 115B ... Through-hole, 141 ... Optical transmission body, 151 ... Optical transmission means 152 ... Measuring instrument, W ... Sample.

Claims (4)

真空容器と、この内側において下方に配置された試料台及び上方に配置され前記試料台に載置されるウエハに対向して配置されるプレートと、このプレートと前記ウエハとの間に配置されてこのウエハを処理するためのプラズマが形成される空間と、前記真空容器内であって前記プレートの上方に配置され前記プラズマを形成するための電界を供給する円板状の導電性部材を含む電界供給手段と、前記プレート上に配置された貫通孔と、前記貫通孔の背面の前記真空容器の内側の前記電界供給手段の内側にこの真空容器の外から取り外し可能に配置されこの貫通孔を通過した前記真空容器内からの光を透過する光伝送休を有する受光手段とを備えたプラズマ処理装置であって、
前記プレートに前記処理中に電力が供給され、前記真空容器に対して前記光伝送体を取り付けて保持する保持手段と、前記光伝送体の前記真空容器内側の箇所に設けられ前記貫通孔側の径より段差を有して径が大きくされた大径部分と、この段差の上方の前記光伝送体の表面と接して配置され前記保持手段による取り付けによって前記真空容器の内側と外側との間で前記光伝送体をシールする手段とを備えたプラズマ処理装置。
A vacuum vessel, a sample stage disposed below the inside of the vacuum container, a plate disposed above the wafer placed above and placed on the sample stage, and disposed between the plate and the wafer. A space in which plasma for processing the wafer is formed; and a disk-shaped conductive member that is disposed in the vacuum vessel and above the plate to supply an electric field for forming the plasma. An electric field supply means, a through hole arranged on the plate, and an inside of the electric field supply means inside the vacuum vessel on the back of the through hole are detachably arranged from the outside of the vacuum container. A plasma processing apparatus comprising: a light receiving means having an optical transmission suspension that transmits light from the inside of the vacuum vessel that has passed through;
Electric power is supplied to the plate during the processing, the holding means for attaching and holding the optical transmission body with respect to the vacuum vessel, and the through hole side provided at a location inside the vacuum vessel of the optical transmission body A large-diameter portion having a step larger than the diameter and having a larger diameter, and the surface of the optical transmission body above the step is disposed between the inside and the outside of the vacuum vessel by being attached by the holding means. A plasma processing apparatus comprising: means for sealing the optical transmission body.
請求項1に記載のプラズマ処理装置であって、前記光伝送体が前記保持手段の取り外しによって前記真空容器外に取り出し可能に構成されたプラズマ処理装置。 The plasma processing apparatus according to claim 1, wherein the optical transmission body is configured to be able to be taken out of the vacuum container by removing the holding means . 請求項1または2に記載のプラズマ処理装置であって、前記光伝送体が前記ウエハに対して平行して対向する前記電界供給手段の前記円板状の導電性部材に取り付けられたプラズマ処理装置。 3. The plasma processing apparatus according to claim 1 , wherein the optical transmission body is attached to the disk-shaped conductive member of the electric field supply unit facing the wafer in parallel. 4. . 請求項2に記載のプラズマ処理装置であって、前記受光手段が前記真空容器にシールされて取り付けられたプラズマ処理装置。   The plasma processing apparatus according to claim 2, wherein the light receiving means is sealed and attached to the vacuum vessel.
JP2003424390A 2000-02-21 2003-12-22 Plasma processing equipment Expired - Lifetime JP4098711B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003424390A JP4098711B2 (en) 2000-02-21 2003-12-22 Plasma processing equipment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000048933 2000-02-21
JP2003424390A JP4098711B2 (en) 2000-02-21 2003-12-22 Plasma processing equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001045757A Division JP3643540B2 (en) 2000-02-21 2001-02-21 Plasma processing equipment

Publications (2)

Publication Number Publication Date
JP2004119995A JP2004119995A (en) 2004-04-15
JP4098711B2 true JP4098711B2 (en) 2008-06-11

Family

ID=32300052

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003424390A Expired - Lifetime JP4098711B2 (en) 2000-02-21 2003-12-22 Plasma processing equipment

Country Status (1)

Country Link
JP (1) JP4098711B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US20230076170A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Metrology slot plates

Also Published As

Publication number Publication date
JP2004119995A (en) 2004-04-15

Similar Documents

Publication Publication Date Title
KR100545034B1 (en) Plasma processing apparatus and method for processing substrate
JP3709552B2 (en) Plasma processing apparatus and plasma processing method
JP3643540B2 (en) Plasma processing equipment
US7658815B2 (en) Plasma processing apparatus capable of controlling plasma emission intensity
JP5149610B2 (en) Plasma processing equipment
US6796269B2 (en) Apparatus and method for monitoring plasma processing apparatus
WO1999065056A1 (en) Chamber having improved process monitoring window
JP2011029475A (en) Plasma processing device, and plasma processing method
TW201447961A (en) Control method of plasma processing apparatus
JP2011014579A (en) Device and method of plasma processing
KR20180085371A (en) Plasma parameters and skew characterization by high speed imaging
JP2007324154A (en) Plasma treating apparatus
JP4018959B2 (en) Plasma processing equipment
JP4098711B2 (en) Plasma processing equipment
JP4619468B2 (en) Plasma processing method, plasma processing apparatus, and plasma monitoring apparatus
JPH01283359A (en) Plasma treatment apparatus
JP4109020B2 (en) Plasma processing equipment
JP3727620B2 (en) Plasma processing apparatus with a window for measurement
JP2000164392A (en) Microwave plasma treating device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070227

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070427

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070904

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080313

R150 Certificate of patent or registration of utility model

Ref document number: 4098711

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110321

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110321

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120321

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130321

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130321

Year of fee payment: 5

EXPY Cancellation because of completion of term