JP4563811B2 - Interferometry and scanning interferometers for ellipsometry, reflected light and scattered light measurements, including characterization of thin film structures - Google Patents

Interferometry and scanning interferometers for ellipsometry, reflected light and scattered light measurements, including characterization of thin film structures Download PDF

Info

Publication number
JP4563811B2
JP4563811B2 JP2004534816A JP2004534816A JP4563811B2 JP 4563811 B2 JP4563811 B2 JP 4563811B2 JP 2004534816 A JP2004534816 A JP 2004534816A JP 2004534816 A JP2004534816 A JP 2004534816A JP 4563811 B2 JP4563811 B2 JP 4563811B2
Authority
JP
Japan
Prior art keywords
light
angle
interferometer
test object
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004534816A
Other languages
Japanese (ja)
Other versions
JP2005538359A (en
Inventor
グロート、ピーター ジェイ. デ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zygo Corp
Original Assignee
Zygo Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zygo Corp filed Critical Zygo Corp
Publication of JP2005538359A publication Critical patent/JP2005538359A/en
Application granted granted Critical
Publication of JP4563811B2 publication Critical patent/JP4563811B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/50Pupil plane manipulation, e.g. filtering light of certain reflection angles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Description

本発明は薄膜あるいは異種材料からなるハイブリッド構造を有する物体の表面トポグラフィ測定に関する。そのような測定は、フラットパネルディスプレイ部品の特性評価、半導体ウェーハの計測、ならびに薄膜および異種材料のその場解析に関連する。   The present invention relates to surface topography measurement of an object having a hybrid structure made of a thin film or different materials. Such measurements relate to characterization of flat panel display components, semiconductor wafer measurements, and in situ analysis of thin films and dissimilar materials.

偏光解析法は複雑な表面の光学特性を解析するために用いられる。偏光解析法は、或る傾斜角、たとえば60°で照明されたときの表面の複素反射率の差を基にするが、可変の角度あるいは複数の波長が用いられる場合がある。当該技術分野において、数多くのタイプの偏光解析装置が知られている。   Ellipsometry is used to analyze the optical properties of complex surfaces. Ellipsometry is based on the difference in complex reflectivity of the surface when illuminated at a certain tilt angle, eg 60 °, but variable angles or multiple wavelengths may be used. Numerous types of ellipsometers are known in the art.

従来の偏光解析装置において容易に達成され得る分解能よりもさらに高い分解能を達成するために、超小型偏光解析装置によって、物体の後部焦点面において位相および/または強度分布が測定される。これは瞳面としても知られており、種々の照明角度が視野位置にマッピングされる。そのようなデバイスは、歴史的には結晶学および鉱物学に関連した、交差偏光子およびベルトランレンズを用いて複屈折材料の瞳面を解析する、従来の偏光顕微鏡あるいは「コノスコープ」を現代風に改変したものである。   In order to achieve a higher resolution than can easily be achieved in a conventional ellipsometer, the microscopic ellipsometer measures the phase and / or intensity distribution in the back focal plane of the object. This is also known as the pupil plane, and various illumination angles are mapped to the field position. Such devices are modern in style with traditional polarizing microscopes or “conoscopes” that analyze the pupil plane of birefringent materials using crossed polarizers and Bertrand lenses, historically related to crystallography and mineralogy. Is modified.

本発明の実施形態は、少なくとも部分的には、干渉計の種々の入射角(たとえば、高いNAの対物レンズを有する)が、干渉計に対してテストサンプルあるいは参照ミラーを走査する(たとえば、テストサンプルあるいは参照ミラー上に光を集束するために用いられる対物レンズに対して上下に動かす)ことによって生成される干渉縞が、対応する空間周波数により区別できるという方法に基づく。それゆえ、そのような干渉縞を数学的に空間周波数分解することにより、サンプル表面から反射される(あるいは散乱される)光の相対的な振幅および位相を角度の関数として求めることができる。これによって得られた情報は、対物レンズの瞳面の照明分布の較正および瞳面を横切る照明の偏光状態とともに、検出器アレイ上に瞳面を直に結像させることを必要とすることなく、視野内のピクセル毎に多角度の反射(あるいは散乱)振幅および位相情報を与える。これらの多角度データを用いて、表面高のプロファイル情報と同時に、薄膜厚および/または複素屈折率のようなサンプル表面特性が、ピクセル毎に高い横方向分解能で得られる。   Embodiments of the present invention, at least in part, allow different angles of incidence of an interferometer (eg, having a high NA objective lens) to scan a test sample or reference mirror (eg, test) against the interferometer. The interference fringes generated by moving up and down relative to the objective lens used to focus the light on the sample or reference mirror are based on a method that can be distinguished by the corresponding spatial frequency. Therefore, by mathematically spatial frequency resolving such interference fringes, the relative amplitude and phase of light reflected (or scattered) from the sample surface can be determined as a function of angle. The information obtained by this, together with the calibration of the illumination distribution of the pupil plane of the objective lens and the polarization state of the illumination across the pupil plane, does not require the pupil plane to be imaged directly on the detector array, Multi-angle reflection (or scattering) amplitude and phase information is provided for each pixel in the field of view. Using these multi-angle data, sample surface properties such as thin film thickness and / or complex refractive index can be obtained with high lateral resolution for each pixel, as well as surface height profile information.

本発明の実施形態は典型的には干渉計、たとえばミロー(Mirau)型、リンニク(Linnik)型、マイケルソン(Michelson)型などの干渉対物レンズを備える干渉顕微鏡を含む。対物レンズはサンプル表面を照明し、その表面からの光を、或る範囲の入射角φにわたって収集する。たとえば、約0.75の開口数(NA)を有する干渉対物レンズの場合、φ=0〜50°である。照明の偏光には、ラジアル偏光、直線偏光、円偏光、視野に依存する偏光あるいは調整可能な偏光を用いることができる。典型的には、その装置はさらに、電子カメラでサンプル上の視野位置に対応するピクセルのアレイのための干渉強度データを収集しながら、対物レンズの光軸に対して平行な軸に沿ってサンプル表面を動かす(あるいは、それに相当するように、サンプルに対して対物レンズを動かす)ための機械式スキャナも備える。別法では、干渉計の参照経路(reference leg)
を走査することもできる。その結果として、サンプルからの一連の対物レンズ距離に対してピクセル毎に強度対サンプル位置データがコンピュータメモリに記憶される。
Embodiments of the present invention typically include an interferometer, for example an interference microscope comprising an interference objective such as a Mirau, Linnik, Michelson or the like. The objective lens illuminates the sample surface and collects light from that surface over a range of incident angles φ. For example, in the case of an interference objective lens having a numerical aperture (NA) of about 0.75, φ = 0 to 50 °. As illumination polarization, radial polarization, linear polarization, circular polarization, polarization depending on the field of view, or adjustable polarization can be used. Typically, the apparatus further samples the sample along an axis parallel to the optical axis of the objective lens while collecting interference intensity data for an array of pixels corresponding to the field position on the sample with an electronic camera. A mechanical scanner is also provided for moving the surface (or moving the objective lens relative to the sample, correspondingly). Alternatively, the reference leg of the interferometer
Can also be scanned. As a result, intensity versus sample position data is stored in computer memory for each pixel for a series of objective lens distances from the sample.

いくつかの実施形態では、コンピュータが、ピクセル毎の干渉データを、たとえばフーリエ解析によって周波数領域に変換し、干渉データ内に存在する空間周波数成分の大きさおよび位相を回復する。コンピュータはこのデータを解析し、その大きさおよび位相を、サンプルの入射角、偏光および/または波長に依存する光学特性を含む、サンプルの表面構造を表すモデルと比較する。この解析により、表面高あるいは薄膜厚のようなパラメータが特定される。   In some embodiments, the computer converts the per-pixel interference data to the frequency domain, for example by Fourier analysis, to recover the magnitude and phase of the spatial frequency components present in the interference data. The computer analyzes this data and compares its magnitude and phase to a model that represents the surface structure of the sample, including optical properties that depend on the angle of incidence, polarization, and / or wavelength of the sample. This analysis identifies parameters such as surface height or thin film thickness.

いくつかの実施形態では、干渉計への波長を選択するか、あるいは複数の波長を干渉計に送り、材料の光学特性の角度依存性を解析するほかに、波長の関数として材料の光学特性の詳細な解析を実行する。いくつかの実施形態では、サンプルから散乱される光を解析して、入射角および波長の関数としての表面の回折および散乱特性によって表面構造情報を特定する。   In some embodiments, in addition to selecting the wavelength to the interferometer or sending multiple wavelengths to the interferometer to analyze the angular dependence of the material's optical properties, the optical properties of the material as a function of wavelength Perform detailed analysis. In some embodiments, light scattered from the sample is analyzed to identify surface structure information by surface diffraction and scattering characteristics as a function of incident angle and wavelength.

本発明の実施形態は数多くの利点を含む。たとえば、それらの実施形態は、干渉対物レンズに対してサンプルを垂直方向に走査することによって生成される干渉縞を周波数領域で分解することにより、たとえばピクセル毎に、その光学特性と表面トポグラフィとを同時に得るために表面構造を解析するための手段を与えることができる。そのような手法によって、機器の瞳面を直に利用しなくても、反射された光からの振幅および位相情報の両方を用いて、その表面の角度に依存する光学特性および波長に依存する光学特性を入手することができる。   Embodiments of the present invention include a number of advantages. For example, these embodiments resolve the fringes generated by scanning the sample vertically with respect to the interfering objective lens in the frequency domain, e.g., for each pixel, its optical properties and surface topography. Means can be provided for analyzing the surface structure to obtain simultaneously. Such an approach uses both amplitude and phase information from the reflected light without directly using the instrument's pupil plane, and optical properties and wavelength dependent optics that depend on the angle of the surface. Properties can be obtained.

ここで、本発明の1つ以上の実施形態の種々の態様および特徴を包括的に要約する。
一般的に、一態様において、本発明の特徴は、検出器上で参照光と干渉するように、或る範囲の角度にわたってテスト物体から出射するテスト光を結像することであって、テスト光および参照光は共通の光源から生成される。それぞれの角度において、テスト光がテスト物体から出射する角度に依存する速度で、テスト光および参照光の干渉する部分の間において、光源から検出器までの光路長差を同時に変更すること、および、角度毎に光路長差を変更しながら、テスト光と参照光との間の干渉に基づいて、テスト物体の光学特性の角度依存性を特定する。
Here, various aspects and features of one or more embodiments of the present invention are summarized in a comprehensive manner.
In general, in one aspect, a feature of the invention is to image test light emanating from a test object over a range of angles so as to interfere with reference light on a detector, The reference light is generated from a common light source. Simultaneously changing the optical path length difference from the light source to the detector between the interfering portions of the test light and the reference light at each angle at a speed depending on the angle at which the test light exits the test object; and While changing the optical path length difference for each angle, the angle dependency of the optical characteristics of the test object is specified based on the interference between the test light and the reference light.

本方法の実施形態は以下の特徴のいずれかを含み得る。
入射角の範囲は0.7よりも大きな、またはより好ましくは0.9よりも大きな開口数に対応し得る。
Embodiments of the method can include any of the following features.
The range of incident angles may correspond to a numerical aperture greater than 0.7, or more preferably greater than 0.9.

検出器は複数の検出器素子を有するカメラであってもよく、結像は、テスト物体の種々の場所から出射するテスト光をカメラ上の対応する場所に結像することからなる。さらに、光学特性の角度依存性を特定することは、テスト物体の種々の場所毎に光学特性の角度依存性を特定することを含む。   The detector may be a camera having a plurality of detector elements, and imaging consists of imaging test light emanating from various locations of the test object at corresponding locations on the camera. Further, identifying the angular dependence of the optical properties includes identifying the angular dependence of the optical properties for various locations of the test object.

光学特性の角度依存性は、テスト物体上に入射するテスト光の角度の関数としての光学特性の変化に関連付けられてもよい。その方法は、テスト光が入射角の範囲にわたって複数の場所のそれぞれに入射するように、テスト光でテスト物体の複数の場所を照明することをさらに含み得る。このような場合、照明することおよび結像することは共通の対物レンズを使用することを含み得る。さらに、共通の光源は空間的に延長された光源であり得る。   The angular dependence of the optical property may be related to a change in the optical property as a function of the angle of the test light incident on the test object. The method may further include illuminating the plurality of locations on the test object with the test light such that the test light is incident on each of the plurality of locations over a range of incident angles. In such a case, illuminating and imaging may include using a common objective lens. Furthermore, the common light source may be a spatially extended light source.

他の実施形態において、光学特性の角度依存性は、テスト物体から散乱される(すなわち回折される)テスト光の角度の関数としての光学特性の変化に関連する。方法は、テスト物体への均一な入射角を有するテスト光でテスト物体の複数の場所を照明することをさ
らに含み、結像は、テスト物体の各場所から、或る範囲の角度にわたって散乱されるテスト光を検出器上の対応する場所に結像することを含み得る。このような場合、照明および結像すは共通の対物レンズを使用することを含み得る。さらに、共通の光源は点光源であり得る。
In other embodiments, the angular dependence of the optical property is related to a change in the optical property as a function of the angle of the test light scattered (ie, diffracted) from the test object. The method further includes illuminating multiple locations of the test object with test light having a uniform angle of incidence on the test object, and the imaging is scattered from each location of the test object over a range of angles. Imaging the test light at a corresponding location on the detector may be included. In such cases, illumination and imaging may include using a common objective lens. Further, the common light source can be a point light source.

結像は、結像に関係する光学系の瞳面においてテスト光を偏光することをさらに含み得る。
その方法は、テスト光でテスト物体を照明すること、および、テスト物体を照明するために用いられる光学系の瞳面においてテスト光を偏光することをさらに含み得る。
Imaging may further include polarizing test light at a pupil plane of an optical system involved in imaging.
The method may further include illuminating the test object with the test light and polarizing the test light at a pupil plane of the optical system used to illuminate the test object.

共通の光源は単色であり得る。たとえば、共通の光源は或る中心波長と、中心波長の2%未満のスペクトル帯域幅とを有し得る。
角度毎に光路長差を同時に変更することは、テストサンプルから出射するテスト光を収集するために用いられる対物レンズに対してテスト物体を動かすことを含み得る。
The common light source can be monochromatic. For example, a common light source may have a central wavelength and a spectral bandwidth that is less than 2% of the central wavelength.
Simultaneously changing the optical path length difference for each angle may include moving the test object relative to the objective lens used to collect the test light emerging from the test sample.

角度毎に光路長差を同時に変更することは、参照ミラー上に参照光を集束するために用いられる対物レンズに対して、参照光を反射するために用いられる参照ミラーを動かすことを含み得る。   Simultaneously changing the optical path length difference for each angle may include moving a reference mirror used to reflect the reference light relative to an objective lens used to focus the reference light on the reference mirror.

角度毎に光路長差を同時に変更することは、ミロー型干渉対物レンズ内に配置されるビームスプリッタを動かすことを含み得る。
角度毎に光路長差を同時に変更することは空間コヒーレンス長を規定してもよく、角度のうちの少なくとも1つのための光路長差は、空間コヒーレンス長よりも大きな範囲にわたって変更されてもよい。
Simultaneously changing the optical path length difference for each angle may include moving a beam splitter disposed within the mirro interference objective lens.
Changing the optical path length difference for each angle simultaneously may define the spatial coherence length, and the optical path length difference for at least one of the angles may be changed over a range greater than the spatial coherence length.

光学特性の角度依存性を特定することは、光路長差が角度毎に同時に変更されるのに応じて、検出器からの干渉信号を測定すること、および、角度毎に光路長差に直線的に比例する座標に対して干渉信号を変換することであって、それによって、座標への共役変数に依存する、変換された信号を生成する、変換することを含み得る。たとえば、共役変数は空間周波数であり得る。   Identifying the angular dependence of the optical properties is to measure the interference signal from the detector as the optical path length difference is changed simultaneously for each angle, and linear to the optical path length difference for each angle. Transforming the interference signal to a coordinate proportional to, thereby generating and transforming a transformed signal that depends on a conjugate variable to the coordinate. For example, the conjugate variable can be a spatial frequency.

共役変数はテスト物体上に入射するテスト光、あるいはテスト物体から出射するテスト光の角度への直接マッピングを与え得る。たとえば、共役変数が空間周波数Kである場合、空間周波数と角度φとの間の直接マッピングはK(φ)∝cos(φ)/λによって与えられることができ、ただしλはテスト光の波長である。たとえば、出射した光がテストサンプルから反射されると、空間周波数と角度との間の直接マッピングはK(φ)=4πcos(φ)/λによって与えられる。   The conjugate variable can provide a direct mapping to the angle of test light incident on or emitted from the test object. For example, if the conjugate variable is spatial frequency K, a direct mapping between the spatial frequency and the angle φ can be given by K (φ) ∝cos (φ) / λ, where λ is the wavelength of the test light is there. For example, when the emitted light is reflected from the test sample, a direct mapping between spatial frequency and angle is given by K (φ) = 4πcos (φ) / λ.

変換された信号は、光学特性の角度依存性への直接マッピングを与え得る。たとえば、変換はフーリエ変換に対応し得る。
光学特性はテスト物体の複素反射率に関連する。たとえば、光学特性はテスト物体の複素反射率の大きさに関連する。また、光学特性はテスト物体の複素反射率の位相に関連する。
The transformed signal can give a direct mapping to the angular dependence of the optical properties. For example, the transform may correspond to a Fourier transform.
The optical property is related to the complex reflectivity of the test object. For example, the optical properties are related to the magnitude of the complex reflectivity of the test object. The optical characteristics are also related to the phase of the complex reflectivity of the test object.

光学特性の角度依存性は、光路長差が角度毎に変更されるときのテスト光と参照光との間の干渉と、結像に関係する光学系の予め較正された角度に依存する特性とに基づいて特定され得る。   The angular dependence of the optical characteristics is a characteristic that depends on the interference between the test light and the reference light when the optical path length difference is changed for each angle, and the pre-calibrated angle of the optical system related to imaging. Can be identified based on

方法は、光路長差が変更されるときのテスト光と参照光との間の干渉に基づいてテスト物体の表面高プロファイルを特定することをさらに含み得る。
方法は、テスト光と参照光との間の干渉から特定される光学特性の角度依存性の変化と、テスト物体のためのモデルの角度依存性の変化とを比較することをさらに含み得る。たとえば、テスト物体は基板上にある少なくとも1つの薄膜を含んでもよく、また方法は、比較に基づいて薄膜の厚みを特定することをさらに含み得る。
The method may further include identifying a surface height profile of the test object based on interference between the test light and the reference light when the optical path length difference is changed.
The method may further include comparing the change in angular dependence of the optical properties identified from the interference between the test light and the reference light with the change in angular dependence of the model for the test object. For example, the test object may include at least one thin film on the substrate, and the method may further include determining the thickness of the thin film based on the comparison.

このような一実施形態において、光学特性はテストサンプルの複素反射率の角度依存性の大きさを含み、薄膜の厚みの特定は、複素反射率の角度依存性の大きさとモデルの角度依存性の大きさとを比較することに基づく。さらに、本実施形態は、比較に基づいて、テスト物体のための表面高プロファイルを特定することを含み得る。たとえば、光学特性はさらに、テストサンプルの複素反射率の角度依存性の位相を含んでもよく、表面高プロファイルの特定は、薄膜の特定された厚みと、複素反射率の角度依存性の位相と特定された厚みの場合のモデルの角度依存性の位相とを比較することとに基づく。   In one such embodiment, the optical characteristics include the magnitude of the angular dependence of the complex reflectivity of the test sample, and the thickness of the thin film can be determined by measuring the magnitude of the angular dependence of the complex reflectance and the angular dependence of the model. Based on comparing size. Further, the present embodiment may include identifying a surface height profile for the test object based on the comparison. For example, the optical properties may further include the angle-dependent phase of the complex reflectivity of the test sample, and the identification of the surface height profile can be determined by specifying the specified thickness of the thin film and the angle-dependent phase of the complex reflectivity. Based on comparing the angle-dependent phase of the model for a given thickness.

最後に、テスト光および参照光は第1の波長を有してもよく、方法は、第1の波長とは異なる第2の波長を有するテスト光および参照光の場合に、結像すること、変更すること、および特定することを繰り返すことをさらに含み得る。   Finally, the test light and reference light may have a first wavelength, and the method includes imaging in the case of test light and reference light having a second wavelength different from the first wavelength; It may further comprise repeating changing and identifying.

一般的に、別の態様において、本発明は、テスト物体のための走査式(scanning:スキャニング)干渉法データに基づいて、テスト物体の光学特性の角度依存性を特定することを含む方法を特徴とする。   In general, in another aspect, the invention features a method that includes determining angular dependence of optical properties of a test object based on scanning interferometry data for the test object. And

この方法はさらに、第1の方法に関連して上述された特徴のいずれかを含み得る。
一般的に、さらに別の態様において、本発明は、検出器上で参照光と干渉するように、或る範囲の角度にわたってテスト物体から出射するテスト光を結像することであって、テスト光および参照光は共通の単色光源から生成され、テスト物体は基板上にある少なくとも1つの薄膜を含む。角度毎に、テスト光がテスト物体から出射する角度に依存する速度で、テスト光および参照光の干渉する部分の間の、光源から検出器までの光路長差を同時に変更すること、および、角度毎に光路長差を変更しながら、テスト光と参照光との間の干渉に基づいて、薄膜の厚みを特定することを含む方法を特徴とする。
The method may further include any of the features described above in connection with the first method.
In general, in yet another aspect, the invention is to image test light emanating from a test object over a range of angles so as to interfere with reference light on a detector, the test light And the reference light is generated from a common monochromatic light source, and the test object includes at least one thin film on the substrate. For each angle, simultaneously changing the optical path length difference from the light source to the detector between the interfering portions of the test light and the reference light at a speed depending on the angle at which the test light exits the test object, and the angle The method includes determining the thickness of the thin film based on the interference between the test light and the reference light while changing the optical path length difference every time.

一般的に、さらに別の態様において、本発明は、薄膜と薄膜を支持する基板とを含むテスト物体のための単色光走査式干渉法データに基づいて、テスト物体上の薄膜の厚みを特定することを含む方法を特徴とする。   In general, in yet another aspect, the present invention identifies the thickness of a thin film on a test object based on monochromatic light scanning interferometry data for a test object that includes the thin film and a substrate that supports the thin film. A method comprising:

上述された第3および第4の方法の実施形態は、第1の方法に関連して上述された特徴のいずれかをさらに含み得る。
一般的に、さらに別の態様において、本発明は、光源と、検出器と、検出器上で参照光と干渉するように、或る範囲の角度にわたってテスト物体から出射するテスト光を結像するように構成される走査式干渉計であって、テスト光および参照光は光源から生成され、走査式干渉計はさらに、テスト光がテスト物体から出射する角度に依存する速度で、テスト光および参照光の干渉する部分の間の、光源から検出器までの光路長差を同時に変更するように構成される、走査式干渉計と、検出器および走査式干渉計に結合される電子プロセッサであって、検出器によって測定される場合に光路長差が角度毎に変更されるときの、テスト光と参照光との間の干渉に基づいてテスト物体の光学特性の角度依存性を特定するように構成される、電子プロセッサとを備える装置を特徴とする。
The third and fourth method embodiments described above may further include any of the features described above in connection with the first method.
In general, in yet another aspect, the present invention images a test light emanating from a test object over a range of angles so as to interfere with a light source, a detector, and a reference light on the detector. A scanning interferometer configured such that the test light and the reference light are generated from a light source, the scanning interferometer further comprising a test light and a reference at a rate depending on the angle at which the test light exits from the test object A scanning interferometer configured to simultaneously change the optical path length difference from the light source to the detector between the interfering portions of the light, and an electronic processor coupled to the detector and the scanning interferometer Configured to determine the angular dependence of the optical properties of the test object based on the interference between the test light and the reference light when the optical path length difference is changed from angle to angle as measured by the detector Electronic pro It features an apparatus and a Tsu service.

一般的に、さらに別の態様において、本発明は、単色光源と、検出器と、検出器上で参照光と干渉するように、或る範囲の角度にわたってテスト物体から出射するテスト光を結像するように構成される走査式干渉計であって、テスト光および参照光は単色光源から生成され、角度毎に、走査式干渉計はさらに、テスト光がテスト物体から出射する角度に依
存する速度で、テスト光および参照光の干渉する部分の間の、光源から検出器までの光路長差を同時に変更するように構成される、走査式干渉計と、検出器および走査式干渉計に結合される電子プロセッサであって、光路長差が角度毎に変更されるときの、テスト光と参照光との間の干渉に基づいてテスト物体上の薄膜の厚みを特定するように構成される、電子プロセッサとを備える装置を特徴とする。
In general, in yet another aspect, the invention images a test light emitted from a test object over a range of angles so as to interfere with a monochromatic light source, a detector, and a reference light on the detector. A scanning interferometer configured so that the test light and the reference light are generated from a monochromatic light source, and for each angle, the scanning interferometer further depends on the angle at which the test light exits from the test object A scanning interferometer configured to simultaneously change the optical path length difference from the light source to the detector between the interfering portions of the test light and the reference light, and coupled to the detector and the scanning interferometer An electronic processor configured to determine a thickness of the thin film on the test object based on interference between the test light and the reference light when the optical path length difference is changed for each angle. A device comprising a processor And features.

一般的に、さらに別の態様において、本発明は、走査式干渉法システムと、走査式干渉法システムに結合される電子プロセッサであって、走査式干渉法システムによって生成されるテスト物体のための走査式干渉法データに基づいて、テスト物体の光学特性の角度依存性を特定するように構成される、電子プロセッサとを備える装置を特徴とする。   In general, in yet another aspect, the present invention relates to a scanning interferometry system and an electronic processor coupled to the scanning interferometry system for a test object generated by the scanning interferometry system. An apparatus comprising an electronic processor configured to identify angular dependence of optical properties of a test object based on scanning interferometry data.

一般的に、さらに別の態様において、本発明は、単色光走査式干渉法システムと、走査式干渉法システムに結合される電子プロセッサであって、テスト物体のための単色光走査式干渉法データに基づいて、テスト物体上の薄膜の厚みを特定するように構成される、電子プロセッサとを備える装置を特徴とする。   In general, in yet another aspect, the invention relates to a monochromatic optical scanning interferometry system and an electronic processor coupled to the scanning interferometry system, the monochromatic optical scanning interferometry data for a test object. And an electronic processor configured to determine the thickness of the thin film on the test object.

一般的に、さらに別の態様において、本発明は、検出器上で参照光と干渉するように、或る範囲の角度にわたってテスト物体から出射するテスト光を結像するように構成される走査式干渉計を備える装置であって、テスト光および参照光は共通の光源から生成され、角度毎に、走査式干渉計はさらに、テスト光がテスト物体から出射する角度に依存する速度で、テスト光および参照光の干渉する部分の間の、光源から検出器までの光路長差を同時に変更するように構成され、干渉計は、テスト物体から出射するテスト光を収集するために配置される対物レンズと、対物レンズの瞳面に配置される少なくとも1つの偏光光学系とを備える、装置を特徴とする。   In general, in yet another aspect, the present invention is a scanning system configured to image test light emanating from a test object over a range of angles so as to interfere with reference light on a detector. An apparatus comprising an interferometer, wherein the test light and the reference light are generated from a common light source, and for each angle, the scanning interferometer further comprises a test light at a speed depending on the angle at which the test light exits from the test object. And an interferometer arranged to collect test light emanating from the test object, the optical path length difference from the light source to the detector between the interfering portion of the reference light and the detector is changed simultaneously And at least one polarization optical system disposed on the pupil plane of the objective lens.

たとえば、少なくとも1つの偏光光学系は瞳面を横切って変化する偏光を付与し得る。
また、少なくとも1つの偏光光学系は、偏光子と、少なくとも1つの波長板とを備え得る。たとえば、少なくとも1つの偏光光学系は、瞳面の異なる位置に配置される2つの波長板を備え得る。
For example, at least one polarizing optical system may provide polarization that varies across the pupil plane.
The at least one polarization optical system can include a polarizer and at least one wave plate. For example, the at least one polarization optical system may include two wave plates arranged at different positions on the pupil plane.

一般的に、さらに別の態様において、本発明は、検出器上で参照光と干渉するように、或る範囲の角度にわたってテスト物体から出射するテスト光を結像するように構成される走査式干渉計を備える装置であって、テスト光および参照光は共通の光源から生成され、角度毎に、走査式干渉計はさらに、テスト光がテスト物体から出射する角度に依存する速度で、テスト光および参照光の干渉する部分の間の、光源から検出器までの光路長差を同時に変更するように構成され、干渉計は、概ねコリメートされた光でテスト物体を照明するように構成される光源モジュールを備える、装置を特徴とする。たとえば、装置は共通の光源をさらに含んでもよく、共通の光源は単色光源であってもよい。   In general, in yet another aspect, the present invention is a scanning system configured to image test light emanating from a test object over a range of angles so as to interfere with reference light on a detector. An apparatus comprising an interferometer, wherein the test light and the reference light are generated from a common light source, and for each angle, the scanning interferometer further comprises a test light at a speed depending on the angle at which the test light exits from the test object. And the interferometer is configured to illuminate the test object with generally collimated light, wherein the interferometer is configured to simultaneously change the optical path length difference from the light source to the detector between the interfering portions of the reference light Features an apparatus comprising a module. For example, the apparatus may further include a common light source, and the common light source may be a monochromatic light source.

さらに、これまでに説明された本発明の装置のうちの任意の装置の実施形態は、第1の方法に関連して上述された対応する特徴のいずれかを含むことができる。他に規定されなければ、本明細書において用いられる全ての技術および科学用語は、本発明が属する技術分野の熟練者によって広く理解されているのと同じ意味を有する。本明細書にて言及される全ての刊行物、特許出願、特許、および他の引用文献は、その全体を参照して援用される。抵触がある場合には、定義を含む、本明細書が優先するであろう。   Furthermore, any of the apparatus embodiments of the present invention described thus far can include any of the corresponding features described above in connection with the first method. Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. All publications, patent applications, patents, and other cited references mentioned herein are incorporated by reference in their entirety. In case of conflict, the present specification, including definitions, will control.

本発明の他の特徴、目的および利点は、以下に記載される詳細な説明から明らかになるであろう。
種々の図面における同じ参照番号は共通の構成要素を指している。
Other features, objects and advantages of the invention will be apparent from the detailed description set forth below.
Like reference numbers in the various drawings indicate common elements.

図1は、リンニク型の走査式干渉計を示す。光源(図示せず)からの照明光102が、一部がビームスプリッタ104によって透過されて参照光106を規定し、一部がビームスプリッタ104によって反射されて測定光108を規定する。測定光は測定対物レンズ110によってテストサンプル112(たとえば、1つの材料からなる単層薄膜あるいは複数の異種の材料からなる多層薄膜を含むサンプル)上に集束される。同様に、参照光は参照対物レンズ114によって参照ミラー116上に集束される。測定および参照対物レンズは、共通の光学特性(たとえば、同じ開口数)を有することが好ましい。テストサンプル112から反射される(あるいは散乱または回折される)測定光は、測定対物レンズ110を通って戻され、ビームスプリッタ104によって透過されて、結像レンズ118によって検出器120上に結像される。同様に、参照ミラー116から反射される参照光も参照対物レンズ114を通って戻され、ビームスプリッタ104によって反射されて、結像レンズ118によって検出器120上に結像され、測定光と干渉する。   FIG. 1 shows a Linnik-type scanning interferometer. Illumination light 102 from a light source (not shown) is partially transmitted by the beam splitter 104 to define the reference light 106 and partially reflected by the beam splitter 104 to define the measurement light 108. The measurement light is focused by a measurement objective lens 110 onto a test sample 112 (for example, a sample including a single layer thin film made of one material or a multilayer thin film made of a plurality of different materials). Similarly, the reference light is focused on the reference mirror 116 by the reference objective lens 114. The measurement and reference objectives preferably have common optical properties (eg, the same numerical aperture). Measurement light reflected (or scattered or diffracted) from the test sample 112 is returned through the measurement objective 110, transmitted by the beam splitter 104, and imaged on the detector 120 by the imaging lens 118. The Similarly, the reference light reflected from the reference mirror 116 is also returned through the reference objective lens 114, reflected by the beam splitter 104, imaged on the detector 120 by the imaging lens 118, and interferes with the measurement light. .

簡単にするために、図1は、それぞれテストサンプルおよび参照ミラー上の特定の点に集束し、その後、検出器上の対応する点において干渉する測定光および参照光を示す。そのような光は、干渉計の測定経路および参照経路のための瞳面に対して垂直に伝搬する照明光の部分に対応する。照明光の他の部分は最終的には、テストサンプルおよび参照ミラー上の他の点を照明し、その後、検出器上の対応する点において結像される。図1では、これは破線122によって示されており、それは、テストサンプル上の種々の点から出射し、検出器上の対応する点に対して結像される主光線に対応する。主光線は、測定対物レンズ110の後部焦点面である、測定経路の瞳面124の中心を横切る。主光線の角度とは異なる角度においてテストサンプルから出射する光は、瞳面124の異なる場所を横切る。   For simplicity, FIG. 1 shows measurement light and reference light that are focused at specific points on the test sample and reference mirror, respectively, and then interfere at corresponding points on the detector. Such light corresponds to the portion of the illumination light that propagates perpendicular to the pupil plane for the measurement and reference paths of the interferometer. The other part of the illumination light eventually illuminates the test sample and other points on the reference mirror and is then imaged at the corresponding point on the detector. In FIG. 1, this is indicated by the dashed line 122, which corresponds to the chief ray emanating from various points on the test sample and imaged to corresponding points on the detector. The chief ray traverses the center of the pupil plane 124 of the measurement path, which is the rear focal plane of the measurement objective lens 110. Light exiting the test sample at an angle different from the chief ray angle traverses different locations on the pupil plane 124.

好ましい実施形態では、検出器120は複数の構成要素からなる(すなわちマルチピクセル)カメラであり、テストサンプルおよび参照ミラー上の種々の点に対応する測定光と参照光との間の干渉を個別に測定する(すなわち、干渉縞のための空間分解能を与える)。   In a preferred embodiment, the detector 120 is a multi-component (ie, multi-pixel) camera that individually detects interference between the measurement light and the reference light corresponding to various points on the test sample and the reference mirror. Measure (ie, give spatial resolution for interference fringes).

テストサンプル112に結合される走査ステージ126が、図1において走査座標ζによって示されるように、測定対物レンズ110に対してテストサンプルの位置を走査する。たとえば、走査ステージは圧電変換器(PZT)を基にすることができる。検出器120は、テストサンプルの相対的な位置が走査されているときに、検出器の1つ以上のピクセルにおいて光学干渉の強度を測定し、その情報を解析するためにコンピュータ128に送る。   A scanning stage 126 coupled to the test sample 112 scans the position of the test sample relative to the measurement objective 110 as indicated by the scan coordinate ζ in FIG. For example, the scanning stage can be based on a piezoelectric transducer (PZT). When the relative position of the test sample is being scanned, the detector 120 measures the intensity of optical interference at one or more pixels of the detector and sends it to the computer 128 for analysis.

走査は、測定光がテストサンプル上に集束されている領域において行われるので、その走査は、テストサンプルに入射する測定光およびテストサンプルから出射する測定光の角度に応じて別々に、光源から検出器への測定光の光路長を変更する。結果として、測定光および参照光の干渉する部分の間の、光源から検出器までの光路差(OPD)は、テストサンプルに入射する測定光およびテストサンプルから出射する測定光の角度に応じて、異なる走査座標ζに対応する。本発明の他の実施形態では、参照対物レンズ114に対して参照ミラー116の位置を走査することにより(測定対物レンズ110に対してテストサンプル112を走査する代わりに)、同じ結果を達成することができる。   Since scanning is performed in an area where the measurement light is focused on the test sample, the scan is detected from the light source separately according to the angle of the measurement light incident on the test sample and the measurement light exiting from the test sample. Change the optical path length of the measurement light to the instrument. As a result, the optical path difference (OPD) from the light source to the detector between the measurement light and the reference light interfering portion depends on the angle of the measurement light incident on the test sample and the measurement light exiting from the test sample. It corresponds to different scanning coordinates ζ. In other embodiments of the invention, scanning the position of the reference mirror 116 relative to the reference objective 114 (instead of scanning the test sample 112 relative to the measurement objective 110) achieves the same result. Can do.

OPDが走査座標ζとともに変化する態様のこの差は、検出器の各ピクセルにおいて測定される干渉信号に、制限されたコヒーレンス長を導入する。たとえば、干渉信号(走査座標の関数)は通常、約λ/2(NA)の空間コヒーレンス長を有する包絡線によって変調される。ただし、λは照明光の公称波長であり、NAは測定および参照対物レンズの
開口数である。後にさらに説明されるように、干渉信号の変調は、テストサンプルの反射率についての、角度に依存する情報を与える。制限された空間コヒーレンスを高めるために、走査式干渉計内の対物レンズは大きな開口数、たとえば0.7よりも大きな開口数を規定することが好ましい(あるいは0.9よりも大きいことがさらに好ましい)。
This difference in how the OPD varies with the scan coordinate ζ introduces a limited coherence length into the interference signal measured at each pixel of the detector. For example, (a function of scan coordinate) interference signal is usually modulated by an envelope having a spatial coherence length of approximately λ / 2 (NA) 2. Where λ is the nominal wavelength of the illumination light and NA is the numerical aperture of the measurement and reference objective. As described further below, the modulation of the interference signal provides angle dependent information about the reflectivity of the test sample. In order to increase the limited spatial coherence, the objective lens in the scanning interferometer preferably defines a large numerical aperture, for example a numerical aperture greater than 0.7 (or more preferably greater than 0.9). ).

干渉信号は、照明源からの空間帯域幅に関連付けられる、制限された時間コヒーレンス長によってさらに変調されることができる。しかしながら、この説明の場合に、照明源は名目上、単色光であり、時間コヒーレンスの制限は、制限された空間コヒーレンスに対して小さいものと仮定される。たとえば、照明源は、その中心波長の約2%未満の帯域幅を有することができる。   The interference signal can be further modulated with a limited temporal coherence length associated with the spatial bandwidth from the illumination source. However, for the purposes of this description, it is assumed that the illumination source is nominally monochromatic and that the temporal coherence limit is small relative to the limited spatial coherence. For example, the illumination source may have a bandwidth that is less than about 2% of its center wavelength.

再び図1のリンニク型干渉計を参照すると、測定対物レンズ110はテストサンプルの表面を照明し、或る範囲の入射角φにわたってその表面を観測する。ここで、単色光照明であるものと仮定して、簡略化されたモデルを用いて、干渉効果が数学的に計算されるであろう。その後、干渉縞を、その角度に依存する寄与に数学的に分解することによって、サンプル表面の光学特性が如何に見つけられるかが説明されるであろう。   Referring again to the Linnik interferometer of FIG. 1, the measurement objective 110 illuminates the surface of the test sample and observes the surface over a range of incident angles φ. Now, assuming a monochromatic illumination, the interference effect will be calculated mathematically using a simplified model. It will then be explained how the optical properties of the sample surface can be found by mathematically resolving the interference fringes into their angle dependent contribution.

テストサンプルの表面の複素振幅反射率はz(φ)であり、対応する強度反射率Z(φ)は以下の通りである。   The complex amplitude reflectivity of the surface of the test sample is z (φ), and the corresponding intensity reflectivity Z (φ) is as follows.

Figure 0004563811
Figure 0004563811

サンプル表面のための反射時の位相変化(PCOR)は以下の通りである。   The phase change upon reflection (PCOR) for the sample surface is as follows.

Figure 0004563811
Figure 0004563811

ただし式(2)の「arg」は複素振幅反射率の位相をもたらす。
入射角毎に個別に干渉効果を考慮する簡略化されたスカラー(非偏光)モデルでは、単一のサンプル点あるいはカメラピクセルのための干渉縞は以下の式に比例する。
Where “arg” in equation (2) yields the phase of the complex amplitude reflectivity.
In a simplified scalar (non-polarized) model that considers the interference effects individually for each angle of incidence, the interference fringes for a single sample point or camera pixel are proportional to:

Figure 0004563811
Figure 0004563811

ただしζは走査位置(PZTによって動かされる)であり、hはサンプル表面の高さプロファイルである。パラメータR(φ)、V(φ)およびα(φ)は、テストサンプル112とは無関係である、参照ミラー116を含む干渉計光学系の特性を示すDCレ
ベル、コントラストおよび位相値である。後にさらに説明されるように、或る較正手順によって、既知の光学特性の既知のアーティファクトを用いて、これらのパラメータが特定される。R(φ)、V(φ)およびα(φ)パラメータは、必要に応じて、機器の光学特性を調整するための視野依存性も含むことができる。
Where ζ is the scanning position (moved by PZT) and h is the height profile of the sample surface. The parameters R 0 (φ), V 0 (φ), and α 0 (φ) are DC levels, contrasts, and phase values that are independent of the test sample 112 and that characterize the interferometer optics including the reference mirror 116. is there. As described further below, some calibration procedure identifies these parameters using known artifacts of known optical properties. The R 0 (φ), V 0 (φ), and α 0 (φ) parameters can also include visual field dependence to adjust the optical properties of the instrument, if desired.

干渉効果の空間周波数K(φ)は、以下の式に従って、角度φの関数として減少する。   The spatial frequency K (φ) of the interference effect decreases as a function of the angle φ according to the following formula:

Figure 0004563811
Figure 0004563811

ただし、λは照明波長であり、測定光はテストサンプルから反射される(すなわち、測定光は、それがテストサンプル上に入射した角度に等しい角度でテストサンプルから出射する)ものと仮定される。式(4)は、走査が行われた場合に、測定光(あるいは反射光)が或る範囲の角度にわたって伝搬し、それゆえ測定光および参照光の干渉する部分の間のOPDが、テストサンプル上に入射する測定光の角度に応じて、異なる走査座標ζに対応するという事実を基にする。結果として、式(4)は、干渉信号の空間周波数と入射角との間の固有の関係を示している。   Where λ is the illumination wavelength and the measurement light is assumed to be reflected from the test sample (ie, the measurement light exits the test sample at an angle equal to the angle at which it is incident on the test sample). Equation (4) shows that when scanning is performed, the measurement light (or reflected light) propagates over a range of angles, so that the OPD between the interfering portions of the measurement light and the reference light is the test sample. It is based on the fact that it corresponds to different scanning coordinates ζ depending on the angle of the measuring light incident on it. As a result, equation (4) shows a unique relationship between the spatial frequency of the interference signal and the incident angle.

光源からの光が瞳面を横切って空間的に完全にインコヒーレントであり、単色光であるものと仮定すると、角度に依存する全ての寄与の干渉現象への正味の効果は、インコヒーレントな重ね合わせの積分によって与えられる。   Assuming that the light from the light source is spatially perfectly incoherent across the pupil plane and is monochromatic, the net effect of all angle-dependent contributions on the interference phenomenon is the incoherent overlap. Is given by the integral of the sum.

Figure 0004563811
Figure 0004563811

ただし、φMAX=arcsin(NA)であり、以下の例では、以下の重み関数が用いられる。 However, φ MAX = arcsin (NA), and in the following example, the following weight function is used.

Figure 0004563811
Figure 0004563811

その重み関数は光で均一に照明される瞳面の場合に適しており、それは図2に示される図について考えてみると明らかである(その図では、角度はφではなく、ψによって表される)。   The weight function is suitable for the pupil plane illuminated uniformly with light, which is evident when considering the diagram shown in FIG. 2 (in which the angle is represented by ψ, not φ). )

ピクセル毎に、電子カメラおよびコンピュータコントロールが、或る範囲の走査位置ζにわたって干渉縞I(ζ,h)を測定する。高さhおよび実効反射率z(φ)は視野にわたって変化し、ピクセル毎に異なる場合がある。   For each pixel, the electronic camera and computer control measure the interference fringes I (ζ, h) over a range of scan positions ζ. Height h and effective reflectivity z (φ) vary across the field of view and may vary from pixel to pixel.

空間周波数と入射角との間の固有の関係は、積分された縞I(ζ,h)に対する個々の寄与g(φ,ζ,h)を回復する手段を与える。第1のステップは、たとえばフーリエ変換によって、完成した干渉縞の分解を実行することである。   The inherent relationship between the spatial frequency and the incident angle provides a means to recover the individual contribution g (φ, ζ, h) to the integrated fringe I (ζ, h). The first step is to perform complete fringe resolution, for example by Fourier transform.

Figure 0004563811
Figure 0004563811

或る限られた走査の実際の要件によって、式(7)の全てのζにわたる積分が、正確な結果を得るために必要とされる程度の干渉信号を含む、或る限られた範囲の値で打ち切られる。同じように干渉縞を分解する任意の他の変換を用いることもできる。空間周波数領域への変換は一般的に、周波数領域解析(FDA)と呼ばれる。   Depending on the practical requirements of some limited scan, the integration over all ζ in equation (7) has a limited range of values, including the degree of interference signal needed to obtain an accurate result. Will be terminated. Any other transform that similarly resolves the interference fringes can also be used. The transformation to the spatial frequency domain is generally called frequency domain analysis (FDA).

分解q[K(φ),h]は以下のように解釈されることができる。零空間周波数あるいはDC項は角度φの関数として分離することができないので、以下の式が成り立つ。   The decomposition q [K (φ), h] can be interpreted as follows. Since the zero spatial frequency or DC term cannot be separated as a function of the angle φ, the following equation holds:

Figure 0004563811
Figure 0004563811

その積分の中で実際に有することができる範囲よりもはるかに小さな空間周期を有する全ての他の空間周波数成分の場合に、q[K(φ),h]の大きさおよび複素位相はそれぞれ以下の通りである。   For all other spatial frequency components having a spatial period much smaller than the range that can actually be in the integral, the magnitude and complex phase of q [K (φ), h] are It is as follows.

Figure 0004563811
Figure 0004563811

本発明の一実施形態では、光学系の特性α(φ)、P(φ)、V(φ)は、従来の較正、たとえば式(3)に伴って本文に示されたように、既知のアーティファクトサンプルによって特定されている。たとえば、その測定は、光学系の特性が式(9)および(10)から引き出されることができるように、既知の表面高および反射率を有するテスト
サンプルで行われることができる。光学系の特性が予め決定されている場合、式(9)および(10)は、表面高と、入射角φの範囲にわたるその表面の2つの光学特性Z(φ)およびα(φ)とに関する情報を与える。光学特性Z(φ)およびα(φ)は、材料および薄膜の既知の光学特性のような、多くの場合に根本原理によって薄膜厚のような特定の表面パラメータに結び付けられる光学特性そのものである。それゆえ、表面高とともに3つのパラメータが、q[K(φ),h]の測定位相α(φ,h)および大きさQ(φ,h)に最も良く適合するように調整されることができる。
In one embodiment of the present invention, the optical system characteristics α 0 (φ), P (φ), V 0 (φ) are as shown in the text with conventional calibration, eg, Equation (3): Identified by known artifact samples. For example, the measurement can be performed on a test sample having a known surface height and reflectivity so that the properties of the optical system can be derived from equations (9) and (10). If the properties of the optical system are predetermined, Equations (9) and (10) give the surface height and the two optical properties Z (φ) and α Z (φ) of the surface over the range of incident angles φ Give information about. The optical properties Z (φ) and α Z (φ) are optical properties themselves that are often tied to specific surface parameters such as thin film thickness by fundamental principles, such as known optical properties of materials and thin films. . Therefore, the three parameters along with the surface height should be adjusted to best fit the measured phase α Q (φ, h) and magnitude Q (φ, h) of q [K (φ), h]. Can do.

一例として、図3の薄膜構造について考える。この構造の実効反射率は以下の式によって与えられる。   As an example, consider the thin film structure of FIG. The effective reflectivity of this structure is given by:

Figure 0004563811
Figure 0004563811

ただし、r(φ)、r(φ’)はそれぞれ上側および下側表面の反射率であり、φ’はφおよびスネルの法則から計算される下側表面への入射角である。薄膜の式(11)は、K(φ)に大きく依存する際立った干渉効果を生み出す。 Where r 1 (φ) and r 2 (φ ′) are the reflectivities of the upper and lower surfaces, respectively, and φ ′ is the angle of incidence on the lower surface calculated from φ and Snell's law. Thin film equation (11) produces a pronounced interference effect that is highly dependent on K (φ).

この例を定量的に例示するために、シリコン(Si;屈折率n=3.96+0.03i)上にある二酸化シリコン(SiO;屈折率n=1.46)の1.8ミクロン薄膜、および550nmの照明波長について考える。実効反射率z(φ)は、式(11)および界面の反射率を得るためのフレネルの式から求められる。干渉対物レンズに対してこのサンプル表面を走査することにより、図4の場合のような信号が生成される。比較するために、図5は、簡単な単一表面のSiOサンプル(すなわち、薄膜層がないSiOの厚いサンプル)の場合のシミュレートされた干渉縞I(ζ,h)を示す。 To quantitatively illustrate this example, a 1.8 micron thin film of silicon dioxide (SiO 2 ; refractive index n 1 = 1.46) on silicon (Si; refractive index n 2 = 3.96 + 0.03i) And an illumination wavelength of 550 nm. The effective reflectivity z (φ) is obtained from the formula (11) and the Fresnel formula for obtaining the interface reflectivity. By scanning the sample surface with respect to the interference objective lens, a signal as in FIG. 4 is generated. For comparison, FIG. 5 shows a simulated interference pattern I (ζ, h) for a simple single-surface SiO 2 sample (ie, a thick sample of SiO 2 without a thin film layer).

データを取得した後に、コンピュータは、図4の信号に類似の信号を画像ピクセル毎に周波数領域に変換する。表面トポグラフィ、光学系パラメータおよび薄膜厚が実際に変化することに起因して、信号および変換はピクセル毎に異なる場合がある。図6は、図4の信号への各空間周波数成分への寄与の大きさ(この場合には振幅)を示す。この結果は、図5に示される干渉信号を有する簡単な単一表面構造によって生成される、図7に示される周波数領域の大きさと比べて、非常に際立った特徴を示す。   After acquiring the data, the computer converts a signal similar to the signal of FIG. 4 into the frequency domain for each image pixel. Due to actual changes in surface topography, optical system parameters, and thin film thickness, the signal and conversion may vary from pixel to pixel. FIG. 6 shows the magnitude (in this case amplitude) of the contribution to each spatial frequency component to the signal of FIG. This result shows a very distinctive feature compared to the frequency domain size shown in FIG. 7 produced by a simple single surface structure with the interference signal shown in FIG.

たとえば、較正として図7を用いて、図6を図7と比較すると、薄膜の存在が明白に特定される。さらに、SiOおよびSiの特性がわかっているものと仮定すると、図6とサンプルの実効反射率に基づく理論的な予想とを比較することにより、コンピュータは、たとえば薄膜の厚みを特定することができる。これが図8によって例示され、それは3つの異なる薄膜の予想される結果を比較しており、そのうちの1つのみ(1.80μm)が図6のフーリエ変換された干渉データと良好に一致する。 For example, using FIG. 7 as a calibration and comparing FIG. 6 to FIG. 7, the presence of a thin film is clearly identified. Further, assuming that the properties of SiO 2 and Si are known, the computer can determine, for example, the thickness of the thin film by comparing FIG. 6 with theoretical expectations based on the effective reflectivity of the sample. it can. This is illustrated by FIG. 8, which compares the expected results of three different thin films, only one of which (1.80 μm) is in good agreement with the Fourier transformed interference data of FIG.

類似の解析はフーリエ変換の位相の場合にも有用である。図9および図10は、或る薄膜構造と、簡単な同種の単一表面サンプルとの間の差を示す。図9において明らかな非線形性は、薄膜効果の明らかな特徴である。ここで再び、測定と理論との間の比較は、式(10)に基づいて、重要な薄膜情報を与える。さらに、振幅情報から導出される厚み情報を用いて、式(11)からα(φ)を特定し、式(10)においてそれを用いて、種々のピクセルの中の表面高の変化hを引き出すことができる。 Similar analysis is also useful in the case of the phase of the Fourier transform. 9 and 10 show the difference between a thin film structure and a simple homogenous single surface sample. The obvious non-linearity in FIG. 9 is a clear feature of the thin film effect. Here again, the comparison between measurement and theory gives important thin film information based on equation (10). Further, using the thickness information derived from the amplitude information, α Z (φ) is identified from Equation (11), and is used in Equation (10) to determine the change in surface height h in various pixels. It can be pulled out.

他の実施形態では、図1とは異なる干渉法システムを用いて、カメラの各ピクセルにおける走査式干渉データI(ζ,h)を与えることができる。たとえば、その干渉法システムには、図11に示されるようなミロー型干渉計を用いることができる。   In other embodiments, a different interferometry system than in FIG. 1 can be used to provide the scanned interference data I (ζ, h) at each pixel of the camera. For example, a mirrow interferometer as shown in FIG. 11 can be used for the interferometry system.

図11を参照すると、光源モジュール205が照明光206をビームスプリッタ208に与え、ビームスプリッタ208はその光をミロー型干渉対物レンズアセンブリ210に誘導する。アセンブリ210は、対物レンズ211と、その小さな中央部に反射コーティングを有し、参照ミラー215を画定する参照平面212と、ビームスプリッタ213とを備える。動作中に、対物レンズ211が、参照平面212を通して、テストサンプル220に向かって照明光を集束する。ビームスプリッタ213が集束した光の第1の部分を参照ミラー215に反射して参照光222を規定し、集束した光の第2の部分をテストサンプル220に透過して測定光224を規定する。その後、ビームスプリッタ213は、テストサンプル220から反射された(あるいは散乱された)測定光を参照ミラー215から反射された参照光と再合成し、対物レンズ211および結像レンズ230が合成された光を結像して検出器(たとえば、マルチピクセルカメラ)240上で干渉を引き起こす。図1のシステムと同様に、検出器からの測定信号(1つあるいは複数)がコンピュータ(図示せず)に送られる。   Referring to FIG. 11, the light source module 205 provides illumination light 206 to a beam splitter 208 that directs the light to a mirro interference objective lens assembly 210. The assembly 210 includes an objective lens 211, a reference plane 212 having a reflective coating at its small central portion and defining a reference mirror 215, and a beam splitter 213. In operation, the objective lens 211 focuses the illumination light through the reference plane 212 toward the test sample 220. The beam splitter 213 reflects the first portion of the focused light to the reference mirror 215 to define the reference light 222, and transmits the second portion of the focused light to the test sample 220 to define the measurement light 224. Thereafter, the beam splitter 213 recombines the measurement light reflected (or scattered) from the test sample 220 with the reference light reflected from the reference mirror 215, and the light obtained by combining the objective lens 211 and the imaging lens 230. To cause interference on a detector (eg, a multi-pixel camera) 240. Similar to the system of FIG. 1, the measurement signal (s) from the detector is sent to a computer (not shown).

図11の実施形態の走査は、ミロー型干渉対物レンズアセンブリ210に結合された圧電変換器(PZT)260を含み、それは対物レンズ211の光軸に沿ってテストサンプル220に対してアセンブリ210を全体として走査し、カメラの各ピクセルにおいて走査式干渉法データI(ζ,h)を与えるように構成される。別法では、PZTアクチュエータ270によって示されるように、PZTはアセンブリ210ではなく、テストサンプルに結合され、その間に相対的な動きを与えることができる。さらに別の実施形態では、走査は、対物レンズ211の光軸に沿って対物レンズ211に対して参照ミラー215およびビームスプリッタ213のうちの一方あるいは両方を動かすことにより与えられることができる。   The scan of the embodiment of FIG. 11 includes a piezoelectric transducer (PZT) 260 coupled to a mirro interference objective lens assembly 210, which completes the assembly 210 relative to the test sample 220 along the optical axis of the objective lens 211. And scanning interferometry data I (ζ, h) is provided at each pixel of the camera. Alternatively, as indicated by the PZT actuator 270, the PZT can be coupled to the test sample, rather than the assembly 210, to provide relative movement therebetween. In yet another embodiment, scanning can be provided by moving one or both of the reference mirror 215 and beam splitter 213 relative to the objective lens 211 along the optical axis of the objective lens 211.

光源モジュール205は、空間的に延長された光源201と、レンズ202および203によって形成される望遠鏡と、レンズ202の前部焦点面(それはレンズ203の後部焦点面と一致する)内に配置される絞り204とを備える。この配列は、空間的に延長された光源をミロー型干渉対物レンズアセンブリ210の瞳面245上に結像し、それはケーラー照明による結像の一例である。絞りのサイズはテストサンプル220上の照明野のサイズを制御する。他の実施形態では、光源モジュールは、空間的に延長された光源がテストサンプル上に直に結像される配列を含むことができ、それはクリティカル照明による結像として知られている。いずれのタイプの光源モジュールも、図1のリンニク型走査式干渉法システムとともに用いることができる。   The light source module 205 is disposed within the spatially extended light source 201, the telescope formed by the lenses 202 and 203, and the front focal plane of the lens 202 (which coincides with the rear focal plane of the lens 203). And a diaphragm 204. This arrangement images a spatially extended light source onto the pupil plane 245 of the Milo interference objective assembly 210, which is an example of imaging with Koehler illumination. The aperture size controls the size of the illumination field on the test sample 220. In other embodiments, the light source module can include an array in which a spatially extended light source is imaged directly onto the test sample, which is known as imaging with critical illumination. Either type of light source module can be used with the Linnik scanning interferometry system of FIG.

さらに別の実施形態では、走査式干渉計はマイケルソン型からなることができる。
本発明のさらに別の実施形態では、走査式干渉法システムを用いて、テストサンプルについての、角度に依存する散乱あるいは回折情報、すなわち散乱光測定(スキャタロメトリ)のための情報を特定することができる。たとえば、走査式干渉法システムを用いて、非常に狭い範囲の入射角(たとえば、概ね垂直な入射、あるいはそうでなければコリメートされた入射)のみにわたるテスト入射でテストサンプルを照明することができ、その後、テストサンプルによって散乱あるいは回折されることができる。サンプルから出射する光はカメラに結像されて、先に説明されたように参照光と干渉する。先に説明された実施形態の反射された光の場合と同様に、走査式干渉法信号内の各成分の空間周波数は、テストサンプルから出射するテスト光の角度に大きく依存するであろう。概ね垂直な入射の場合、空間周波数は以下の式に従って変化する。
In yet another embodiment, the scanning interferometer can be of the Michelson type.
In yet another embodiment of the present invention, a scanning interferometry system is used to identify angle-dependent scattering or diffraction information, ie information for scattered light measurements (scatterometry), for a test sample. Can do. For example, a scanning interferometry system can be used to illuminate a test sample with a test incidence over only a very narrow range of incidence angles (eg, generally normal incidence or otherwise collimated incidence) It can then be scattered or diffracted by the test sample. The light emitted from the sample is imaged on the camera and interferes with the reference light as described above. As with the reflected light of the previously described embodiment, the spatial frequency of each component in the scanning interferometry signal will depend largely on the angle of the test light exiting from the test sample. For near normal incidence, the spatial frequency varies according to the following equation:

Figure 0004563811
Figure 0004563811

その式は、垂直な入射であるために、式(4)とは2倍だけ異なる。
しかしながら、数学的な解析の他の部分に変わりはなく、散乱あるいは回折するテストサンプルからの走査式干渉法データI(ζ,h)は、式(7)〜(10)に従って解析され、テストサンプルのための、角度に依存しない位相および振幅散乱/回折係数を与えることができる。こうして、垂直方向への走査(すなわち、対物レンズの光軸に沿った走査)と、それに続くフーリエ解析によって、対物レンズの後部焦点面を直に利用することなく、あるいは結像することなく、出射する角度の関数として回折および/または散乱された光を測定できるようになる。さらに、上記のように、そのような光学特性の角度依存性は、結像システムの分解能およびカメラピクセルサイズに基づいて、テストサンプルのエリアにわたって局部的に特定されることができる。たとえば、概ね垂直に入射する照明を与えるために、光源モジュールは、瞳面に点光源を結像するように、あるいは別の方法で、照明光が測定対物レンズの開口数を占める度合いを減らすように構成されることができる。散乱光測定技法は、格子ライン、エッジ、あるいは全体的な表面粗さのような、光をより大きな角度で回折および/または散乱することができる、サンプル表面内の離散的な構造を解明するために有用な場合がある。
The equation differs from equation (4) by a factor of 2 because of normal incidence.
However, there is no change in other parts of the mathematical analysis. Scanning interferometry data I (ζ, h) from a scattered or diffracted test sample is analyzed according to equations (7) to (10), and the test sample Angle-independent phase and amplitude scatter / diffraction coefficients can be provided. Thus, by scanning in the vertical direction (ie, scanning along the optical axis of the objective lens) and subsequent Fourier analysis, it is possible to exit without directly using the rear focal plane of the objective lens or imaging. It becomes possible to measure diffracted and / or scattered light as a function of angle to Furthermore, as described above, the angular dependence of such optical properties can be determined locally across the area of the test sample based on the resolution of the imaging system and the camera pixel size. For example, to provide illumination that is approximately normal, the light source module may image a point light source on the pupil plane or otherwise reduce the degree to which the illumination light occupies the numerical aperture of the measurement objective. Can be configured. Scattered light measurement techniques are used to elucidate discrete structures within the sample surface that can diffract and / or scatter light at larger angles, such as grating lines, edges, or overall surface roughness May be useful to.

上記の実施形態では、瞳面の光の偏光状態がランダムである、すなわちs(入射面に対して直交する)偏光およびp(入射面に対して直交する)偏光の両方が概ね等しい量からなるものと仮定している。瞳面(たとえば、リンニク型干渉計の場合の測定対物レンズの後部焦点面およびミロー型干渉計の場合の共通対物レンズの後部焦点面)内に配置されるラジアル偏光子によって実現されることができるような、純粋なs偏光を含む、別の偏光も実現可能である。そのようなラジアル偏光が図12に示される。他の実現可能な偏光は、ラジアルp偏光、円偏光、および偏光解析測定のための変調(たとえば、2状態であり、一方の状態が他方の状態に後続する)偏光を含む。言い換えると、テストサンプルの光学特性が、その角度依存性に関してだけでなく、その偏光依存性に関して、あるいは選択された偏光に関しても解明されることができる。そのような情報を用いて、薄膜構造の特性評価の精度を改善することもできる。   In the above embodiment, the polarization state of the light on the pupil plane is random, i.e. both s (orthogonal to the entrance plane) and p (orthogonal to the entrance plane) polarizations are of approximately equal amounts. It is assumed. It can be realized by a radial polarizer placed in the pupil plane (for example the rear focal plane of the measurement objective in the case of a Linnik interferometer and the rear focal plane of the common objective in the case of a Millo interferometer). Other polarizations are also feasible, including pure s-polarization. Such radial polarization is shown in FIG. Other possible polarizations include radial p-polarization, circular polarization, and modulation (e.g., two states, one state follows the other) for ellipsometric measurements. In other words, the optical properties of the test sample can be elucidated not only with respect to its angular dependence, but also with respect to its polarization dependence or with respect to the selected polarization. Such information can also be used to improve the accuracy of thin film structure characterization.

そのような偏光解析測定を実現するために、走査式干渉法システムは、瞳面内に固定あるいは可変の偏光子を含むことができる。再び図11を参照すると、たとえば、ミロー型干渉法システムは、テストサンプル上に入射する光、およびテストサンプルから出射する光のための所望の偏光を選択するために、瞳面内に偏光光学系280を備える。さらに、その偏光光学系は、選択された偏光を変更するように構成変更可能にされることができる。その偏光光学系は、所与の偏光を選択するために、偏光子、波長板、アポディゼーション絞りおよび/または変調素子を含む1つ以上の素子を備えることができる。さらに、偏光光学系は、エリプソメータに類似のデータを生成するために、固定されるか、構造化されるか、あるいは構成変更可能にされることができる。たとえば、ラジアルに偏光された瞳面でs偏光のための第1の測定が行われ、その後、ラジアルに偏光された瞳面でp偏光のための測定が行われる。別の例では、線形に偏光された光でアポダイズされた瞳面、たとえば、任意の所望の線形偏光状態を物体に誘導するように瞳面において回転することができるスリットあるいは光学くさび、あるいは液晶ディスプレイのような構成変更可能なスクリーンを用いることができる。   In order to implement such ellipsometric measurements, the scanning interferometry system can include a fixed or variable polarizer in the pupil plane. Referring again to FIG. 11, for example, a mirro-type interferometry system uses a polarizing optical system in the pupil plane to select the desired polarization for light incident on and emitted from the test sample. 280. Furthermore, the polarization optics can be made configurable to change the selected polarization. The polarization optics can include one or more elements including a polarizer, a waveplate, an apodization stop, and / or a modulation element to select a given polarization. Furthermore, the polarization optics can be fixed, structured, or reconfigurable to generate data similar to an ellipsometer. For example, a first measurement for s-polarization is performed on a radially polarized pupil plane, and then a measurement for p-polarization is performed on a radially polarized pupil plane. In another example, a pupil plane apodized with linearly polarized light, eg, a slit or optical wedge that can be rotated in the pupil plane to induce any desired linear polarization state to the object, or a liquid crystal display A screen whose configuration can be changed can be used.

さらに、偏光光学系は、瞳面を横切って可変の偏光を与えることができる(たとえば、
複数の偏光子あるいは空間変調器を備えることによる)。こうして、たとえば、浅い角度よりも大きな入射角の場合に異なる偏光を与えることにより、空間周波数に従って偏光状態を「タグ付け」することができる。
Furthermore, polarization optics can provide variable polarization across the pupil plane (eg,
By providing multiple polarizers or spatial modulators). Thus, for example, the polarization state can be “tagged” according to spatial frequency by providing different polarizations for incident angles greater than shallow angles.

さらに別の実施形態では、選択可能な偏光が、偏光の関数として位相シフトと組み合わせられることができる。たとえば、偏光光学系は、瞳面内に配置される直線偏光子と、それに後続する、その瞳面の向かい合った象限内に2つの波長板(たとえば1/8波長板)とを含むことができる。直線偏光の結果として、対物レンズの入射面に対して最大範囲の偏光角度が生成される。波長板が、たとえば支配的なs偏光された光が或る一定の位相シフトを有するように位置合わせされる場合には、ラジアルs偏光およびp偏光の両方の光が同時に存在するが、干渉計が基本信号としてこれら2つの偏光状態間の差を実効的に検出しているように、互いに対して、たとえばπだけ位相がシフトされる。   In yet another embodiment, selectable polarization can be combined with phase shift as a function of polarization. For example, a polarizing optical system can include a linear polarizer placed in the pupil plane followed by two wave plates (eg, 1/8 wave plate) in opposite quadrants of the pupil plane. . As a result of the linear polarization, a maximum range of polarization angles is generated with respect to the entrance surface of the objective lens. If the waveplate is aligned such that, for example, the dominant s-polarized light has a certain phase shift, both radial s-polarized and p-polarized light are present simultaneously, but the interferometer Phase shift relative to each other, for example by π, so that effectively detects the difference between these two polarization states as the fundamental signal.

上記のように、瞳面内に偏光光学系を配置することにより、種々の角度分解されたタイプの偏光を測定できるようになる。しかしながら、さらに別の実施形態では、偏光光学系は装置内の他の場所に配置されることもできる。たとえば、直線偏光は、システム内のどの場所においても達成されることができる。   As described above, by arranging the polarization optical system in the pupil plane, various types of angle-resolved types of polarized light can be measured. However, in yet another embodiment, the polarization optics can be located elsewhere in the apparatus. For example, linear polarization can be achieved anywhere in the system.

さらに別の実施形態では、上記の反射光測定、散乱光測定および偏光解析技法のうちの任意のものが種々の波長の場合に順に繰り返されて、対象のサンプル光学特性の波長依存性を与えることができる。そのような情報は、さらに複雑な表面モデルに一致させるために用いることができる。   In yet another embodiment, any of the reflected light measurement, scattered light measurement, and ellipsometry techniques described above are repeated in sequence for different wavelengths to provide the wavelength dependence of the sample optical properties of interest. Can do. Such information can be used to match more complex surface models.

本発明の他の実施形態は広帯域照明を含むことができる。たとえば、その照明は、たとえば白色光干渉顕微鏡において一般的であるように広帯域にすることができる。これにより、コンピュータが複雑な表面モデルに最も良く適合するものを見つけることができる情報の量が増える。   Other embodiments of the invention can include broadband illumination. For example, the illumination can be broadband, as is common in white light interference microscopes, for example. This increases the amount of information that a computer can find to best fit a complex surface model.

走査式干渉法システムのための光源には、たとえば、レーザ、レーザダイオード、発光ダイオード、フィルタリングされた白熱光源およびアーク灯のうちの任意のものを用いることができる。   The light source for the scanning interferometry system can be, for example, any of a laser, laser diode, light emitting diode, filtered incandescent light source, and arc lamp.

上記の方法およびシステムは、半導体への応用形態の場合に特に有用である可能性がある。本発明のさらに別の実施形態は、後に説明される半導体への応用形態のうちの任意のものに対処するために上記の測定技法のうちの任意のものを適用することを含む。   The above methods and systems may be particularly useful for semiconductor applications. Yet another embodiment of the invention involves applying any of the above measurement techniques to address any of the semiconductor applications described below.

半導体産業では、現在、表面トポグラフィの定量的な測定を行うことが大きな関心を集めている。通常のチップフィーチャのサイズが小さいことに起因して、これらの測定を行うために用いられる機器は通常、チップ表面に対して平行および垂直の両方において高い空間分解能を有さなければならない。技術者および科学者は工程管理のために、そして特にエッチング、研磨、洗浄およびパターニングのような工程の結果として、製造過程において生じる欠陥を検出するために、表面トポグラフィ測定システムを利用する。   In the semiconductor industry, there is currently a great interest in making quantitative measurements of surface topography. Due to the small size of normal chip features, the equipment used to make these measurements typically must have a high spatial resolution both parallel and perpendicular to the chip surface. Engineers and scientists utilize surface topography measurement systems for process control and to detect defects that occur in the manufacturing process, especially as a result of processes such as etching, polishing, cleaning and patterning.

工程管理および欠陥検出において特に実用的であるためには、表面トポグラフィ測定システムは、通常の表面フィーチャの横方向サイズに相当する横方向分解能と、許容された最も低い表面段差高に相当する縦方向分解能とを有さなければならない。通常、これは、1ミクロン未満の横方向分解能と、1ナノメートル未満の縦方向分解能とを要求する。また、そのようなシステムは、チップの表面を変更するか、あるいは欠陥を導入するのを避けるために、チップの表面に接触するか、あるいは接触しない場合でも、表面に損傷を与える可能性がある力を加えることなく、その測定を行うことが好ましい。さらに、チップ
形成において用いられる数多くの工程の影響が、パターン密度およびエッジ近接のような局部的な要因に大きく依存することがよく知られているので、表面トポグラフィ測定システムは、高い測定スループット、および1つあるいは多くの対象の表面フィーチャを含むことができる領域内の大きな面積にわたって細かくサンプリングする能力を有することも重要である。
In order to be particularly practical in process management and defect detection, the surface topography measurement system has a lateral resolution that corresponds to the lateral size of normal surface features and a longitudinal direction that corresponds to the lowest allowed surface step height. Must have resolution. This typically requires a lateral resolution of less than 1 micron and a longitudinal resolution of less than 1 nanometer. Also, such a system can damage the surface even if it contacts or does not contact the surface of the chip to avoid changing the surface of the chip or introducing defects. It is preferable to perform the measurement without applying force. In addition, it is well known that the effects of numerous processes used in chip formation are highly dependent on local factors such as pattern density and edge proximity, so surface topography measurement systems have high measurement throughput, and It is also important to have the ability to sample finely over a large area within a region that can contain one or many surface features of interest.

チップメーカの間では、チップの種々の部分の間の電気的な配線を形成するために、いわゆる「デュアルダマシン銅」配線工程を用いることが一般的になってきている。これは、適当な表面トポグラフィシステムを用いて実効的に特徴を抽出されることができる工程の一例である。デュアルダマシン工程は5つの部分、すなわち(1)誘電体材料(たとえばポリマーあるいはガラス)の層がウェーハ(複数の個別のチップを含む)の表面上に堆積される、層間誘電体(ILD)堆積)、(2)高精度の光学リソグラフィのために適した滑らかな表面を作り出すために誘電体層が研磨される、化学機械研磨(CMP)、(3)ウェーハ表面に対して平行に延在する狭いトレンチ、およびトレンチの底部から下側の(以前に画定された)導電層まで延在する小さなバイアを含む複雑な回路網が作製される、リソグラフィパターニングおよび反応性イオンエッチングステップの組み合わせ、(4)結果としてトレンチおよびバイアが溢れるまで銅で満たされる、金属堆積ステップの組み合わせ、(5)余分な銅が除去され、誘電体材料によって囲まれる、銅で満たされたトレンチ(そして、おそらくバイア)からなる回路網を残す、最終的な化学機械研磨(CMP)ステップを有すると考えるができる。   It has become common for chip manufacturers to use so-called “dual damascene copper” wiring processes to form electrical wiring between various parts of the chip. This is an example of a process in which features can be extracted effectively using a suitable surface topography system. The dual damascene process consists of five parts: (1) Interlayer dielectric (ILD) deposition, where a layer of dielectric material (eg, polymer or glass) is deposited on the surface of a wafer (including multiple individual chips). (2) Dielectric layer is polished to create a smooth surface suitable for high precision optical lithography, chemical mechanical polishing (CMP), (3) narrow extending parallel to the wafer surface A combination of lithographic patterning and reactive ion etching steps in which a complex network is created that includes trenches and small vias extending from the bottom of the trench to the lower (previously defined) conductive layer, (4) As a result, a combination of metal deposition steps, filled with copper until the trenches and vias overflow, (5) excess copper is removed, dielectric Surrounded by a material, a trench filled with copper (and possibly vias) leaving network consisting, it is considered to have a final chemical mechanical polishing (CMP) step.

通常、トレンチエリア内の銅の厚み(すなわちトレンチの深さ)、およびそれを取り囲む誘電体の厚みは、0.2〜0.5ミクロンの範囲内にある。結果として形成されるトレンチの幅は、100〜100,000ナノメートルの範囲を有することができ、各チップ内の銅領域は、或る領域では、平行な線のアレイのような規則的なパターンを形成することができ、他の領域では、明らかなパターンを有さない場合がある。同様に、或る領域内では、その表面は銅領域で高密度に覆われることができ、他の領域では、銅領域は疎らな場合がある。研磨速度、それゆえ研磨後に残される銅(および誘電体)の厚みが、研磨条件(たとえば、パッド圧および研磨スラリー組成)に、ならびに銅およびそれを取り囲む誘電体領域の局部的な細かい配列(すなわち、向き、近接性および形状)に、大きく、しかも複雑な態様で依存することを理解することが重要である。   Typically, the thickness of copper in the trench area (i.e., the depth of the trench) and the thickness of the dielectric surrounding it is in the range of 0.2 to 0.5 microns. The width of the resulting trench can have a range of 100-100,000 nanometers, and the copper regions in each chip can be a regular pattern, such as an array of parallel lines in some regions. In other regions, there may be no obvious pattern. Similarly, in some areas, the surface can be densely covered with copper areas, while in other areas the copper areas may be sparse. The polishing rate, and hence the thickness of copper (and dielectric) left after polishing, depends on the polishing conditions (eg, pad pressure and polishing slurry composition) and the local fine arrangement of copper and the surrounding dielectric regions (ie , Orientation, proximity and shape) is important to understand in a large and complex manner.

この「位置に依存する研磨速度」は、多くの横方向の長さのスケールにおいて、多様な表面トポグラフィを引き起こすことが知られている。たとえば、それは、全体としてウェーハのエッジ付近に配置されるチップが中央付近に配置されるチップよりも短時間で研磨され、エッジ付近において所望の厚みよりも薄く、中央において所望の厚みよりも厚い銅領域が作製されることを意味するであろう。これは、「ウェーハスケール」の工程不均一性、すなわちウェーハの直径に相当する長さのスケールにおいて生じる不均一性の一例である。また、高密度の銅トレンチを有する領域が、銅線の密度が低い領域の近くよりも速く研磨されることも知られている。この結果として、高密度の銅領域において「CMPによって引き起こされる浸食」として知られている現象が生じる。これは、「チップスケール」の工程不均一性、すなわち、単一のチップの直線寸法に相当する(そしてそれよりもはるかに小さい場合がある)長さのスケールにおいて生じる不均一性の一例である。「ディッシング」として知られている、別のタイプのチップスケール不均一性は、単一の銅で満たされたトレンチ領域内で生じる(それは、取り囲む誘電体材料よりも速く研磨される傾向がある)。幅が数ミクロンよりも大きなトレンチの場合、ディッシングの結果として、影響を及ぼされた線が後に過大な電気抵抗を示し、チップ破損に繋がる場合があるので、深刻になる。   This “position dependent polishing rate” is known to cause a variety of surface topographies at many lateral length scales. For example, it is generally the case that a chip placed near the edge of a wafer is polished in a shorter time than a chip placed near the center, and is thinner than the desired thickness near the edge and thicker than the desired thickness at the center. It will mean that the region is created. This is an example of “wafer scale” process non-uniformity, that is, non-uniformity that occurs on a length scale corresponding to the diameter of the wafer. It is also known that regions with high density copper trenches are polished faster than near regions with low copper wire density. This results in a phenomenon known as “CMP-induced erosion” in dense copper areas. This is an example of “chip scale” process non-uniformity, that is, non-uniformity that occurs in a length scale that corresponds to (and may be much smaller) the linear dimensions of a single chip. . Another type of chip scale non-uniformity known as “dishing” occurs within a single copper filled trench region (it tends to polish faster than the surrounding dielectric material). . For trenches with a width greater than a few microns, dishing results in severe effects because the affected line will later exhibit excessive electrical resistance and may lead to chip breakage.

CMPによって引き起こされるウェーハおよびチップスケールの工程不均一性は、本質
的に予測するのは難しく、それらは、CMP処理システム内の条件が徐々に変わるのに応じて、時間とともに変化する傾向がある。任意の不均一性が確実に許容可能な限度内に入るようにする目的で、工程条件を実効的にモニタし、適当に調整するために、工程技術者が、多数の、かつ多種多様な場所においてチップの非接触表面トポグラフィ測定を頻繁に行うことが重要である。これは、上記の干渉法技法の実施形態を用いて実現可能である。
Wafer and chip scale process non-uniformities caused by CMP are inherently difficult to predict and they tend to change over time as conditions within the CMP processing system change gradually. In order to ensure that any inhomogeneities are within acceptable limits, process engineers can use many and many different locations to effectively monitor and appropriately adjust process conditions. It is important to frequently perform non-contact surface topography measurement of the chip. This can be achieved using the embodiments of interferometry techniques described above.

より一般的には、上記の干渉法技法は、以下の表面解析の問題のうちの任意のもの、すなわち、単純な薄膜、多層の薄膜、回折あるいはそれ以外の複雑な干渉効果を引き起こす鋭いエッジおよび表面フィーチャ、分解能以下の表面粗さ、分解能以下の表面フィーチャ、たとえばその溝がなければ滑らかであるような表面上にある、波長よりも短い幅の溝、異種の材料、表面の偏光に依存する特性、および結果として入射角に依存する干渉現象の摂動を生じる表面あるいは変形し得る表面フィーチャの反り、振動あるいは動きに対して用いることができる。単純な薄膜の場合には、対象となる可変パラメータとして、薄膜厚、薄膜の屈折率、基板の屈折率あるいはそのいくつかの組み合わせを用いることができる。たとえば、異種の材料の場合には、その表面は薄膜および固体金属の組み合わせを含むことができ、角度に依存する表面特性を両方の表面構造タイプを含む理論的な予測値のライブラリに適合させる操作が行われ、対応する干渉強度信号に対して一致させることにより、薄膜あるいは固体金属が自動的に特定されるであろう。   More generally, the interferometry techniques described above can be applied to any of the following surface analysis problems: simple thin films, multilayer thin films, sharp edges that cause diffraction or other complex interference effects, and Depends on surface features, sub-resolution surface roughness, sub-resolution surface features, for example, grooves shorter than wavelength, dissimilar materials, surface polarization on a surface that is otherwise smooth It can be used for warping, vibrations or movements of surfaces or deformable surface features which cause perturbations of properties and consequently interference phenomena depending on the angle of incidence. In the case of a simple thin film, the target variable parameter can be the thin film thickness, the refractive index of the thin film, the refractive index of the substrate, or some combination thereof. For example, in the case of dissimilar materials, the surface can include a combination of thin films and solid metals, and operations that adapt angle-dependent surface properties to a library of theoretical predictions that include both surface structure types. And a thin film or solid metal will be automatically identified by matching against the corresponding interference intensity signal.

上記のコンピュータ解析法の任意のものがハードウエアまたはソフトウエア、あるいは両方の組み合わせで実施されることができる。その方法は、本明細書において説明される方法および図に従って、標準的なプログラミング技法を用いてコンピュータプログラムにおいて実施されることができる。プログラムコードが入力データに適用されて、本明細書に記載される関数が実行され、出力情報が生成される。その出力情報は、ディスプレイモニタのような1つ以上の出力デバイスに与えられる。各プログラムは、コンピュータシステムとやり取りするための高水準の手続き形あるいはオブジェクト指向プログラミング言語において実現されることができる。しかしながら、所望により、そのプログラムは、アセンブリあるいは機械語において実現されることもできる。いずれの場合でも、言語にはコンパイル済みあるいは翻訳済みの言語を用いることができる。さらに、そのプログラムは、その目的を果たすためにプログラミングされた専用の集積回路上で実行されることができる。   Any of the computer analysis methods described above can be implemented in hardware or software, or a combination of both. The method can be implemented in a computer program using standard programming techniques in accordance with the methods and figures described herein. Program code is applied to the input data to execute the functions described herein and generate output information. The output information is provided to one or more output devices such as a display monitor. Each program can be implemented in a high level procedural or object oriented programming language for interacting with a computer system. However, if desired, the program can be implemented in assembly or machine language. In either case, the language can be a compiled or translated language. In addition, the program can be executed on a dedicated integrated circuit that is programmed to serve that purpose.

そのような各コンピュータプログラムは、コンピュータによって読み取られ、本明細書に記載される手順を実行する際に、コンピュータを構成しかつ動作させるための、汎用あるいは専用のプログラム可能コンピュータによって読取り可能な記憶媒体あるいはデバイス(たとえば、ROMあるいは磁気ディスケット)に記憶されることが好ましい。コンピュータプログラムは、プログラム実行中にキャッシュあるいはメインメモリ内に存在することもできる。その解析方法は、コンピュータプログラムで構成される、コンピュータ読取り可能記憶媒体として実現されることもでき、その場合には、そのように構成される記憶媒体によって、コンピュータが特定の、かつ予め決められた態様で動作し、本明細書に記載される関数を実行する。   Each such computer program is read by a computer and a storage medium readable by a general purpose or special purpose programmable computer for configuring and operating the computer in performing the procedures described herein. Alternatively, it is preferably stored in a device (eg, ROM or magnetic diskette). Computer programs can also reside in cache or main memory during program execution. The analysis method can also be realized as a computer-readable storage medium configured by a computer program, in which case the computer is specific and predetermined by the storage medium configured as such. Operating in a manner and performing the functions described herein.

本発明の複数の実施形態が説明されてきた。それにもかかわらず、本発明の精神および範囲から逸脱することなく、種々の変更がなされることができることは理解されよう。   A number of embodiments of the invention have been described. Nevertheless, it will be understood that various modifications can be made without departing from the spirit and scope of the invention.

リンニク型走査式干渉法システムの概略図。Schematic of the Linnik scanning interferometry system. 対物レンズを通してテストサンプルを照明することを示す図。The figure which shows illuminating a test sample through an objective lens. 薄膜構造の図。FIG. 550nm単色光および0.9NAリンニク型対物レンズを用いてシミュレートされた、Si上に1.8μmのSiOを積層する図3に示される構造のための干渉縞I(ζ,h)で、両方の表面からの干渉信号が混合されている図。With fringes I (ζ, h) for the structure shown in FIG. 3 laminated with 1.8 μm SiO 2 on Si, simulated using 550 nm monochromatic light and a 0.9 NA Linnik objective. The figure shows a mixture of interference signals from both surfaces. 図4と比較するための、簡単な単一表面SiOサンプルの(すなわち薄膜が存在しない)場合のシミュレートされた干渉縞I(ζ,h)の図。FIG. 5 is a diagram of simulated interference fringes I (ζ, h) for a simple single surface SiO 2 sample (ie, no film is present) for comparison with FIG. 図3の薄膜構造を垂直方向に走査することによって生成される、図4の信号のフーリエ変換の大きさQ(φ,h)を示し、空間周波数は式(4)に従って入射角に関連する図。FIG. 4 shows the magnitude Q (φ, h) of the Fourier transform of the signal of FIG. 4 produced by scanning the thin film structure of FIG. 3 in the vertical direction, the spatial frequency is a figure related to the incident angle according to equation (4). . 単一表面サンプルの場合の図5の信号のフーリエ変換の大きさQ(φ,h)を示し、下側の空間周波数において大きさが増加するのは、浅い入射角において反射率が増加する結果である、グラフ。FIG. 5 shows the Fourier transform magnitude Q (φ, h) of the signal of FIG. 5 for a single surface sample, where the magnitude increases at the lower spatial frequency as a result of increased reflectivity at shallow angles of incidence. Is the graph. 0.02μmだけ厚みが増していく3つの薄膜厚の場合の図3の、Si上にSiOがある薄膜構造のためのP(φ)V(φ)(Z(φ))1/2の予想される結果を比較するグラフ(式(9)を参照)。P (φ) V 0 (φ) (Z (φ)) 1/2 for the thin film structure with SiO 2 on Si in FIG. 3 for three thin film thicknesses increasing in thickness by 0.02 μm. A graph comparing the expected results of (see equation (9)). 図3の薄膜構造を垂直方向に走査することによって生成される図4の信号のための、空間周波数の関数としての位相α(φ,h)し、空間周波数は式(4)に従って入射角に関連付けられ、図10のより簡単な単一表面反射と比較して、位相の勾配だけでなく、際立った非線形性を示すグラフ。The phase α Q (φ, h) as a function of spatial frequency for the signal of FIG. 4 generated by scanning the thin film structure of FIG. 3 in the vertical direction, and the spatial frequency is the angle of incidence according to equation (4) FIG. 11 is a graph showing significant non-linearities as well as phase gradients compared to the simpler single surface reflection of FIG. 図9と比較するための、単一表面パターンの場合の図5の信号のための空間周波数の関数としての位相α(φ,h)のグラフ。FIG. 10 is a graph of phase α Q (φ, h) as a function of spatial frequency for the signal of FIG. 5 for a single surface pattern for comparison with FIG. ミロー型走査式干渉法システムの概略図。1 is a schematic diagram of a Miro type scanning interferometry system. 瞳面のラジアル偏光を示す図。The figure which shows the radial polarization of a pupil surface.

Claims (46)

テスト光をテスト物体(112)に入射光として入射させることによって前記テスト物体(112)から出射する出射光を、前記出射光の角度(φ)の或る範囲にわたって検出器(120)上で参照光(106)と干渉するように結像させる方法である干渉計法であって、前記干渉計法は、
前記テスト光と前記参照光(106)を、共通の光源(102)から生成させる工程と;
前記光源(102)から前記検出器(120)までの前記出射光と前記参照光(106)の間の光路長差を、前記角度(φ)の変化に対応して変更する光路長差変更工程と;
それぞれの前記角度(φ)において前記光路長差を変更させながら、前記出射光と前記参照光(106)の間の干渉に基づき、前記テスト物体(112)の光学特性の、前記角度(φ)への依存度合いを示す角度依存性を決定する角度依存決定工程と
を含み、
前記角度依存決定工程は、
前記角度(φ)の変化と同時に前記光路長差が変化するのに応じて、前記検出器(120)から干渉信号(g)を測定する工程と;
前記干渉信号(g)を、それぞれ前記角度(φ)に対応して前記光路長差に線形に比例するように移動する走査座標(ζ)に関して変換することによって、前記走査座標(ζ)に関して前記入射光や前記出射光に対して直接マッピングされる共役変数(K)に依存する変換信号(I)を生成する変換信号生成工程
を含むことを特徴とする、干渉計法。
By making the test light incident on the test object (112) as incident light, the outgoing light emitted from the test object (112) is referred to on the detector (120) over a certain range of the angle (φ) of the outgoing light . An interferometry method that forms an image so as to interfere with light (106), wherein the interferometry method comprises:
Generating the test light and the reference light (106) from a common light source (102);
An optical path length difference changing step of changing an optical path length difference between the emitted light from the light source (102) to the detector (120) and the reference light (106) in accordance with a change in the angle (φ). When;
The angle (φ) of the optical characteristics of the test object (112) based on the interference between the emitted light and the reference light (106) while changing the optical path length difference at each of the angles (φ). An angle dependency determining step of determining an angle dependency indicating a degree of dependency on
The angle dependence determination step includes
Measuring an interference signal (g) from the detector (120) as the optical path length difference changes simultaneously with the change of the angle (φ);
The interference signal (g), by converting for each said angle (phi) corresponding to the movement to linearly proportional to the optical path length difference scanning coordinates (zeta), previously for the scanning coordinates (zeta) A conversion signal generating step for generating a conversion signal (I) that depends on a conjugate variable (K) that is directly mapped to the incident light or the emitted light.
前記検出器(120)は、複数の検出器素子を有するカメラであり、
前記結像は、前記テスト物体(112)の種々の場所から出射する前記出射光を、前記カメラ(120)上の対応する場所に結像することを含む、
請求項1記載の干渉計法。
The detector (120) is a camera having a plurality of detector elements,
The imaging includes imaging the emitted light exiting from various locations on the test object (112) to corresponding locations on the camera (120),
The interferometer method according to claim 1.
前記角度依存決定工程は、前記テスト物体(112)の異なる場所毎に、前記角度依存性を決定することを含む、
請求項1記載の干渉計法。
The angular dependence determining step comprises determining the angular dependence for different locations of the test object (112);
The interferometer method according to claim 1.
前記角度依存性は、前記入射光の入射角(φ)の関数として変化する前記光学特性に関連する、
請求項1記載の干渉計法。
The angular dependence is related to the optical property that varies as a function of the incident angle (φ) of the incident light,
The interferometer method according to claim 1.
前記干渉計法は更に、
前記テスト光が前記入射角(φ)の範囲にわたって複数の場所に入射するように、前記テスト光によって前記テスト物体(112)の複数の場所を照射することを含む、
請求項4記載の干渉計法。
The interferometry method further comprises:
Illuminating a plurality of locations on the test object (112) with the test light such that the test light is incident on a plurality of locations over a range of the incident angle (φ).
The interferometer method according to claim 4.
前記照射と前記結像には、共通の対物レンズ(211)を使用する、
請求項5記載の干渉計法。
A common objective lens (211) is used for the irradiation and the imaging.
The interferometer method according to claim 5.
前記光源(102)は、空間的に延長された光源である、
請求項1記載の干渉計法。
The light source (102) is a spatially extended light source,
The interferometer method according to claim 1.
前記入射角(φ)の範囲は、0.7よりも大きな開口数に対応する、
請求項5記載の干渉計法。
The incident angle (φ) range corresponds to a numerical aperture greater than 0.7,
The interferometer method according to claim 5.
前記入射角(φ)の範囲は、0.9よりも大きな開口数に対応する、
請求項5記載の干渉計法。
The incident angle (φ) range corresponds to a numerical aperture greater than 0.9;
The interferometer method according to claim 5.
前記角度依存性は、前記テスト物体(112)から散乱される前記出射光の角度の関数としての光学特性の変化に関連する、
請求項1記載の干渉計法。
The angular dependence is related to a change in optical properties as a function of the angle of the emitted light scattered from the test object (112).
The interferometer method according to claim 1.
前記照射は更に、前記テスト物体(112)への均一な入射角(φ)を有する前記入射光によって、前記テスト物体(112)の複数の場所を照射することを含み、
前記結像は、前記テスト物体(112)の各場所から、或る範囲の角度にわたって散乱される前記出射光を前記検出器(120)上の対応する場所に結像することを含む、
請求項1記載の干渉計法。
The illumination further includes illuminating a plurality of locations on the test object (112) with the incident light having a uniform angle of incidence (φ) on the test object (112),
The imaging includes imaging the emitted light scattered from each location of the test object (112) over a range of angles to a corresponding location on the detector (120).
The interferometer method according to claim 1.
前記照射と前記結像には、共通の対物レンズ(211)を使用する、
請求項11記載の干渉計法。
A common objective lens (211) is used for the irradiation and the imaging.
The interferometer method according to claim 11.
前記光源(102)は、点光源である、
請求項1記載の干渉計法。
The light source (102) is a point light source.
The interferometer method according to claim 1.
前記入射角(φ)の範囲は、0.7よりも大きな開口数に対応する、
請求項11記載の干渉計法。
The incident angle (φ) range corresponds to a numerical aperture greater than 0.7,
The interferometer method according to claim 11.
前記入射角(φ)の範囲は、0.9よりも大きな開口数に対応する、
請求項11記載の干渉計法。
The incident angle (φ) range corresponds to a numerical aperture greater than 0.9;
The interferometer method according to claim 11.
前記結像は更に、前記結像に関係する光学系の瞳面(124)において前記テスト光を偏光することを含む、
請求項1記載の干渉計法。
The imaging further includes polarizing the test light at a pupil plane (124) of an optical system related to the imaging.
The interferometer method according to claim 1.
前記干渉計法は更に、
前記テスト光で前記テスト物体(112)を照射することと;
前記テスト物体(112)を照射するために用いられる光学系の瞳面(124)において、前記テスト光を偏光することと
を含む、
請求項1記載の干渉計法。
The interferometry method further comprises:
Irradiating the test object (112) with the test light;
Polarizing the test light at a pupil plane (124) of an optical system used to illuminate the test object (112),
The interferometer method according to claim 1.
前記光源(102)は、単色である、
請求項1記載の干渉計法。
The light source (102) is monochromatic.
The interferometer method according to claim 1.
前記光源(102)は、或る中心波長と、前記中心波長の2%未満のスペクトル帯域幅とを有する、
請求項18記載の干渉計法。
The light source (102) has a central wavelength and a spectral bandwidth of less than 2% of the central wavelength;
The interferometry method according to claim 18.
前記光路長差変更工程は、前記出射光を収集するために用いられる対物レンズ(110)に対して、前記テスト物体(112)を動かすことを含む、
請求項1記載の干渉計法。
The optical path length difference changing step includes moving the test object (112) with respect to an objective lens (110) used to collect the emitted light.
The interferometer method according to claim 1.
前記参照光(106)を反射するために、参照ミラー(116)が用いられ、
前記参照ミラー(116)上に前記参照光(106)を集束するために、参照対物レンズ(114)が用いられ、
前記光路長差変更工程は、前記参照対物レンズ(114)に対して前記参照ミラー(116)を動かすことを含む、
請求項1記載の干渉計法。
A reference mirror (116) is used to reflect the reference light (106),
A reference objective lens (114) is used to focus the reference beam (106) on the reference mirror (116),
The optical path length difference changing step includes moving the reference mirror (116) with respect to the reference objective lens (114).
The interferometer method according to claim 1.
前記光路長差変更工程は、ミロー型干渉対物レンズ内に配置されるビームスプリッタ(104)を動かすことを含む、
請求項1記載の干渉計法。
The step of changing the optical path length includes moving a beam splitter (104) arranged in a mirro interference objective lens.
The interferometer method according to claim 1.
前記光路長差変更工程は、空間コヒーレンス長を規定し、
前記角度のうちの少なくとも1つのための前記光路長差は、前記空間コヒーレンス長よりも大きな範囲にわたって変更される、
請求項1記載の干渉計法。
The optical path length difference changing step defines a spatial coherence length,
The optical path length difference for at least one of the angles is varied over a range greater than the spatial coherence length;
The interferometer method according to claim 1.
前記共役変数(K)は、空間周波数である、
請求項1記載の干渉計法。
The conjugate variable (K) is a spatial frequency.
The interferometer method according to claim 1.
前記共役変数(K)は空間周波数Kであり、前記テスト光の波長をλで表すと、
前記空間周波数Kと前記角度φの間の直接マッピングは、K∝(cosφ)/λによって与えられる、
請求項1記載の干渉計法。
The conjugate variable (K) is a spatial frequency K, and the wavelength of the test light is represented by λ.
The direct mapping between the spatial frequency K and the angle φ is given by K∝ (cos φ) / λ,
The interferometer method according to claim 1.
前記空間周波数Kと前記角度φの間の前記直接マッピングは、K=4π(cosφ)/λによって与えられる、
請求項25記載の干渉計法。
The direct mapping between the spatial frequency K and the angle φ is given by K = 4π (cos φ) / λ,
The interferometer method according to claim 25.
前記変換信号(I)は、前記角度依存性への直接マッピングを与える、
請求項1記載の干渉計法。
The transformed signal (I) gives a direct mapping to the angular dependence;
The interferometer method according to claim 1.
前記変換は、フーリエ変換に対応する、
請求項27記載の干渉計法。
The transform corresponds to a Fourier transform;
The interferometer method according to claim 27.
前記光学特性は、前記テスト物体(112)の複素反射率(z)に関連する、
請求項1記載の干渉計法。
The optical property is related to the complex reflectivity (z) of the test object (112);
The interferometer method according to claim 1.
前記光学特性は、前記複素反射率(z)の大きさに関連する、
請求項29記載の干渉計法。
The optical properties are related to the magnitude of the complex reflectivity (z);
30. The interferometer method according to claim 29.
前記光学特性は、前記複素反射率(z)の位相に関連する、
請求項29記載の干渉計法。
The optical property is related to the phase of the complex reflectivity (z);
30. The interferometer method according to claim 29.
前記角度依存性は、前記光路長差が前記角度(φ)毎に変更されるときの前記出射光と前記参照光(106)の間の干渉と、前記結像に関係する光学系の予め較正された角度依存特性とに基づき決定される、
請求項1記載の干渉計法。
The angle dependency is determined in advance by interference between the emitted light and the reference light (106) when the optical path length difference is changed for each angle (φ), and an optical system related to the imaging in advance. Determined based on the angle-dependent characteristics determined,
The interferometer method according to claim 1.
前記干渉計法は更に、
前記光路長差が変更されるときの前記出射光と前記参照光(106)の間の干渉に基づき、前記テスト物体(112)の表面高プロファイルを決定することを含む、
請求項1記載の干渉計法。
The interferometry method further comprises:
Determining a surface height profile of the test object (112) based on interference between the emitted light and the reference light (106) when the optical path length difference is changed,
The interferometer method according to claim 1.
前記干渉計法は更に、
前記出射光と前記参照光(106)の間の干渉から決定される前記角度依存性の変化と、前記テスト物体(112)のためのモデルの出射光の角度依存性の変化とを比較することを含む、
請求項1記載の干渉計法。
The interferometry method further comprises:
Comparing the change in angular dependence determined from the interference between the outgoing light and the reference light (106) with the change in angular dependence of the outgoing light of the model for the test object (112). including,
The interferometer method according to claim 1.
前記テスト物体(112)は、基板上に位置する少なくとも1つの薄膜を含む、
請求項34記載の干渉計法。
The test object (112) includes at least one thin film located on a substrate;
35. The interferometer method of claim 34.
前記干渉計法は更に、
前記比較に基づき、前記薄膜の厚みを決定する厚み決定工程を含む、
請求項35記載の干渉計法。
The interferometry method further comprises:
Based on the comparison, including a thickness determination step of determining the thickness of the thin film,
36. The interferometry method according to claim 35.
前記光学特性は、前記テスト物体(112)の複素反射率(z)の角度依存性の大きさを含み、
前記厚み決定工程は、前記複素反射率(z)の角度依存性の大きさと、前記出射光の角度依存性の大きさとを比較することに基づく、
請求項36記載の干渉計法。
The optical properties include the magnitude of the angular dependence of the complex reflectance (z) of the test object (112);
The thickness determination step is based on comparing the magnitude of the angular dependence of the complex reflectance (z) with the magnitude of the angular dependence of the emitted light.
The interferometry method according to claim 36.
前記干渉計法は更に、
前記比較に基づき、前記テスト物体(112)の表面高プロファイルを決定することを含む、
請求項37記載の干渉計法。
The interferometry method further comprises:
Determining a surface height profile of the test object (112) based on the comparison;
The interferometry method according to claim 37.
前記光学特性は更に、前記複素反射率(z)の角度依存性の位相を含み、
前記表面高プロファイルの決定は、前記薄膜の決定された厚みと;前記複素反射率(z)の角度依存性の位相と;決定された厚みにおける前記表面高プロファイルの角度依存性の位相とを比較することとに基づく、
請求項38記載の干渉計法。
The optical property further includes an angle dependent phase of the complex reflectivity (z),
The determination of the surface height profile compares the determined thickness of the thin film; the angle dependent phase of the complex reflectivity (z); and the angle dependent phase of the surface high profile at the determined thickness. Based on
39. An interferometry method according to claim 38.
前記テスト光と前記参照光(106)は、第1波長を有し、
前記干渉計法は更に、前記第1波長とは異なる第2波長を有するテスト光と参照光(106)の場合に、結像、光路長差の変更、および角度依存性の決定を繰返すことを含む、
請求項1記載の干渉計法。
The test light and the reference light (106) have a first wavelength,
The interferometry method further repeats imaging, changing the optical path length difference, and determining the angular dependence in the case of test light and reference light (106) having a second wavelength different from the first wavelength. Including,
The interferometer method according to claim 1.
テスト光と参照光(106)を生成する共通の光源(102)と;
検出器(120)と;
テスト物体(112)を載置する走査ステージ(126)と;
前記検出器(120)と前記走査ステージ(126)の両方に結合される電子プロセッサ(128)と
を備える走査式干渉計であって、
前記走査式干渉計は、前記テスト光を前記テスト物体(112)に入射光として入射させることによって前記テスト物体(112)から出射する出射光を、前記出射光の角度(φ)の或る範囲にわたって前記検出器(120)上で参照光(106)と干渉するように結像させるように構成され、
前記走査ステージ(126)は、前記光源(102)から前記検出器(120)までの前記出射光と前記参照光(106)の間の光路長差を、前記角度(φ)の変化に対応して変更するように移動するように構成されることによって、前記光路長差に線形に比例するように移動する走査座標(ζ)を規定するように構成され、
前記電子プロセッサ(128)は、それぞれの前記角度(φ)において前記光路長差を変更させながら、前記出射光と前記参照光(106)の間の干渉に基づき、前記テスト物体(112)の光学特性の、前記角度(φ)への依存度合いを示す角度依存性を決定するように構成され、
前記検出器(120)は、前記角度(φ)の変化と同時に前記光路長差が変化するのに応じて、干渉信号(g)を測定するように構成され、
前記電子プロセッサ(128)は、前記干渉信号(g)を、それぞれ前記角度(φ)に対応して前記走査座標(ζ)に関して変換することによって、前記走査座標(ζ)に関して前記入射光や前記出射光に対して直接マッピングされる共役変数(K)に依存する変換信号(I)を生成するように構成される
ことを特徴とする、走査式干渉計。
A common light source (102) for generating test light and reference light (106);
A detector (120);
A scanning stage (126) for mounting a test object (112);
A scanning interferometer comprising an electronic processor (128) coupled to both the detector (120) and the scanning stage (126) ,
The scanning interferometer causes the outgoing light emitted from the test object (112) to be incident on the test object (112) as incident light, by using a certain range of the angle (φ) of the outgoing light. Is configured to image to interfere with the reference beam (106) on the detector (120)
The scanning stage (126) corresponds to an optical path length difference between the emitted light from the light source (102) to the detector (120) and the reference light (106) according to a change in the angle (φ). Is configured to define a scanning coordinate (ζ) that moves linearly proportional to the optical path length difference,
The electronic processor (128) changes the optical path length difference at each of the angles (φ), and based on the interference between the emitted light and the reference light (106), the optical of the test object (112) properties, is configured to determine the angular dependence showing the dependence degree of the to angle (phi),
The detector (120) is configured to measure an interference signal (g) in response to a change in the optical path length simultaneously with a change in the angle (φ),
Said electronic processor (128), said interference signal (g), by converting for each said angle (phi) the scan coordinates corresponding to (zeta), the incident light and the respect to the scanning coordinates (zeta) A scanning interferometer, characterized in that it is configured to generate a transformed signal (I) that depends on a conjugate variable (K) that is directly mapped to outgoing light.
前記走査式干渉計は更に、
前記出射光を収集するために配置される対物レンズ(110)と;
前記対物レンズ(110)の瞳面(124)に配置される少なくとも1つの偏光光学系(280)と
を備える、
請求項41記載の走査式干渉計。
The scanning interferometer further includes:
An objective lens (110) arranged to collect the emitted light;
At least one polarization optical system (280) disposed on the pupil plane (124) of the objective lens (110).
The scanning interferometer of claim 41.
前記偏光光学系(280)は、前記瞳面(124)を横切って変化する偏光を付与する、
請求項42記載の走査式干渉計。
The polarizing optical system (280) provides polarized light that varies across the pupil plane (124);
43. A scanning interferometer according to claim 42.
前記偏光光学系(280)は、偏光子と、少なくとも1つの波長板とを備える、
請求項42記載の走査式干渉計。
The polarizing optical system (280) includes a polarizer and at least one wave plate.
43. A scanning interferometer according to claim 42.
前記偏光光学系(280)は、前記瞳面(124)の互いに異なる位置に配置される2つの波長板を備える、
請求項44記載の走査式干渉計。
The polarizing optical system (280) includes two wave plates arranged at different positions on the pupil plane (124).
45. A scanning interferometer according to claim 44.
前記光源(102)は、単色光源である、
請求項41記載の走査式干渉計。
The light source (102) is a monochromatic light source,
The scanning interferometer of claim 41.
JP2004534816A 2002-09-09 2003-09-09 Interferometry and scanning interferometers for ellipsometry, reflected light and scattered light measurements, including characterization of thin film structures Expired - Lifetime JP4563811B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40914702P 2002-09-09 2002-09-09
PCT/US2003/028484 WO2004023071A1 (en) 2002-09-09 2003-09-09 Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010061341A Division JP5352506B2 (en) 2002-09-09 2010-03-17 Interferometry for ellipsometry, reflected light and scattered light measurements, including characterization of thin film structures

Publications (2)

Publication Number Publication Date
JP2005538359A JP2005538359A (en) 2005-12-15
JP4563811B2 true JP4563811B2 (en) 2010-10-13

Family

ID=31978720

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004534816A Expired - Lifetime JP4563811B2 (en) 2002-09-09 2003-09-09 Interferometry and scanning interferometers for ellipsometry, reflected light and scattered light measurements, including characterization of thin film structures
JP2010061341A Expired - Lifetime JP5352506B2 (en) 2002-09-09 2010-03-17 Interferometry for ellipsometry, reflected light and scattered light measurements, including characterization of thin film structures

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010061341A Expired - Lifetime JP5352506B2 (en) 2002-09-09 2010-03-17 Interferometry for ellipsometry, reflected light and scattered light measurements, including characterization of thin film structures

Country Status (5)

Country Link
JP (2) JP4563811B2 (en)
KR (2) KR20050057279A (en)
AU (1) AU2003266136A1 (en)
DE (1) DE10393244B4 (en)
WO (1) WO2004023071A1 (en)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7271918B2 (en) 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7289224B2 (en) 2003-09-15 2007-10-30 Zygo Corporation Low coherence grazing incidence interferometry for profiling and tilt sensing
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
DE102004013521B4 (en) * 2004-03-19 2006-04-27 Bundesrepublik Deutschland, vertr. d. d. Bundesministerium für Wirtschaft und Arbeit, dieses vertr. d. d. Präsidenten der Physikalisch-Technischen Bundesanstalt Curved profile measuring machine has contactless probe using common ray path and confocal imaging system with suitable coated beam splitter
JP4194971B2 (en) * 2004-04-16 2008-12-10 日本電信電話株式会社 Refractive index measuring method and apparatus, and refractive index measuring / curing apparatus
GB0415766D0 (en) 2004-07-14 2004-08-18 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a layer or layers
US7453577B2 (en) 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US7428057B2 (en) * 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
GB0523722D0 (en) 2005-11-22 2005-12-28 Taylor Hobson Ltd Trench measurement
EP2021747B1 (en) 2006-04-26 2018-08-01 Halliburton Energy Services, Inc. Fiber optic mems seismic sensor with mass supported by hinged beams
DE102006057727A1 (en) * 2006-12-07 2008-06-12 Brückner Maschinenbau GmbH Method for measuring the birefringence and / or the retardation, in particular on at least partially transparent films and associated apparatus
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
JP4835750B2 (en) * 2007-04-12 2011-12-14 株式会社ニコン Microscope equipment
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
NL1036123A1 (en) * 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2009064670A2 (en) * 2007-11-13 2009-05-22 Zygo Corporation Interferometer utilizing polarization scanning
US8120781B2 (en) 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
US9104120B2 (en) * 2011-02-10 2015-08-11 Kla-Tencor Corporation Structured illumination for contrast enhancement in overlay metrology
JP5648961B2 (en) * 2011-02-28 2015-01-07 国立大学法人 香川大学 Spectral characteristic measuring apparatus and calibration method thereof
US9696138B2 (en) 2011-08-01 2017-07-04 University Of Florida Research Foundation, Inc. Simultaneous refractive index and thickness measurements with a monochromatic low-coherence interferometer
DE102011085599B3 (en) * 2011-11-02 2012-12-13 Polytec Gmbh Apparatus and method for interferometric measurement of an object
EP2865003A1 (en) * 2012-06-26 2015-04-29 Kla-Tencor Corporation Scanning in angle-resolved reflectometry and algorithmically eliminating diffraction from optical metrology
JP2017090395A (en) 2015-11-17 2017-05-25 株式会社ミツトヨ Interference objective lens and reference surface unit set
JP6906837B2 (en) * 2017-02-13 2021-07-21 株式会社ディスコ Laser processing equipment
CN107036539B (en) * 2017-06-14 2018-07-13 深圳中科飞测科技有限公司 Membrane thickness measuring system and method

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4576479A (en) * 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
JPS63292043A (en) * 1987-05-26 1988-11-29 D S Sukiyanaa:Kk Apparatus for analyzing film thickness and composition at the same time
JPS6475902A (en) * 1987-09-18 1989-03-22 Ricoh Kk Method for measuring refractive index and film thickness
US4899055A (en) * 1988-05-12 1990-02-06 Tencor Instruments Thin film thickness measuring method
JPH02190704A (en) * 1989-01-20 1990-07-26 Ricoh Co Ltd Incidence angle determining method for refractive index and film thickness measurement
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5073018A (en) * 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
US5129724A (en) * 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5181080A (en) * 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5386119A (en) * 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH07208937A (en) * 1994-01-25 1995-08-11 Fujitsu Ltd Equipment and method for measuring film thickness and permittivity
JP3602925B2 (en) * 1995-12-08 2004-12-15 独立行政法人科学技術振興機構 Simultaneous measuring device of refractive index and thickness of measurement object by optical interferometry
US5602643A (en) * 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
JP3459327B2 (en) * 1996-06-17 2003-10-20 理化学研究所 Method and apparatus for measuring layer thickness and refractive index of laminated structure
JP3582311B2 (en) * 1996-08-04 2004-10-27 松下電器産業株式会社 Medium measuring method and measuring device
JP3569726B2 (en) * 1998-12-15 2004-09-29 独立行政法人理化学研究所 Apparatus and method for measuring geometric thickness and refractive index of sample
KR100290086B1 (en) * 1999-03-23 2001-05-15 윤덕용 Method and Apparatus for Three Dimensional Thickness Profile Measurement of Transparent Dielectric Thin-Film by White-Light Scanning Interferometry
JP3642996B2 (en) * 1999-11-18 2005-04-27 独立行政法人科学技術振興機構 Method for simultaneously measuring refractive index and thickness of object to be measured by optical interferometry and apparatus therefor
JP4673955B2 (en) * 2000-03-24 2011-04-20 オリンパス株式会社 Optical device

Also Published As

Publication number Publication date
DE10393244T5 (en) 2005-09-01
WO2004023071A1 (en) 2004-03-18
DE10393244B4 (en) 2017-09-21
JP2010197398A (en) 2010-09-09
KR101223195B1 (en) 2013-01-21
AU2003266136A1 (en) 2004-03-29
KR20100122123A (en) 2010-11-19
JP2005538359A (en) 2005-12-15
KR20050057279A (en) 2005-06-16
JP5352506B2 (en) 2013-11-27

Similar Documents

Publication Publication Date Title
JP5352506B2 (en) Interferometry for ellipsometry, reflected light and scattered light measurements, including characterization of thin film structures
US7315382B2 (en) Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
JP5222954B2 (en) Interferometer using polarization scan
US7924435B2 (en) Apparatus and method for measuring characteristics of surface features
TWI417518B (en) Interferometer and method for measuring characteristics of optically undre-resolved surface features
US7468799B2 (en) Scanning interferometry for thin film thickness and surface measurements
US7522288B2 (en) Compensation of systematic effects in low coherence interferometry
JP5107331B2 (en) Interferometer for determining object surface characteristics
KR101169293B1 (en) Profiling complex surface structures using scanning interferometry
KR101167893B1 (en) Profiling complex surface structures using scanning interferometry
US8072611B2 (en) Interferometric analysis of under-resolved features
KR20080070856A (en) Interferometer and method for measuring characteristics of optically unresolved surface features
US20120089365A1 (en) Data interpolation methods for metrology of surfaces, films and underresolved structures
ELLIPSOMETRY De Groot (45) Date of Patent: Nov. 21, 2006

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090512

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090803

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090810

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090909

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100317

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100625

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100720

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100729

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4563811

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term