JP4273983B2 - Surface wave excitation plasma CVD equipment - Google Patents

Surface wave excitation plasma CVD equipment Download PDF

Info

Publication number
JP4273983B2
JP4273983B2 JP2004028273A JP2004028273A JP4273983B2 JP 4273983 B2 JP4273983 B2 JP 4273983B2 JP 2004028273 A JP2004028273 A JP 2004028273A JP 2004028273 A JP2004028273 A JP 2004028273A JP 4273983 B2 JP4273983 B2 JP 4273983B2
Authority
JP
Japan
Prior art keywords
gas
surface wave
plasma cvd
material gas
cvd apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004028273A
Other languages
Japanese (ja)
Other versions
JP2005223079A (en
Inventor
正康 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shimadzu Corp
Original Assignee
Shimadzu Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shimadzu Corp filed Critical Shimadzu Corp
Priority to JP2004028273A priority Critical patent/JP4273983B2/en
Publication of JP2005223079A publication Critical patent/JP2005223079A/en
Application granted granted Critical
Publication of JP4273983B2 publication Critical patent/JP4273983B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

本発明は、表面波励起プラズマを利用して成膜するCVD装置に関する。   The present invention relates to a CVD apparatus for forming a film using surface wave excitation plasma.

半導体製造プロセスでは、プラズマを利用して成膜を行うプラズマCVD装置が用いられている。そのようなプラズマCVD装置としては、従来から平行平板型プラズマ処理装置や電子サイクロトロン共鳴(ECR:Electron Cyclotron Resonance)プラズマ処理装置などが使用されている。さらに、近年では、より大面積で高密度のプラズマを容易に発生させることができる表面波励起プラズマ(SWP:Surface Wave Plasma)処理装置が利用されるようになってきている。SWPプラズマCVD装置では、薄膜の成分元素を含む材料ガスと反応性活性種の原料となるプロセスガスとをプラズマ生成室内に導入し、表面波励起プラズマにより、材料ガスを分解したり化学反応を起こさせて基板上に薄膜を堆積させる。   In a semiconductor manufacturing process, a plasma CVD apparatus that performs film formation using plasma is used. As such a plasma CVD apparatus, conventionally, a parallel plate type plasma processing apparatus, an electron cyclotron resonance (ECR) plasma processing apparatus, and the like have been used. Furthermore, in recent years, a surface wave plasma (SWP) processing apparatus that can easily generate a high-density plasma with a larger area has come to be used. In the SWP plasma CVD apparatus, a material gas containing a constituent element of a thin film and a process gas that is a raw material of a reactive active species are introduced into a plasma generation chamber, and the material gas is decomposed or a chemical reaction is caused by surface wave excitation plasma. To deposit a thin film on the substrate.

表面波励起プラズマは、マイクロ波導波管内を伝搬するマイクロ波を誘電体部材を介してプラズマ生成室内に導入することにより生成する。マイクロ波導波管の形状として、直線状のものと環状のものがあり、被処理物の形状や処理目的などに応じて使い分けられている。環状の導波管としては、複数のスロットアンテナが形成された無終端環状導波管があり、この無終端環状導波管を備えたSWPプラズマ処理装置が知られている(例えば、特許文献1参照)。   The surface wave-excited plasma is generated by introducing a microwave propagating through the microwave waveguide into the plasma generation chamber via a dielectric member. There are two types of microwave waveguides, a linear one and an annular one, which are used according to the shape of the object to be processed and the purpose of processing. As the annular waveguide, there is an endless annular waveguide in which a plurality of slot antennas are formed, and an SWP plasma processing apparatus including the endless annular waveguide is known (for example, Patent Document 1). reference).

特開平5−345982号公報(第2頁、図6,9)Japanese Patent Laid-Open No. 5-345882 (2nd page, FIGS. 6 and 9)

特許文献1の無終端環状導波管は、終端部を有さないために特定のスロットアンテナにマイクロ波電力が集中する場合がある。この無終端環状導波管をSWPプラズマCVD装置に使用すると、誘電体部材の特定の箇所でプラズマ密度が局在化し、材料ガスの化学反応が急激に行われ、膜厚、膜質ともに適正な成膜可能領域が狭い範囲に限定されてしまうという問題がある。   Since the endless annular waveguide of Patent Document 1 does not have a termination, microwave power may concentrate on a specific slot antenna. When this endless annular waveguide is used in a SWP plasma CVD apparatus, the plasma density is localized at a specific location on the dielectric member, the chemical reaction of the material gas is rapidly performed, and the film thickness and film quality are both appropriate. There is a problem that the filmable region is limited to a narrow range.

(1)請求項1の表面波励起プラズマCVD装置は、マイクロ波発生装置からマイクロ波を導入する導入口と終端部とを有し、複数のスロットアンテナが形成された底板を内側面とする環状のマイクロ波導波管と、筒状を呈し、その筒の外側面が環状のマイクロ波導波管の底板に接して配設され、環状のマイクロ波導波管内を伝搬するマイクロ波をスロットアンテナを通して導入する筒状誘電体部材と、筒状誘電体部材を保持して気密空間を形成し、筒状誘電体部材を介して導入されたマイクロ波により気密空間に表面波励起プラズマを生成し、該表面波励起プラズマにより被処理物を処理するプラズマ処理室と、シリコン元素を含む材料ガスを導入し、ガス噴出口からプラズマ処理室内に材料ガスを噴出する材料ガス導入手段と、表面波励起プラズマにより活性化して材料ガスに化学反応を起こさせるプロセスガスを導入し、材料ガス導入手段のガス噴出口と離れて設けられたガス噴出口からプラズマ処理室内にプロセスガスを噴出するプロセスガス導入手段とを具備し、材料ガス導入手段は、筒状誘電体部材で囲まれる内部空間内またはその周辺領域にガス噴出口を有し、筒状誘電体部材の軸方向にガスを噴出する第1の材料ガス導入手段と、筒状誘電体部材で囲まれる内部空間の周辺領域にガス噴出口を有し、筒状誘電体部材の軸方向と所定の角度をなしてガスを噴出する第2の材料ガス導入手段と、第1および第2の材料ガス導入手段を独立に移動させて、被処理物と第1の材料ガス導入手段のガス噴出口および第2の材料ガス導入手段のガス噴出口との間の距離をそれぞれ可変とする可変装置とを有することを特徴とする。
(1) A surface wave excitation plasma CVD apparatus according to a first aspect of the present invention includes an inlet for introducing a microwave from a microwave generator and a terminal portion, and an annular surface having a bottom plate on which a plurality of slot antennas are formed as an inner surface. The microwave waveguide is cylindrical, and the outer surface of the cylinder is disposed in contact with the bottom plate of the annular microwave waveguide, and the microwave propagating through the annular microwave waveguide is introduced through the slot antenna. A cylindrical dielectric member and an airtight space are formed by holding the cylindrical dielectric member, and surface wave excitation plasma is generated in the airtight space by microwaves introduced through the cylindrical dielectric member. A plasma processing chamber for processing an object to be processed by excitation plasma; a material gas introducing means for introducing a material gas containing silicon element into the plasma processing chamber; and surface wave excitation. Process gas introduction means that introduces a process gas that is activated by plasma and causes a chemical reaction to the material gas, and ejects the process gas into the plasma processing chamber from a gas outlet provided apart from the gas outlet of the material gas introduction means The material gas introducing means has a gas outlet in the inner space surrounded by the cylindrical dielectric member or in a peripheral region thereof, and first gas is jetted in the axial direction of the cylindrical dielectric member. A material gas introducing means and a second material that has a gas outlet in the peripheral region of the internal space surrounded by the cylindrical dielectric member and jets gas at a predetermined angle with the axial direction of the cylindrical dielectric member The gas introduction means, the first and second material gas introduction means are moved independently, and the object to be processed, the gas outlet of the first material gas introduction means, and the gas outlet of the second material gas introduction means, The distance between Characterized by have a variable device for a variable.

(2)請求項の表面波励起プラズマCVD装置は、請求項1の表面波励起プラズマCVD装置において、材料ガス導入手段のガス噴出口は、プロセスガス導入手段のガス噴出口よりも被処理物に近く設けられることを特徴とする。
(3)請求項の表面波励起プラズマCVD装置は、請求項1または2の表面波励起プラズマCVD装置において、材料ガス導入手段は、一以上の経路と一以上のガス噴出口とを有することが好ましい。また、第2の材料ガス導入手段は、ガス噴出口の向きを可変とする向き可変手段をさらに有していてもよく、筒状誘電体部材の軸方向と直交する面に対し±60°の角度範囲でガスを噴出するようにガス噴出口が設けてもよい。
(4)請求項の表面波励起プラズマCVD装置は、請求項1〜のいずれかの表面波励起プラズマCVD装置において、プロセスガス導入手段は、筒状誘電体部材の内側面近傍に一以上の経路と一以上のガス噴出口とを有するガス流路を設けて構成することができる。
(2) The surface wave excitation plasma CVD apparatus according to claim 2 is the surface wave excitation plasma CVD apparatus according to claim 1, wherein the gas outlet of the material gas introduction means is more than the gas outlet of the process gas introduction means. It is provided near.
(3) The surface wave excitation plasma CVD apparatus according to claim 3 is the surface wave excitation plasma CVD apparatus according to claim 1 or 2 , wherein the material gas introduction means has one or more paths and one or more gas ejection ports. Is preferred. Further, the second material gas introduction means may further include a direction changing means for changing the direction of the gas outlet, and is ± 60 ° with respect to a plane orthogonal to the axial direction of the cylindrical dielectric member. A gas outlet may be provided so as to eject gas in an angular range.
(4) The surface wave excitation plasma CVD apparatus according to claim 6 is the surface wave excitation plasma CVD apparatus according to any one of claims 1 to 5 , wherein at least one process gas introduction means is provided in the vicinity of the inner side surface of the cylindrical dielectric member. It is possible to provide a gas flow path having the above-described path and one or more gas ejection ports.

本発明によれば、被処理物周辺に膜厚、膜質ともに適正な成膜可能領域を形成する表面波励起プラズマCVD装置を提供することができる。   ADVANTAGE OF THE INVENTION According to this invention, the surface wave excitation plasma CVD apparatus which forms the film-forming possible area | region appropriate for both the film thickness and film quality around a to-be-processed object can be provided.

以下、本発明による表面波励起プラズマCVD装置(以下、単にプラズマCVD装置という)について図1〜8を参照しながら説明する。
図1は、本発明の実施の形態によるプラズマCVD装置を模式的に示す全体構成図である。図2は、図1のプラズマCVD装置の主要部の概略構成図である。
Hereinafter, a surface wave excitation plasma CVD apparatus (hereinafter simply referred to as a plasma CVD apparatus) according to the present invention will be described with reference to FIGS.
FIG. 1 is an overall configuration diagram schematically showing a plasma CVD apparatus according to an embodiment of the present invention. FIG. 2 is a schematic configuration diagram of a main part of the plasma CVD apparatus of FIG.

図1,2を参照すると、SWP処理装置100は、マイクロ波発生部1と、チャンバー2と、環状導波管3と、誘電体チューブ4と、プロセスガス導入管5と、材料ガス用の上面側導入管6と、材料ガス用の側面側導入管7と、真空排気管8と、基板ホルダー9とを備える。   Referring to FIGS. 1 and 2, the SWP processing apparatus 100 includes a microwave generator 1, a chamber 2, an annular waveguide 3, a dielectric tube 4, a process gas introduction tube 5, and an upper surface for material gas. A side introduction pipe 6, a side introduction pipe 7 for material gas, a vacuum exhaust pipe 8, and a substrate holder 9 are provided.

マイクロ波発生部1は、マイクロ波電源11、マイクロ波発振器12、アイソレータ13、方向性結合器14および整合器15を備える。マイクロ波発生部1は、2.45GHzのマイクロ波を生成し、環状導波管3の導入口3aへ送出する。マイクロ波は、環状導波管3の導入口3aから終端部3bまで伝搬する。環状導波管3の終端部3bには、終端位置を変化させてマイクロ波の位相を調整するための終端整合器3cが設けられている。   The microwave generation unit 1 includes a microwave power source 11, a microwave oscillator 12, an isolator 13, a directional coupler 14, and a matching unit 15. The microwave generator 1 generates a 2.45 GHz microwave and sends it to the inlet 3 a of the annular waveguide 3. The microwave propagates from the inlet 3a of the annular waveguide 3 to the terminal end 3b. A termination matching unit 3c for adjusting the phase of the microwave by changing the termination position is provided at the termination portion 3b of the annular waveguide 3.

図2に示されるように、チャンバー2は、その側壁にOリング2aを介して誘電体チューブ4を保持し、内部に気密空間を形成している。チャンバー2には、プロセスガスを導入するためのプロセスガス導入管5、材料ガスを導入するための上面側導入管6、材料ガスを導入するための側面側導入管7、真空排気管8および被処理物たる基板Sを保持する基板ホルダー9が配設されている。基板ホルダー9は、誘電体チューブ4の軸方向であるZ方向に沿った移動とZ軸周りの回転が可能であり、必要に応じて、加熱、冷却、電界印加が可能に構成される。   As shown in FIG. 2, the chamber 2 holds a dielectric tube 4 on its side wall via an O-ring 2a and forms an airtight space therein. The chamber 2 includes a process gas introduction pipe 5 for introducing a process gas, an upper surface side introduction pipe 6 for introducing a material gas, a side surface introduction pipe 7 for introducing a material gas, a vacuum exhaust pipe 8 and a covered pipe. A substrate holder 9 for holding a substrate S to be processed is provided. The substrate holder 9 can move along the Z direction that is the axial direction of the dielectric tube 4 and rotate around the Z axis, and can be heated, cooled, and applied with an electric field as necessary.

環状導波管3は、例えばアルミニウム合金や非磁性のステンレス鋼で作製され、環状導波管3の底板3dには、複数のスロットアンテナから成るスロットアンテナ群30が形成されている。底板3dの内面は、磁界面(H面)と呼ばれる面である。   The annular waveguide 3 is made of, for example, aluminum alloy or nonmagnetic stainless steel, and a slot antenna group 30 including a plurality of slot antennas is formed on the bottom plate 3d of the annular waveguide 3. The inner surface of the bottom plate 3d is a surface called a magnetic field surface (H surface).

誘電体チューブ4は、石英やアルミナなどで作製され、誘電体チューブ4の外周面が環状導波管3の底板3dに接して配設されている。誘電体チューブ4の上端面は、Oリング2aを介して上板2bに接し、下端面はOリング2aを介してチャンバー2の側壁に接している。   The dielectric tube 4 is made of quartz, alumina, or the like, and the outer peripheral surface of the dielectric tube 4 is disposed in contact with the bottom plate 3 d of the annular waveguide 3. The upper end surface of the dielectric tube 4 is in contact with the upper plate 2b through the O-ring 2a, and the lower end surface is in contact with the side wall of the chamber 2 through the O-ring 2a.

プロセスガス導入管5は、チャンバー2の上板2bに設けられている。プロセスガス導入管5は、プロセスガスを外部から導入する導入管51と、上板2bの内部に形成された流路52と、上板2bの下面側に設けられた複数のガス噴出口53とを有する配管である。ガス噴出口53の位置Aは、基準となる基板位置Oから距離h1だけ離れている。チャンバー2内へ導入されるプロセスガスは、Nガス、Oガス、Hガス、NOガス、NOガス、NHガス等の反応性活性種の原料となるガスおよびArガス、Heガス、Neガス、Krガス、Xeガス等の希ガスである。 The process gas introduction pipe 5 is provided on the upper plate 2 b of the chamber 2. The process gas introduction pipe 5 includes an introduction pipe 51 for introducing process gas from the outside, a flow path 52 formed inside the upper plate 2b, and a plurality of gas jet ports 53 provided on the lower surface side of the upper plate 2b. It is piping which has. The position A of the gas outlet 53 is separated from the reference substrate position O by a distance h1. The process gas introduced into the chamber 2, N 2 gas, O 2 gas, H 2 gas, NO 2 gas, NO gas, a reactive active species of the raw material such as NH 3 gas gas and Ar gas, He gas , Ne gas, Kr gas, Xe gas and the like.

上面側導入管6は、材料ガスを外部から導入する縦管61と、縦管61から分岐して、図中、紙面に垂直な平面上に拡がる分岐管62と、分岐管62に設けられた複数のガス噴出口63とを有する配管である。ガス噴出口63の位置Bは、基板位置Oから距離h2(<h1)だけ離れている。距離h2は、縦管61をZ方向(上下方向)に沿って直進移動させることにより、可変である。   The upper surface side introduction pipe 6 is provided in the vertical pipe 61 for introducing the material gas from the outside, the branch pipe 62 branched from the vertical pipe 61 and extending on a plane perpendicular to the paper surface in the drawing, and the branch pipe 62. A pipe having a plurality of gas jets 63. The position B of the gas outlet 63 is separated from the substrate position O by a distance h2 (<h1). The distance h2 is variable by moving the vertical pipe 61 straight along the Z direction (up and down direction).

側面側導入管7は、材料ガスを外部から導入する横管71と、横管71から分岐して基板Sを取り囲むように設けられた複数の垂直管72と、各垂直管72に設けられたガス噴出口73とを有する配管である。ガス噴出口73の位置Cは、基板位置Oから距離h3(<h1)だけ離れている。距離h3は、垂直管72をZ方向に沿って直進移動させることにより、可変である。   The side-side introduction pipe 7 is provided in each of the vertical pipes 72, a horizontal pipe 71 that introduces a material gas from the outside, a plurality of vertical pipes 72 that are branched from the horizontal pipe 71 and surround the substrate S, and the like. A pipe having a gas outlet 73. The position C of the gas outlet 73 is separated from the substrate position O by a distance h3 (<h1). The distance h3 is variable by moving the vertical pipe 72 straight along the Z direction.

上面側導入管6または側面側導入管7からチャンバー2へ導入される材料ガスは、SiHガス、Siガス等のシリコン薄膜或いはシリコン化合物薄膜の成分であるSi元素を含むガスである。なお、これらの材料ガスに上記のプロセスガスあるいは他のガスを混合した混合ガスも材料ガスとして使用することができる。以上の3つのガス導入管5,6,7の構造については後に詳述する。 The material gas introduced into the chamber 2 from the upper surface side introduction pipe 6 or the side surface side introduction pipe 7 is a gas containing Si element which is a component of a silicon thin film or a silicon compound thin film such as SiH 4 gas and Si 2 H 6 gas. . A mixed gas obtained by mixing the above-described process gas or other gas with these material gases can also be used as the material gas. The structure of the above three gas introduction pipes 5, 6, and 7 will be described in detail later.

チャンバー2の底面には、不図示の真空排気ポンプに接続される真空排気管8が配設されている。3つのガス導入管5,6,7を通してそれぞれ所定のガスを所定流量でチャンバー2内に導入しながら真空排気を行うことによって、チャンバー2内を所定の真空度(ガス分圧)に保持することができる。   A vacuum exhaust pipe 8 connected to a vacuum exhaust pump (not shown) is provided on the bottom surface of the chamber 2. The inside of the chamber 2 is maintained at a predetermined degree of vacuum (gas partial pressure) by performing evacuation while introducing a predetermined gas into the chamber 2 at a predetermined flow rate through the three gas introduction pipes 5, 6, 7. Can do.

図3(a)は、図2のI−I断面図であり、マイクロ波Mの導入部の構成を示す平面図である。環状導波管3の底板3dは、誘電体チューブ4の外周面に接して配設され、底板3dには、スロットアンテナ31〜38(スロットアンテナ群30)が設けられている。図3(b)は、環状導波管3の展開図であり、図示されるように、スロットアンテナ31〜38は、環状導波管3内のマイクロ波Mの伝搬経路の中心線CLに沿って、所定間隔で形成された長矩形状の開口である。マイクロ波Mは、導入口3aから環状導波管3内に入り、終端部3bに向かって終端E1まで伝搬し、マイクロ波Mの一部は、終端E1で反射する。   FIG. 3A is a cross-sectional view taken along the line II of FIG. 2, and is a plan view showing the configuration of the introduction part of the microwave M. FIG. The bottom plate 3d of the annular waveguide 3 is disposed in contact with the outer peripheral surface of the dielectric tube 4, and slot antennas 31 to 38 (slot antenna group 30) are provided on the bottom plate 3d. FIG. 3B is a development view of the annular waveguide 3, and as illustrated, the slot antennas 31 to 38 are along the center line CL of the propagation path of the microwave M in the annular waveguide 3. The long rectangular openings are formed at predetermined intervals. The microwave M enters the annular waveguide 3 from the introduction port 3a, propagates toward the terminal end 3b to the terminal E1, and part of the microwave M is reflected by the terminal E1.

図4は、プロセスガス導入管5の構造を模式的に示す平面図であり、プロセスガス導入管5は、誘電体チューブ4とともに図示されている。導入管51は、図中、紙面に垂直に延在して流路52に連通している。流路52には、複数のガス噴出口53が所定の間隔で、誘電体チューブ4の内周面から等距離に設けられている。ガス噴出口53は、直径1mm以下の小孔である。導入管51から導入されたプロセスガスは、導入管51と流路52との接続部で2つに分岐して複数のガス噴出口53からチャンバー2内へ放出される。このように、プロセスガス導入管5は、複数の経路と複数のガス噴出口を有するが、経路、ガス噴出口は、1つでもよい。一般に、経路およびガス噴出口が多いほどチャンバー内のプロセスガス濃度分布やプラズマ密度の均一化に寄与する。一方、経路およびガス噴出口を少なくすれば、プロセスガス導入管5の構造は単純になる。   FIG. 4 is a plan view schematically showing the structure of the process gas introduction pipe 5, and the process gas introduction pipe 5 is shown together with the dielectric tube 4. In the drawing, the introduction pipe 51 extends perpendicular to the paper surface and communicates with the flow path 52. In the flow path 52, a plurality of gas ejection ports 53 are provided at equal intervals from the inner peripheral surface of the dielectric tube 4 at a predetermined interval. The gas outlet 53 is a small hole having a diameter of 1 mm or less. The process gas introduced from the introduction pipe 51 branches into two at the connection portion between the introduction pipe 51 and the flow path 52 and is discharged into the chamber 2 from the plurality of gas ejection ports 53. As described above, the process gas introduction pipe 5 has a plurality of paths and a plurality of gas jets, but the number of paths and gas jets may be one. In general, the more paths and gas outlets, the more uniform the process gas concentration distribution and the plasma density in the chamber. On the other hand, if the number of paths and gas outlets are reduced, the structure of the process gas introduction pipe 5 becomes simple.

図5は、上面側導入管6の構造を模式的に示す平面図である。縦管61は、図中、紙面に垂直に延在して内部が空洞の中央円盤62aに連通している。中央円盤62aは、直管62bに連通し、直管62bは、環状管62cに連通している。中央円盤62aおよび環状管62cには、多数のガス噴出口63が穿孔されている。ガス噴出口63は、直径1mm以下の小孔である。なお、中央円盤62a、直管62bおよび環状管62cは、分岐管62を構成する。縦管61から導入された材料ガスは、中央円盤62aのガス噴出口63からチャンバー2内へ放出されたり、直管62bを経由して環状管62cのガス噴出口63からチャンバー2内へ放出される。放出方向(噴出方向)は、誘電体チューブ4の軸方向に平行である。上面側導入管6は、複数の経路と複数のガス噴出口を有するが、経路、ガス噴出口は、1つでもよい。一般に、経路およびガス噴出口が多いほどチャンバー内の材料ガス濃度分布の均一化を図ることができる。一方、経路およびガス噴出口を少なくすれば、上面側導入管6の構造は単純になる。   FIG. 5 is a plan view schematically showing the structure of the upper surface side introduction pipe 6. In the drawing, the vertical tube 61 extends perpendicularly to the paper surface and communicates with a central disk 62a having a hollow inside. The central disk 62a communicates with the straight tube 62b, and the straight tube 62b communicates with the annular tube 62c. A large number of gas jets 63 are perforated in the central disk 62a and the annular tube 62c. The gas outlet 63 is a small hole having a diameter of 1 mm or less. The central disk 62a, the straight pipe 62b, and the annular pipe 62c constitute a branch pipe 62. The material gas introduced from the vertical pipe 61 is discharged into the chamber 2 from the gas outlet 63 of the central disk 62a, or is released into the chamber 2 from the gas outlet 63 of the annular pipe 62c via the straight pipe 62b. The The discharge direction (ejection direction) is parallel to the axial direction of the dielectric tube 4. Although the upper surface side introduction pipe 6 has a plurality of paths and a plurality of gas ejection ports, the number of paths and gas ejection ports may be one. In general, as the number of paths and gas outlets increases, the material gas concentration distribution in the chamber can be made uniform. On the other hand, if the number of paths and gas outlets is reduced, the structure of the upper surface side introduction pipe 6 becomes simple.

図6(a)は、側面側導入管7の構造を模式的に示す斜視図である。図6(b)は、側面側導入管7の部分的な構造を模式的に示す部分断面図である。横管71は、環状管72aに連通し、環状管72aには、複数の垂直管72bがほぼ等間隔で設けられている。垂直管72bは、誘電体チューブ4の軸方向に平行に延在する管である。垂直管72bは、図6(b)に示されるように、外管72cと内管72dによる二重構造である。各々の内管72dには、それぞれガス噴出口73が穿孔されている。また、内管72dは、外管72cに対して長軸周りに回転可能であり、長軸に沿って伸縮可能な構造である。これにより、各々の垂直管72bは、材料ガスの噴出方向(向き)、噴出位置(基板Sからの距離)をそれぞれ独立に自由に変えることができる。ガス噴出口73は、直径1mm以下の小孔である。なお、環状管72aおよび垂直管72bは、分岐管72を構成する。横管71から導入された材料ガスは、環状管72a、複数の垂直管72bを経由して垂直管72bのガス噴出口73からチャンバー2内へ放出される。放出方向(噴出方向)が誘電体チューブ4の軸方向と直交する面に対して±60°の角度範囲で最適となるように、ガス噴出口73が形成される。また、噴出方向が±60°の角度範囲内で可変となるようにガス噴出口73を形成することもできる。側面側導入管7は、複数の経路と複数のガス噴出口を有するが、経路、ガス噴出口は、1つでもよい。一般に、経路およびガス噴出口が多いほどチャンバー内の材料ガス濃度分布の均一化を図ることができる。一方、経路およびガス噴出口を少なくすれば、側面側導入管7の構造は単純になる。   FIG. 6A is a perspective view schematically showing the structure of the side-side introduction tube 7. FIG. 6B is a partial cross-sectional view schematically showing a partial structure of the side surface side introduction tube 7. The horizontal tube 71 communicates with the annular tube 72a, and a plurality of vertical tubes 72b are provided at substantially equal intervals in the annular tube 72a. The vertical tube 72 b is a tube that extends parallel to the axial direction of the dielectric tube 4. As shown in FIG. 6B, the vertical tube 72b has a double structure including an outer tube 72c and an inner tube 72d. Each inner pipe 72d has a gas jet 73 formed therein. The inner tube 72d can rotate around the major axis with respect to the outer tube 72c, and can expand and contract along the major axis. Thereby, each vertical pipe | tube 72b can change the ejection direction (direction) of material gas, and the ejection position (distance from the board | substrate S) each independently freely. The gas ejection port 73 is a small hole having a diameter of 1 mm or less. The annular pipe 72a and the vertical pipe 72b constitute a branch pipe 72. The material gas introduced from the horizontal pipe 71 is discharged into the chamber 2 from the gas outlet 73 of the vertical pipe 72b through the annular pipe 72a and the plurality of vertical pipes 72b. The gas jet 73 is formed so that the discharge direction (spout direction) is optimal within an angle range of ± 60 ° with respect to the plane orthogonal to the axial direction of the dielectric tube 4. In addition, the gas ejection port 73 can be formed so that the ejection direction is variable within an angle range of ± 60 °. Although the side surface side introduction pipe 7 has a plurality of paths and a plurality of gas ejection ports, the number of paths and gas ejection ports may be one. In general, as the number of paths and gas outlets increases, the material gas concentration distribution in the chamber can be made uniform. On the other hand, if the number of passages and gas outlets is reduced, the structure of the side surface side introduction pipe 7 becomes simple.

再び図2を参照しながら、上述のように構成されたプラズマCVD装置100の作用・効果について説明する。チャンバー2内のプロセスガスおよび材料ガスは、所定流量が導入され、所定圧力に維持されている。図1に示したマイクロ波発生部1からのマイクロ波は、スロットアンテナ群30の各スロットアンテナを通って誘電体チューブ4へ放射し、誘電体チューブ4を介してチャンバー2内に導入される。マイクロ波は表面波SWとなって、この表面波エネルギーによりチャンバー2内のプロセスガスが電離、解離されてプラズマが生成する。表面波SWは、誘電体チューブ4の内面に沿って伝搬し、誘電体チューブ4の内面全域に拡がるので、誘電体チューブ4で囲まれた内部空間とその周辺領域に高密度のプラズマPが均一に生成する。基板Sは、プラズマP中に基板ホルダー9により保持されており、このプラズマPにより材料ガスが分解したり化学反応を起こし、基板Sの表面に薄膜が堆積する。   The operation and effect of the plasma CVD apparatus 100 configured as described above will be described with reference to FIG. 2 again. The process gas and material gas in the chamber 2 are introduced at a predetermined flow rate and maintained at a predetermined pressure. Microwaves from the microwave generator 1 shown in FIG. 1 are radiated to the dielectric tube 4 through the slot antennas of the slot antenna group 30 and are introduced into the chamber 2 through the dielectric tube 4. The microwave becomes a surface wave SW, and this surface wave energy ionizes and dissociates the process gas in the chamber 2 to generate plasma. Since the surface wave SW propagates along the inner surface of the dielectric tube 4 and spreads over the entire inner surface of the dielectric tube 4, the high-density plasma P is uniform in the inner space surrounded by the dielectric tube 4 and its peripheral region. To generate. The substrate S is held in the plasma P by the substrate holder 9, the material gas is decomposed or a chemical reaction is caused by the plasma P, and a thin film is deposited on the surface of the substrate S.

本実施の形態では、プロセスガス導入管5のガス噴出口53と上面側導入管6のガス噴出口63との距離、またはプロセスガス導入管5のガス噴出口53と側面側導入管7のガス噴出口73との距離を離して設けている。プロセスガス導入管5のガス噴出口53は、高密度プラズマを生成するために、誘電体チューブ4の内周面近傍に配置される。これに対し、上面側導入管6のガス噴出口63や側面側導入管7のガス噴出口73は、材料ガスの高密度プラズマによる急激な反応を避けるために、誘電体チューブ4の内周面から離して配置されるので、ガス噴出口53とは離れた位置に設けられることになる。このように急激な反応が生じないようにガス噴出口63,73の位置を決めているので、反応の制御が容易になり、基板Sの周辺に膜厚、膜質ともに適正な成膜可能領域を形成できる。また、ガス噴出口63,73は、材料ガス分子とプラズマ中のラジカルとの混合状態や生成した前駆体のドリフト距離などを勘案して基板位置Oからの距離が設定されるので、基板Sの周辺に膜厚、膜質ともにより一層適正な成膜可能領域を形成できる。さらに、ガス噴出口63,73は、それぞれ複数設けられているが、各ガス噴出口を、前駆体が基板Sまで到達する時間が等しくなるように配置したり、所定の噴出方向をとるようにその向きを調節することにより、膜厚、膜質の均一性を確保することができる。なお、プラズマCVD装置100には、上面側導入管6と側面側導入管7の両方を設けてもよいし、いずれか一方を設けてもよい。   In the present embodiment, the distance between the gas outlet 53 of the process gas inlet pipe 5 and the gas outlet 63 of the upper surface side inlet pipe 6, or the gas of the gas outlet 53 of the process gas inlet pipe 5 and the side side inlet pipe 7. A distance from the jet port 73 is provided. The gas outlet 53 of the process gas introduction pipe 5 is disposed in the vicinity of the inner peripheral surface of the dielectric tube 4 in order to generate high-density plasma. On the other hand, the gas outlet 63 of the upper surface side introduction tube 6 and the gas outlet 73 of the side surface side introduction tube 7 are provided on the inner peripheral surface of the dielectric tube 4 in order to avoid a sudden reaction due to high density plasma of the material gas. Therefore, it is provided at a position away from the gas outlet 53. Since the positions of the gas outlets 63 and 73 are determined so that a sudden reaction does not occur in this way, the reaction can be easily controlled, and an appropriate filmable region with a good film thickness and film quality is formed around the substrate S. Can be formed. In addition, since the gas outlets 63 and 73 are set at a distance from the substrate position O in consideration of the mixed state of the material gas molecules and radicals in the plasma, the drift distance of the generated precursor, and the like. A more appropriate filmable region can be formed in the periphery depending on the film thickness and film quality. Further, a plurality of gas jets 63 and 73 are provided, but the gas jets are arranged so that the time required for the precursor to reach the substrate S is equal, or a predetermined jet direction is taken. By adjusting the direction, uniformity of film thickness and film quality can be ensured. Note that the plasma CVD apparatus 100 may be provided with both the upper surface side introduction tube 6 and the side surface side introduction tube 7 or one of them.

この様子を図7を用いて説明する。図7は、プラズマPの領域で生じる化学反応の素過程を示す模式図である。図7では、材料ガス導入用として上面側導入管6のみが設けられている。誘電体チューブ4の軸線方向(Z方向)、基板位置O、プロセスガス導入位置A、上面側導入管6による材料ガス導入位置Bは、図2と同じである。   This will be described with reference to FIG. FIG. 7 is a schematic diagram showing an elementary process of a chemical reaction occurring in the plasma P region. In FIG. 7, only the upper surface side introduction pipe 6 is provided for introducing the material gas. The axial direction (Z direction) of the dielectric tube 4, the substrate position O, the process gas introduction position A, and the material gas introduction position B by the upper surface side introduction pipe 6 are the same as in FIG.

誘電体チューブ4で囲まれた内部空間において、特に誘電体チューブ4の内周面近くでは、プラズマ密度が高いためにイオン、電子、ラジカルが多数生成する。イオンと電子は、誘電体チューブ4の内周面に沿って伝搬する表面波SWの作用を受けて、基板Sの被処理面(表面)と平行方向に運動エネルギーを有する。従って、基板Sの表面に対しては、高い運動エネルギーをもつ荷電粒子の入射頻度が低くなるので、プラズマダメージの非常に少ない高品質膜の成膜が可能となる。電荷をもたないラジカルは、基板Sの方向(Z方向)に拡散し、材料ガス分子と衝突して、分解、励起、再結合などの多岐にわたる気相反応を引き起こし、生成分子が薄膜状になって基板Sの表面に堆積する。   In the internal space surrounded by the dielectric tube 4, especially near the inner peripheral surface of the dielectric tube 4, a large number of ions, electrons, and radicals are generated due to the high plasma density. The ions and electrons are subjected to the action of the surface wave SW propagating along the inner peripheral surface of the dielectric tube 4 and have kinetic energy in a direction parallel to the surface to be processed (surface) of the substrate S. Accordingly, since the incidence frequency of charged particles having high kinetic energy is low on the surface of the substrate S, it is possible to form a high quality film with very little plasma damage. Radicals having no charge diffuse in the direction of the substrate S (Z direction) and collide with material gas molecules, causing various gas phase reactions such as decomposition, excitation, recombination, etc., and the generated molecules become thin films. And deposited on the surface of the substrate S.

このとき、基板Sからの距離h2を変化させることにより、基板Sの表面に堆積する薄膜の膜質(結晶性、屈折率、内部応力など)を制御することができる。シリコン化合物としては、酸化物、窒化物、炭化物等があるが、例えば、SiO膜を形成する場合、プロセスガスとしてOガス、材料ガスとしてSiHガスを用いる。SiO膜の形成過程は、SiH分子が酸素ラジカルと反応して、中間生成物Si−H、Si−OH、前駆体SiOを経てSiOを生成する一連の化学反応である。この一連の反応時間は、空間的に考えれば反応種のドリフト距離と等価であるから、基板Sとガス噴出口63との距離h2が膜質を決める重要な要素となる。 At this time, by changing the distance h2 from the substrate S, the film quality (crystallinity, refractive index, internal stress, etc.) of the thin film deposited on the surface of the substrate S can be controlled. Examples of silicon compounds include oxides, nitrides, and carbides. For example, when forming a SiO 2 film, O 2 gas is used as a process gas and SiH 4 gas is used as a material gas. The formation process of the SiO 2 film is a series of chemical reactions in which SiH 4 molecules react with oxygen radicals to generate SiO 2 via intermediate products Si—H, Si—OH and precursor SiO. Since this series of reaction times is equivalent to the drift distance of the reactive species in terms of space, the distance h2 between the substrate S and the gas ejection port 63 is an important factor that determines the film quality.

距離h2が短すぎると、SiO膜中に中間生成物が混在する低品質の薄膜となり、距離h2が長すぎると、基板Sから離れた誘電体チューブ4の近傍で化学反応が促進され、SiO分子の重合で生成したパーティクルが薄膜中に混在する低品質の薄膜となる。 If the distance h2 is too short, a low-quality thin film in which intermediate products are mixed in the SiO 2 film is obtained. If the distance h2 is too long, a chemical reaction is promoted near the dielectric tube 4 away from the substrate S, and SiO 2 A low-quality thin film in which particles generated by polymerization of two molecules are mixed in the thin film is obtained.

図8は、本実施の形態によるプラズマCVD装置のプラズマPの領域における各種の粒子、分子の存在密度を定性的に示すグラフである。このグラフでは、縦軸に存在密度、横軸にドリフト距離および材料ガス(SiHガス)導入位置Bと基板位置Oを示す。図中、3本の曲線は、SiHガスをガス導入位置Bからチャンバー内に導入した場合の各種分子の存在密度分布を表わすものである。 FIG. 8 is a graph qualitatively showing the density of various particles and molecules in the plasma P region of the plasma CVD apparatus according to this embodiment. In this graph, the vertical axis represents the existence density, and the horizontal axis represents the drift distance, the material gas (SiH 4 gas) introduction position B, and the substrate position O. In the figure, the three curves represent the density distribution of various molecules when SiH 4 gas is introduced from the gas introduction position B into the chamber.

SiHガスは、ガス導入位置Bから導入されると、急激に前駆体へと変化してゆく。これは、酸素ラジカルがSiH分子を連鎖反応により短時間で分解し、前駆体が生成する反応によるものである。前駆体は、ガス導入位置Bのやや基板側、すなわちSiHガスの導入直後で最大となり、その後ドリフト距離とともにSiO分子へ変化し、安定することになる。前駆体がSiOへ変化する時間は、ドリフト距離に換算されるものであり、ガス導入位置Bと基板位置Oとの距離を最適化することで、膜質を制御することができる。 When SiH 4 gas is introduced from the gas introduction position B, it rapidly changes into a precursor. This is due to a reaction in which oxygen radicals decompose SiH 4 molecules in a short time by a chain reaction to produce a precursor. The precursor reaches a maximum at the gas introduction position B slightly on the substrate side, that is, immediately after the introduction of the SiH 4 gas, and then changes to the SiO 2 molecule along with the drift distance and becomes stable. Time precursor is changed into SiO 2 is intended to be converted to the drift distance, by optimizing the distance between the gas introducing position B and the substrate position O, it is possible to control the film quality.

また、本実施の形態では、上面側導入管6のガス噴出口63と同様に、側面側導入管7のガス噴出口73の位置も可変としている。上面側導入管6と側面側導入管7の両方を使用する場合、ガス噴出口63と73の位置は、少なくとも一方を可変としてもよい。これにより、基板Sの全面にわたって膜厚や膜質の均一化を図るとともに膜質のコントロールができる。さらに、側面側導入管7のガス噴出口73の向きを可変としているので、チャンバー2内のガス濃度分布を細かく制御することができ、基板Sの全面にわたって、より一層精密な膜質のコントロールができる。   Further, in the present embodiment, the position of the gas outlet 73 of the side-side inlet pipe 7 is also variable, like the gas outlet 63 of the upper-side inlet pipe 6. When both the upper surface side introduction pipe 6 and the side surface side introduction pipe 7 are used, at least one of the positions of the gas ejection ports 63 and 73 may be variable. Thereby, the film thickness and the film quality can be made uniform over the entire surface of the substrate S, and the film quality can be controlled. Furthermore, since the direction of the gas outlet 73 of the side-side introduction pipe 7 is variable, the gas concentration distribution in the chamber 2 can be finely controlled, and the film quality can be controlled more precisely over the entire surface of the substrate S. .

本発明は、その特徴を損なわない限り、以上説明した実施の形態に何ら限定されない。例えば、誘電体チューブ4を分割構造としたり、プロセスガス導入管5、上面側導入管6および側面側導入管7の形状やガス噴出口の個数や配置を変えるなど様々な変形例が考えられる。   The present invention is not limited to the embodiments described above as long as the characteristics are not impaired. For example, various modifications are conceivable, such as the dielectric tube 4 having a divided structure, the shape of the process gas introduction pipe 5, the upper surface side introduction pipe 6 and the side surface introduction pipe 7, and the number and arrangement of the gas outlets are changed.

本発明の実施の形態に係るプラズマCVD装置を模式的に示す全体構成図である。1 is an overall configuration diagram schematically showing a plasma CVD apparatus according to an embodiment of the present invention. 本発明の実施の形態に係るプラズマCVD装置の主要部の概略構成図である。It is a schematic block diagram of the principal part of the plasma CVD apparatus which concerns on embodiment of this invention. (a)は、図2のI−I断面図であり、マイクロ波の導入部の構成を示す平面図、(b)は、環状導波管の展開図である。(A) is II sectional drawing of FIG. 2, is a top view which shows the structure of the introduction part of a microwave, (b) is an expanded view of an annular waveguide. 本発明の実施の形態に係るプラズマCVD装置のプロセスガス導入管の構造を模式的に示す平面図である。It is a top view which shows typically the structure of the process gas introduction pipe | tube of the plasma CVD apparatus which concerns on embodiment of this invention. 本発明の実施の形態に係るプラズマCVD装置の上面側導入管の構造を模式的に示す平面図である。It is a top view which shows typically the structure of the upper surface side introduction tube of the plasma CVD apparatus which concerns on embodiment of this invention. (a)は、本発明の実施の形態に係るプラズマCVD装置の側面側導入管の構造を模式的に示す斜視図、(b)は、側面側導入管の部分的な構造を模式的に示す部分断面図である。(A) is a perspective view which shows typically the structure of the side surface side introduction tube of the plasma CVD apparatus which concerns on embodiment of this invention, (b) shows the partial structure of a side surface side introduction tube typically. It is a fragmentary sectional view. 本発明の実施の形態に係るプラズマCVD装置におけるプラズマ生成領域で生じる化学反応の素過程を示す模式図である。It is a schematic diagram which shows the elementary process of the chemical reaction which arises in the plasma production area | region in the plasma CVD apparatus which concerns on embodiment of this invention. プラズマ領域における各種分子の存在密度分布を定性的に示すグラフである。It is a graph which shows qualitatively the density distribution of various molecules in a plasma region.

符号の説明Explanation of symbols

1:マイクロ波発生部
2:チャンバー
3:環状導波管
3a:導入口
3b:終端部
3c:終端整合器
4:誘電体チューブ
5:プロセスガス導入管
6:上面側導入管
7:側面側導入管
30:スロットアンテナ群
31〜38:スロットアンテナ
100:プラズマCVD装置
A:始点
B:終点
E1:終端
M:マイクロ波
P:プラズマ
SW:表面波
DESCRIPTION OF SYMBOLS 1: Microwave generation part 2: Chamber 3: Annular waveguide 3a: Introduction port 3b: Termination part 3c: Termination matching unit 4: Dielectric tube 5: Process gas introduction pipe 6: Upper surface side introduction pipe 7: Side surface side introduction Tube 30: Slot antenna group 31-38: Slot antenna 100: Plasma CVD apparatus A: Start point B: End point E1: End point M: Microwave P: Plasma SW: Surface wave

Claims (6)

マイクロ波発生装置からマイクロ波を導入する導入口と終端部とを有し、複数のスロットアンテナが形成された底板を内側面とする環状のマイクロ波導波管と、
筒状を呈し、その筒の外側面が前記環状のマイクロ波導波管の底板に接して配設され、前記環状のマイクロ波導波管内を伝搬するマイクロ波を前記スロットアンテナを通して導入する筒状誘電体部材と、
前記筒状誘電体部材を保持して気密空間を形成し、前記筒状誘電体部材を介して導入されたマイクロ波により前記気密空間に表面波励起プラズマを生成し、該表面波励起プラズマにより被処理物を処理するプラズマ処理室と、
シリコン元素を含む材料ガスを導入し、ガス噴出口から前記プラズマ処理室内に前記材料ガスを噴出する材料ガス導入手段と、
前記表面波励起プラズマにより活性化して前記材料ガスに化学反応を起こさせるプロセスガスを導入し、前記材料ガス導入手段のガス噴出口と離れて設けられたガス噴出口から前記プラズマ処理室内に前記プロセスガスを噴出するプロセスガス導入手段とを具備し、
前記材料ガス導入手段は、
前記筒状誘電体部材で囲まれる内部空間内またはその周辺領域に前記ガス噴出口を有し、前記筒状誘電体部材の軸方向にガスを噴出する第1の材料ガス導入手段と、
前記筒状誘電体部材で囲まれる内部空間の周辺領域に前記ガス噴出口を有し、前記筒状誘電体部材の軸方向と所定の角度をなしてガスを噴出する第2の材料ガス導入手段と、
前記第1および第2の材料ガス導入手段を独立に移動させて、前記被処理物と前記第1の材料ガス導入手段のガス噴出口および前記第2の材料ガス導入手段のガス噴出口との間の距離をそれぞれ可変とする可変装置とを有することを特徴とする表面波励起プラズマCVD装置。
An annular microwave waveguide having an inlet and a termination for introducing microwaves from a microwave generator, and having a bottom plate on which a plurality of slot antennas are formed as an inner surface;
Cylindrical dielectric body that has a cylindrical shape, the outer side surface of which is in contact with the bottom plate of the annular microwave waveguide, and introduces microwaves propagating through the annular microwave waveguide through the slot antenna A member,
An airtight space is formed by holding the cylindrical dielectric member, surface wave excited plasma is generated in the airtight space by microwaves introduced through the cylindrical dielectric member, and the surface wave excited plasma is used to generate the surface wave excited plasma. A plasma processing chamber for processing an object to be processed;
A material gas introduction means for introducing a material gas containing silicon element and ejecting the material gas from a gas ejection port into the plasma processing chamber;
A process gas that is activated by the surface wave excitation plasma to cause a chemical reaction in the material gas is introduced, and the process is introduced into the plasma processing chamber from a gas jet port provided apart from the gas jet port of the material gas introduction unit. A process gas introduction means for ejecting gas ,
The material gas introduction means includes
A first material gas introduction means which has the gas ejection port in an inner space surrounded by the cylindrical dielectric member or in a peripheral region thereof, and ejects gas in the axial direction of the cylindrical dielectric member;
Second material gas introduction means which has the gas ejection port in the peripheral region of the internal space surrounded by the cylindrical dielectric member and ejects gas at a predetermined angle with the axial direction of the cylindrical dielectric member When,
The first and second material gas introduction means are moved independently, and the object to be processed and the gas outlet of the first material gas introduction means and the gas outlet of the second material gas introduction means surface wave excited plasma CVD apparatus characterized by have a variable device for varying each distance between.
請求項1に記載の表面波励起プラズマCVD装置において、
前記材料ガス導入手段のガス噴出口は、前記プロセスガス導入手段のガス噴出口よりも前記被処理物に近く設けられることを特徴とする表面波励起プラズマCVD装置。
In the surface wave excitation plasma CVD apparatus according to claim 1,
The surface wave excitation plasma CVD apparatus characterized in that a gas jet port of the material gas introducing unit is provided closer to the object to be processed than a gas jet port of the process gas introducing unit.
請求項1または2に記載の表面波励起プラズマCVD装置において、
前記材料ガス導入手段は、一以上の経路と一以上のガス噴出口とを有することを特徴とする表面波励起プラズマCVD装置。
In the surface wave excitation plasma CVD apparatus according to claim 1 or 2,
The surface gas excitation plasma CVD apparatus characterized in that the material gas introduction means has one or more paths and one or more gas ejection ports .
請求項1〜3のいずれか1項に記載の表面波励起プラズマCVD装置において、
前記第2の材料ガス導入手段は、前記ガス噴出口の向きを可変とする向き可変手段をさらに有することを特徴とする表面波励起プラズマCVD装置。
In the surface wave excitation plasma CVD apparatus of any one of Claims 1-3,
The surface wave excitation plasma CVD apparatus, wherein the second material gas introduction means further includes a direction changing means for changing a direction of the gas ejection port .
請求項1〜のいずれか1項に記載の表面波励起プラズマCVD装置において、
前記第2の材料ガス導入手段は、前記筒状誘電体部材の軸方向と直交する面に対し±60°の角度範囲でガスを噴出するように前記ガス噴出口が設けられることを特徴とする表面波励起プラズマCVD装置。
In the surface wave excitation plasma CVD apparatus of any one of Claims 1-3 ,
The second material gas introduction means is characterized in that the gas ejection port is provided so as to eject gas in an angle range of ± 60 ° with respect to a plane orthogonal to the axial direction of the cylindrical dielectric member. Surface wave excitation plasma CVD equipment.
請求項1〜5のいずれか1項に記載の表面波励起プラズマCVD装置において、
前記プロセスガス導入手段は、前記筒状誘電体部材の内側面近傍に一以上の経路と一以上のガス噴出口とを有するガス流路を設けて成ることを特徴とする表面波励起プラズマCVD装置。
In the surface wave excitation plasma CVD apparatus of any one of Claims 1-5 ,
The surface gas excitation plasma CVD apparatus characterized in that the process gas introduction means is provided with a gas flow path having one or more paths and one or more gas jets in the vicinity of the inner surface of the cylindrical dielectric member. .
JP2004028273A 2004-02-04 2004-02-04 Surface wave excitation plasma CVD equipment Expired - Fee Related JP4273983B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004028273A JP4273983B2 (en) 2004-02-04 2004-02-04 Surface wave excitation plasma CVD equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004028273A JP4273983B2 (en) 2004-02-04 2004-02-04 Surface wave excitation plasma CVD equipment

Publications (2)

Publication Number Publication Date
JP2005223079A JP2005223079A (en) 2005-08-18
JP4273983B2 true JP4273983B2 (en) 2009-06-03

Family

ID=34998485

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004028273A Expired - Fee Related JP4273983B2 (en) 2004-02-04 2004-02-04 Surface wave excitation plasma CVD equipment

Country Status (1)

Country Link
JP (1) JP4273983B2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101174277B1 (en) * 2008-07-09 2012-08-16 도쿄엘렉트론가부시키가이샤 Plasma processing device
US9048518B2 (en) 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
US20120326592A1 (en) * 2011-06-21 2012-12-27 Jozef Kudela Transmission Line RF Applicator for Plasma Chamber
JP6671230B2 (en) * 2016-04-26 2020-03-25 東京エレクトロン株式会社 Plasma processing device and gas introduction mechanism
CN108811290A (en) * 2017-04-28 2018-11-13 北京北方华创微电子装备有限公司 Plasma generating device and semiconductor equipment
US20230335376A1 (en) * 2022-04-19 2023-10-19 Applied Materials, Inc. Remote surface wave propagation for semiconductor chambers

Also Published As

Publication number Publication date
JP2005223079A (en) 2005-08-18

Similar Documents

Publication Publication Date Title
JP4273932B2 (en) Surface wave excitation plasma CVD equipment
JP4506557B2 (en) Shower head and surface wave excitation plasma processing apparatus
KR101831537B1 (en) Plasma processing device
US6417111B2 (en) Plasma processing apparatus
JP5735232B2 (en) Plasma processing equipment
JP5377587B2 (en) Antenna, plasma processing apparatus, and plasma processing method
KR101730094B1 (en) Microwave plasma processing device
US20060096540A1 (en) Apparatus to manufacture semiconductor
US20090311872A1 (en) Gas ring, apparatus for processing semiconductor substrate, the apparatus including the gas ring, and method of processing semiconductor substrate by using the apparatus
JP2005235755A (en) Microwave feeder, plasma processing apparatus using it, and plasma processing method
KR20030004427A (en) Plasma processing device
WO2003001578A1 (en) Microwave plasma processing device, plasma processing method, and microwave radiating member
WO2016136669A1 (en) Microwave plasma treatment apparatus
US20050092245A1 (en) Plasma chemical vapor deposition apparatus having an improved nozzle configuration
WO2014054443A1 (en) Antenna and plasma processing apparatus
JP2005033167A (en) Shower plate, plasma processing device and method of producing products
JP3682178B2 (en) Plasma processing method and plasma processing apparatus
JP4273983B2 (en) Surface wave excitation plasma CVD equipment
JP4426632B2 (en) Plasma processing equipment
JP2022093741A (en) Plasma cvd device
JP2013020973A (en) Plasma processing apparatus
JP4517935B2 (en) Shower plate and surface wave excitation plasma processing apparatus
JP2005303329A (en) Plasma etching apparatus
JP2007258570A (en) Plasma processing device
JP2006173372A (en) Plasma source, surface wave excitation plasma cvd device equipped therewith and depositing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060515

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080924

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090210

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090223

R150 Certificate of patent or registration of utility model

Ref document number: 4273983

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120313

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120313

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130313

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140313

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees