JP4031954B2 - Integrated circuit diagnostic device and diagnostic method - Google Patents

Integrated circuit diagnostic device and diagnostic method Download PDF

Info

Publication number
JP4031954B2
JP4031954B2 JP2002170051A JP2002170051A JP4031954B2 JP 4031954 B2 JP4031954 B2 JP 4031954B2 JP 2002170051 A JP2002170051 A JP 2002170051A JP 2002170051 A JP2002170051 A JP 2002170051A JP 4031954 B2 JP4031954 B2 JP 4031954B2
Authority
JP
Japan
Prior art keywords
output
integrated circuit
circuit
outputs
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002170051A
Other languages
Japanese (ja)
Other versions
JP2004012420A (en
Inventor
貴久 平出
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2002170051A priority Critical patent/JP4031954B2/en
Priority to US10/355,014 priority patent/US7337379B2/en
Publication of JP2004012420A publication Critical patent/JP2004012420A/en
Application granted granted Critical
Publication of JP4031954B2 publication Critical patent/JP4031954B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、LSI(Large Scale Integration)等の集積回路の製造不良(故障)を検出するとともにその発生位置を特定しうる故障診断を行なうための装置および診断方法に関する。
【0002】
【従来の技術】
集積回路、例えばLSIの製造不良の検出は、テスタ(ATE;Automatic Test Equipment)を用いてLSIの入力ピンに適当な信号値を印加し、その出力ピンに現れる信号値を期待される結果と比較することで行なわれる。入力ピンに印加される信号値と出力ピンに現れるべき期待値とを合わせてテストパターン(試験パターン)と呼ぶ。
【0003】
LSIの製造不良によりLSIの内部に生じる欠陥は故障と呼ばれ、LSI内部で起こりうる全ての故障について検証を行なうためには、多くのテストパターンが必要となる。また、LSI内部に仮定される全故障数に対する、あるテストパターンによって検証できる故障の数の割合は、診断率(または検出率)と呼ばれ、テストパターンの品質を計るときの尺度として使われている。LSIが順序回路素子〔フリップフロップ(F/F),ラッチおよびRAM(Random Access Memory)〕を含む場合、テストパターン作成の複雑さは飛躍的に増大する。
【0004】
そこで、LSIでは、スキャン設計が一般的に行なわれている。スキャン設計を施されたLSIでは、LSI内部の順序回路素子(主にF/F)を用いてシフトレジスタ〔スキャンパス(Scan Path)と呼ばれる〕が形成され、試験時にそのシフトレジスタに所望の値がシフトインされ、クロック印加後にシフトレジスタの値が外部に読み出される。
【0005】
このような回路において、ディターミニスティックストアードパターンテスト〔以下、DSPT(Deterministic Stored Pattern Test)という〕が広く採用されている。このDSPTは、自動テストパターン発生器〔以下、ATPG(Automatic Test Pattern Generator)という〕で作成したテストパターンをテスタ(ATE)に格納して行なわれる。
【0006】
図6は従来のスキャン設計を説明するための図で、この図6では、スキャン設計の概念がブロック図として示されている。この図6に示すように、スキャン設計を施されたLSIでは、このLSIをテストするための道筋である複数本のスキャンパス(シフトレジスタ)が形成されている。各スキャンパスは、それぞれ記憶素子である複数個のF/Fを用いて形成されている。そして、各スキャンパスの一端側(図6の左側)からテストパターンがシフトインされ、他端側(図6の右側)からテスト結果が出力される。なお、図6では、4本のスキャンパスが図示され、各スキャンパスは、8個のF/Fを直列接続して形成されている。
【0007】
しかし、近年、LSIの集積度の増大に伴い、内部に含まれる順序回路素子の数が非常に多くなってきているため、上述のようなDSPTにより、スキャンパスを構成する全ての順序回路素子において、テストパターン毎に設定と読出とを繰り返し実行すると、試験時間が増大するだけでなく、テストデータ量の増大によるテスタのメモリ容量が逼迫するなどの問題が生じている。従って、DSPTによる試験の実行は困難なものとなってきている。特に、テストデータ量の増大によるテスタのメモリ容量の逼迫は、メモリの増強やテスタのアップグレードなどテストコストを大幅に引き上げることになる。
【0008】
このような問題を解決するために、組込み自己試験〔以下、BIST(Built-In Self Test)という〕が行なわれるようになってきている。BISTでは、図7に示すように、擬似乱数パターン発生器2で発生されたパターンがLSIの内部回路(スキャンパス)に印加され、その内部回路からの出力結果が出力検証器7で検証・格納される。擬似乱数パターン発生器2および出力検証器7としては、リニアフィードバックシフトレジスタ(以下、LFSRという)が使用されることが多く、特に、出力検証器7は、出力結果をシグネチャとして圧縮格納するため、マルチインプットシグネチャレジスタ(以下、MISRという)と呼ばれる。なお、図7は、従来のBIST回路を説明するための図である。また、図7では、擬似乱数パターン発生器2と出力検証器7との間に8本のスキャンパスが図示され、各スキャンパスは、4個のF/Fを直列接続して形成されている。
【0009】
BIST回路では、擬似乱数パターン発生器がLSI内部に搭載されているため、極めて多数のテストパターンを短時間で発生することができ、外部のテスタに入力テストパターンを格納しておく必要はない。また、MISRにより試験結果を圧縮して格納するため、テスタにロードするデータ量を圧倒的に削減することができる。さらに、BIST回路では、スキャンパスの数を多くしてスキャンパスへのシフトイン/シフトアウト動作を高速化して、試験時間を短縮することもできる。
【0010】
【発明が解決しようとする課題】
上述のようにBISTを採用することにより、上記DSPTの問題点を改善することはできるが、いくつかの課題も有している。
つまり、BISTでは、擬似乱数パターンが用いられるため、試験の品質(診断率,故障の検出率)に問題がある。診断率を高めるためには、追加テストとしてDSPTを適用するか、LSI内部の回路に制御性と観測性とを増すようなテストポイントを挿入する必要がある。
【0011】
また、BISTでは、出力データをMISRに圧縮して格納しているので、その構成上、一度でも不定値(X値)を取り込むとMISR内の全てのレジスタが不定状態となって、レジスタに保持されていた値が破壊されてしまい、試験不能になってしまう。
【0012】
一般に、LSI内部の、RAMを含む順序回路素子は、電源投入時には不定状態であるため、これらの順序回路素子を初期化するパターンを予め印加するか、不定状態がMISRに伝播しないように回路を工夫する必要があった。この他にも、バス設計時に乱数パターンによりバスのコンフリクトやフロート状態が起きないようにするなど、BISTを実回路に適用するには厳しい設計制約を設計者に強いることになる。これに加え、BIST用の付加回路とテストポイントとの挿入により回路のエリアオーバーヘッドや性能低下も問題となっていた。
【0013】
そこで、本願の発明者等は、特願2000−372231において、上述したDSPTおよびBISTの問題を解決し、試験時間の短縮とテストデータ量の削減とを実現するとともに、高品質な試験(診断率の高い試験)を可能にした技術を提案している。その技術を適用された試験回路の構成を図8(ブロック図)に示す。
【0014】
この図8に示す試験回路は、LSI上において図7と同様のBIST回路をベースとし、そのBIST回路にさらにパターン修正器4および不定マスク器5を追加して構成されている。そして、擬似乱数パターン発生器(LFSR)2により生成されたパターンは、パターン修正器4によりATPGと同等のパターンに修正された上で、スキャンパスにシフトインされる。試験クロック印加後、スキャンパスからの出力は、不定マスク器5を介して出力検証器7内のMISRに圧縮されて格納されるが、その際、出力中の不定値(X値)は、不定マスク器5によりマスク処理される。なお、図8では、パターン修正器4と不定マスク器5との間に8本のスキャンパスが図示され、各スキャンパスは、4個のF/Fを直列接続して形成されている。
【0015】
ATPGによって作り出されたパターンをスキャンパスにシフトインして試験を行なう際、そのパターンに基づいて値(1もしくは0)を明示的に設定されるF/Fの数は、全F/Fのうちの極僅か(数%)である。そこで、図8に示す試験回路では、上述のようにF/Fに明示的に設定されるべき値のみを、外部のテスタから制御信号を用いてパターン修正器4に与え、このパターン修正器4により、擬似乱数パターン発生器2からの擬似乱数パターンを、ATPGと同等の高品質なパターンに変更することを可能にしている。また、不定値のMISRへの取り込みを不定マスク器5でブロックすることにより、BISTの設計制約の一つを容易かつ確実に満たすように設計を行なえるので、設計者への負担が大幅に軽減される。
【0016】
ところで、図7に示すBIST回路や図8に示す試験回路のごとく、出力結果をMISRに圧縮して格納するLSI試験手法では、試験対象のLSIの良否判定が主たる目的である。しかし、実際のLSIの製造現場では、LSIの製造プロセスの問題を解消したり歩留まりを向上させたりするため、不良と判定されたLSIが不良となった原因の調査が必要となる場合がある。その場合、欠陥がLSI内部のどこに存在するかを特定する必要がある。
【0017】
一般に、テスタから与えられたテストパターンと、テスタによる観測値と期待値とが不一致となった部分の情報とに基づいて故障箇所を特定することを故障診断という。DSPTでは、テストパターン毎に出力を観測することができるため、故障診断を比較的容易に行なえる。DSPTの各テストパターンにより検出することの可能な故障と検出場所(F/F)とが、故障シミュレーションを行なうことによって分かるため、テスタの不一致情報に基づいて候補の故障を絞り込むことが可能になる。
【0018】
これに対して、図7に示すBIST回路や図8に示す試験回路では、出力結果を出力検証器7のMISRに圧縮して格納し試験終了後にMISRの値を読み出すため、故障診断は困難になる。つまり、出力結果が圧縮されているため、故障の有無を判断することができても故障箇所を特定することができない。さらに、BIST等の試験では、スキャンパスの数を多くすることにより試験の高速化をはかっており、全てのスキャンパスの出力を外部ピンにて観測することは、LSIのピン数制限のため不可能であり、つまりは故障診断も不可能である。
【0019】
本発明は、このような課題に鑑み創案されたもので、スキャンパスからの出力が圧縮格納される場合や、スキャンパス数が多い場合であっても、集積回路の製造不良(故障)を検出するだけでなく、その発生位置の特定を可能にした、集積回路の診断装置および診断方法を提供することを目的とする。
【0020】
【課題を解決するための手段】
上記目的を達成するために、本発明の集積回路の診断装置(請求項1)は、集積回路中に組み込まれて試験パターンを発生するパターン発生器と、該集積回路内部の順序回路素子により並列的に形成され、該パターン発生器により発生された試験パターンをそれぞれシフトインされる複数のシフトレジスタと、該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、シグネチャとして圧縮して該集積回路の外部へ出力する出力検証器と、該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、ハミング符号の検査ビットに圧縮して該集積回路の外部へ出力する出力圧縮器と、予め得られた出力期待値と該出力圧縮器からの出力とを比較して故障診断を行なう診断手段とをそなえ、該診断手段が、該出力期待値と該検査ビットとの排他的論理和を算出してシンドロームを取得し、故障箇所が1箇所の場合には、該シンドロームと予め得られた当該シンドロームに対応するエラーベクトルとに基づいて、当該故障の存在するシフトレジスタを特定することを特徴としている。
【0022】
また、本発明の集積回路の診断方法(請求項)は、集積回路中に組み込まれたパターン発生器で試験パターンを発生し、該パターン発生器により発生された試験パターンを、該集積回路内部の順序回路素子により並列的に形成された複数のシフトレジスタに、それぞれシフトインし、該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、シグネチャとして圧縮して該集積回路の外部へ出力するとともに、該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、ハミング符号の検査ビットに圧縮して該集積回路の外部へ出力し、予め得られた出力期待値と該集積回路から出力された該検査ビットとの排他的論理和を算出してシンドロームを取得し、該シンドロームに基づいて故障診断を行なうとともに、該故障診断の結果、故障箇所が1箇所の場合には、該シンドロームと予め得られた当該シンドロームに対応するエラーベクトルとに基づいて、当該故障の存在するシフトレジスタを特定することを特徴としている。
【0023】
一方、本発明の集積回路の診断装置(請求項)は、上述と同様のパターン発生器および複数のシフトレジスタをそなえるとともに、該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を圧縮して該集積回路の外部へ出力する、少なくとも一つのEOR(排他的論理和)木回路と、該EOR木回路に入力される該複数の出力のうちの一つを有効にしうる制御回路と、予め得られた出力期待値と該EOR木回路からの出力とを比較して故障診断を行なう診断手段とをそなえ、該制御回路が、該複数の出力を一つずつ有効化し、該EOR木回路が、該制御回路によって有効化された出力を圧縮して該集積回路の外部へ順次出力し、該診断手段が、該EOR木回路からの出力に基づいて、該複数のシフトレジスタにおける前記故障診断を一つずつ行ない、故障の存在するシフトレジスタを特定することを特徴としている。
【0025】
また、本発明の集積回路の診断方法(請求項)は、集積回路中に組み込まれたパターン発生器で試験パターンを発生し、該パターン発生器により発生された試験パターンを、該集積回路内部の順序回路素子により並列的に形成された複数のシフトレジスタに、それぞれシフトインし、該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、一つずつ有効化し、有効化された出力を、EOR(排他的論理和)木回路により圧縮して該集積回路の外部へ順次出力し、予め得られた出力期待値と該EOR木回路からの出力とを比較して故障診断を一つずつ行ない、故障の存在するシフトレジスタを特定する行なうことを特徴としている。
【0026】
【発明の実施の形態】
以下、図面を参照して本発明の実施の形態を説明する。
〔1〕第1実施形態の説明
故障診断を行なうためには、DSPTと同様、テストパターン毎の一致/不一致情報(各テストパターンに応じたスキャンパス出力と期待値とが一致するか否かの情報)が必要となる。BISTでは、テストパターン毎にテスタでスキャンパス出力と期待値との比較を行なっていないので、テストパターン毎にF/Fの値を外部に読み出す必要がある。しかし、BISTでは、前述したように、高速な試験を行なうために内部のスキャンパスの並列度を上げており、LSIの入出力ピン数の制限により全てのスキャンパス分の外部出力ピンを用意することは不可能である。従って、多数のスキャンパスの情報を圧縮し少ない外部出力ピンで観測するしくみが必要となっている。本発明の第1実施形態は、このようなしくみを提供するものである。
【0027】
図1は本発明の第1実施形態としての集積回路の診断装置の構成を示すブロック図であり、この図1に示すように、第1実施形態の診断装置には、擬似乱数パターン発生器(パターン発生器,LFSR)2,複数のスキャンパス,出力検証器(MISR)7および出力圧縮器10がそなえられている。この診断装置は、試験対象の集積回路であるLSI1Aに組み込まれている。
【0028】
LSI1Aは、複数のF/F(順序回路素子)を含んで構成されるもので、このLSI1Aにおいては、これらのF/Fにより、複数のスキャンパス(シフトレジスタ)が並列的に形成されている。図1に示す例では、8本のスキャンパスが並列的に形成されており、各スキャンパスは、4個のF/Fを直列接続して形成されている。
【0029】
また、第1実施形態の診断装置においても、擬似乱数パターン発生器2で発生されたパターンがスキャンパスにそれぞれシフトインされ、各スキャンパスからの出力結果は、出力検証器7で圧縮格納されるようになっている。この出力検証器7は、複数の排他的論理和(EOR)回路および複数のレジスタから構成され、各スキャンパスからの出力結果を、シグネチャとして圧縮(符号化)して格納し、所定の試験パターンに対する出力結果を、最終的に8ビットの符号化された値として出力するものである。
【0030】
そして、出力圧縮器10は、図1に示すごとく、排他的論理和回路(EOR回路)11〜21から構成され、複数のスキャンパスからそれぞれシフトアウトされた複数の出力(図1では8ビットデータ)を、ハミング符号の検査ビット(図1では4ビット)を用いて圧縮してLSI1Aの外部へ出力するものである。つまり、出力圧縮器10は、ハミング符号の検査ビットに相当するもので、ハミング符号の検査ビットを実現するEOR回路11〜21によって構成されている。
【0031】
ハミング符号は、誤り訂正符号の一種であり、1ビットの誤りを訂正することが可能である。ハミング符号では、実際の情報ビットに検査ビットを付加することで誤り訂正を行なう。情報ビットが4ビット(x1,x2,x3,x4)である場合、検査ビットは3ビット(y1,y2,y3)必要で、この場合の誤り訂正例を図2に示す。この図2は、第1実施形態における、ハミング符号の検査ビットを用いた圧縮手法(ハミング符号による誤り訂正例)を説明するための図である。
【0032】
図2に示す例では、上述した通り、情報ビットが4ビット(x1,x2,x3,x4)で検査ビットが3ビット(y1,y2,y3)であり、検査ビット(y1,y2,y3)は、図2中に示す式に基づいて情報ビット(x1,x2,x3,x4)から算出される。この場合、図2に示すように、シンドロームは3ビット(z1,z2,z3)で、シンドロームに対応するエラーベクトルが予め与えられている。
【0033】
このとき、元データが(0,1,0,0)であれば、検査ビットとしては(1,0,1)が得られるはずである。つまり、ある試験パターンによりスキャンパス出力として(0,1,0,0)が期待される場合には、出力圧縮器10からの検査ビット出力として(1,0,1)が出力されるはずであるので、出力期待値として(1,0,1)が予め得られることになる。
【0034】
このようにスキャンパス出力として(0,1,0,0)が期待されている時に、故障により誤りが混入し、例えばx3が“1”となり、スキャンパス出力として(0,1,1,0)が得られたとする。この場合、検査ビット(出力圧縮器10の出力)としては(0,1,1)が得られ、この検査ビット(0,1,1)と出力期待値(1,0,1)との排他的論理和(EOR)を算出することによりシンドローム(1,1,0)が得られる。
【0035】
得られたシンドローム(1,1,0)に対応するエラーベクトル(x1,x2,x3,x4,y1,y2,y3)は、図2に示すように、(0,0,1,0,0,0,0)であり、ハミング符号では、このエラーベクトルにおける(x1,x2,x3,x4)と、スキャンパス出力(0,1,1,0)との排他的論理を算出することにより、元データを復元することができる。
ただし、第1実施形態では、元データを復元する必要はなく、シンドロームを算出した時点で、誤りの混入したビット、即ち、故障箇所の存在するスキャンパスが特定されることになる。
【0036】
図1に示す例では、情報ビットが8ビット(x1,x2,…,x8)で、この場合、検査ビットは4ビット(y1,y2,y3,y4)となるので、図2を参照しながら上述した手法が拡張されて適用される。つまり、8ビット分のスキャンパス出力(x1,x2,…,x8)が、出力圧縮器10(EOR回路11〜21)により、4ビット分のハミング符号の検査ビットデータ(y1,y2,y3,y4)に圧縮されてLSI1Aの外部へ出力されることになる。
【0037】
そして、第1実施形態では、図示省略のテスタ(診断手段)がそなえられ、このテスタに、出力圧縮器10からの出力(検査ビットデータ(y1,y2,y3,y4))が入力されるようになっている。テスタには、故障(誤り)の無い場合に得られるべき検査ビットデータが出力期待値として予め格納されており、テスタが、出力期待値と出力圧縮器10からの出力(検査ビットデータ)とを比較して故障診断を行なう。
【0038】
具体的には、上述した通り、出力期待値と出力圧縮器10からの検査ビットデータとの排他的論理和を算出してシンドロームを得て、そのシンドロームに基づいて故障箇所を判断する。故障が存在しなければ、出力期待値と出力圧縮器10からの検査ビットデータとは一致し、シンドロームの各ビットは“0”になる。複数のスキャンパスのうちの1つに故障が存在する場合には、出力期待値と出力圧縮器10からの検査ビットデータとが不一致となり、得られたシンドロームに対応するエラーベクトルに基づいて、8ビット分のスキャンパス出力(x1,x2,…,x8)のうちの1ビットの誤りを特定することが可能である。つまり、故障が存在する1つのスキャンパス(故障箇所)を特定することができる。
【0039】
なお、故障が2または3のスキャンパスで同時に起きた場合には、1つのスキャンパスで故障が生じた場合と同様、出力圧縮器10の出力結果がテスタの期待値と不一致を起こすが、シンドロームにより求められるスキャンパスに故障が存在するとは限らず、その故障箇所(故障の存在するスキャンパス)を特定することができない。この場合は、故障の存在を指摘することだけが可能となる。さらに、故障が4箇所以上で同時に起きた場合には、故障が存在するにもかかわらず出力圧縮器10の出力結果がテスタの期待値と一致を起こす場合があり、正しく故障の有無を指摘できないことがある。
【0040】
このように、本発明の第1実施形態によれば、出力圧縮器10により複数のスキャンパスからそれぞれシフトアウトされた複数の出力が、ハミング符号の検査ビットに圧縮・符号化されてからLSI1Aの外部へ出力されるので、多数のスキャンパスの情報を少ない外部出力ピン(図1の例では4個)で観測することが可能になる。従って、BIST等の試験を適用したLSI1Aの診断を少ない回路オーバーヘッドで実現できる。
【0041】
また、故障診断に際しては、テストパターン毎にテスタで期待値比較を行なう必要があるが、多数のスキャンパスの情報を圧縮して符号化しているので、DSPTよりも高速な診断が可能になる。そして、スキャンパスからの出力が圧縮格納される場合や、スキャンパス数が多い場合であっても、LSI1Aの製造不良(故障)を検出するだけでなく、故障箇所が1箇所であれば、その発生位置(スキャンパス)を特定することができる。さらに、第1実施形態では、2または3のスキャンパスで故障が発生している場合、その故障の存在が指摘されるだけであるが、量産段階にあるLSI1Aに対しては、故障の存在を指摘できるだけでも極めて有効な効果が得られるものと考えられる。
【0042】
なお、図1において二点鎖線で示すごとく、図8を参照しながら前述した試験装置と同様、LSI1A内に、擬似乱数パターン発生器2によって発生された試験パターンを外部入力により修正してから複数のスキャンパス(シフトレジスタ)に入力するパターン修正器4と、複数のスキャンパスからの出力中の不定値(X値)をマスクしてから出力検証器7および出力圧縮器10へ出力する不定マスク器5とをさらに組み込んでもよい。
【0043】
このとき、擬似乱数パターン発生器2で発生された試験パターンがパターン修正器4に入力される。パターン修正器4には、テスタ(図示省略)からの制御信号が制御入力ピン等を通じて入力され、このパターン修正器4が、上記制御信号に従って、値を設定する必要のあるF/Fのための値のみを修正し、その値を各スキャンパスの先頭F/Fに入力・設定する。
【0044】
不定マスク器5は、制御入力ピン等から入力される制御信号に従って、各スキャンパスの最終F/Fの値のうち不定値(X値)のものをマスクすることにより不定状態を規定の状態に変換してから、各スキャンパスの最終F/Fの値を出力検証器7および出力圧縮器10に入力する。
このように、擬似乱数パターン発生器2で発生した試験パターンをパターン修正器4で修正して複数のスキャンパスに入力することにより、スキャンパス数を増やしスキャンパス段数(各スキャンパスにおけるF/Fの数)を少なくして、LSI1Aの試験時間を大幅に短縮することができる。
【0045】
また、DSPTおよびBISTの問題点が解決され、両者の利点を生かした高品質なテストを短時間で可能とするテストパターンを生成することができる。その際、意味あるデータ部分(値を設定する必要のあるF/Fの情報)のみをテスタ(外部入力)から供給して修正するので、テスタに格納されるデータの量を大幅に削減することもできる。従って、設計者に厳しい設計規約を課すことなく、且つ、高価なテスタを必要とすることなく、高品質なテストを行なえる。
【0046】
さらに、LSI1A内部のF/Fで形成した複数のスキャンパスからの出力中の不定値(X値)を不定マスク器5でマスクし、マスクされた出力結果を出力検証器7で検証することにより、F/Fからの出力結果を圧縮して外部に読み出しても、不定値が圧縮結果を台無しにしてしまうことがなくなる。
【0047】
〔2〕第2実施形態の説明
上述した第1実施形態では、同時に複数の故障が発生した場合、故障箇所を特定することができない。新しい製造プロセスの立ち上げ時にはこのようなことは起こりやすく、故障診断の必要性も高い。そこで、第2実施形態および第3実施形態では、上記必要性に応じて、故障が存在する全てのスキャンパスを正しく特定できるようにしている。
【0048】
図3は本発明の第2実施形態としての集積回路の診断装置の構成を示すブロック図であり、この図3に示すように、第2実施形態の診断装置には、第1実施形態と同様の擬似乱数パターン発生器2,複数のスキャンパスおよび出力検証器7がそなえられるとともに、出力圧縮器10に代えて出力選択器30がそなえられている。この診断装置は、試験対象の集積回路であるLSI1Bに組み込まれている。
【0049】
LSI1Bは、第1実施形態のLSI1Aと同様、複数のF/F(順序回路素子)を含んで構成されるもので、このLSI1Bにおいては、これらのF/Fにより、複数のスキャンパス(シフトレジスタ)が並列的に形成されている。図3に示す例でも、8本のスキャンパスが並列的に形成されており、各スキャンパスは、4個のF/Fを直列接続して形成されている。
【0050】
また、第2実施形態の診断装置においても、擬似乱数パターン発生器2で発生されたパターンがスキャンパスにそれぞれシフトインされ、各スキャンパスからの出力結果は、出力検証器7で圧縮格納されるようになっている。この出力検証器7は、複数のEOR回路および複数のレジスタから構成され、各スキャンパスからの出力結果を、シグネチャとして圧縮(符号化)して格納し、所定の試験パターンに対する出力結果を、最終的に8ビットの符号化された値として出力するものである。
【0051】
そして、出力選択器30は、図に示すごとく、2組のEOR(排他的論理和)木回路31,32と、制御回路40とから構成されている。
ここで、EOR木回路31は、3つの排他的論理和回路(EOR回路)33,34,35から構成され、図3に示す上側4本のスキャンパスからそれぞれシフトアウトされた出力を圧縮してLSI1Bの外部へ出力するものであり、同様に、EOR木回路32は、3つの排他的論理和回路(EOR回路)36,37,38から構成され、図3に示す下側4本のスキャンパスからそれぞれシフトアウトされた出力を圧縮してLSI1Bの外部へ出力するものである。
【0052】
また、制御回路40は、デコーダ41と、8個のOR回路(論理和回路)42〜49とから構成され、各EOR木回路31,32に入力される複数(ここでは4つ)の出力のうちの一つを有効化するためのものである。
【0053】
OR回路42,43は、それぞれ、図3に示す上から1本目および2本目のスキャンパスの出力とデコーダ41からの選択信号との論理和をEOR回路33の2つの入力端子に入力するものである。同様に、OR回路44,45は、それぞれ、図3に示す上から3本目および4本目のスキャンパスの出力とデコーダ41からの選択信号との論理和をEOR回路34の2つの入力端子に入力するものであり、OR回路46,47は、それぞれ、図3に示す上から5本目および6本目のスキャンパスの出力とデコーダ41からの選択信号との論理和をEOR回路36の2つの入力端子に入力するものであり、OR回路48,49は、それぞれ、図3に示す上から7本目および8本目のスキャンパスの出力とデコーダ41からの選択信号との論理和をEOR回路37の2つの入力端子に入力するものである。
【0054】
デコーダ41は、有効化すべきスキャンパスの出力のみをEOR木回路31,32に入力させるべく、LSI1Bの外部から制御信号に応じた選択信号をOR回路42〜49に与えるものである。
【0055】
第2実施形態では、EOR木回路31に入力される上側4本のスキャンパスの出力の一つのみを有効化すると同時に、EOR木回路32に入力される下側4本のスキャンパスの出力の一つのみを有効化すべく、デコーダ41は、LSI1Bの外部から制御信号に応じて、上側4つのOR回路42〜45に入力される選択信号のうちの一つのみを“1”から“0”にするとともに下側4つのOR回路46〜49に入力される選択信号のうちの一つのみを“1”から“0”にする。デコーダ41から選択信号“0”を入力されたOR回路42〜49は、そのOR回路42〜49に入力されるスキャンパス出力の通過を許容し、そのスキャンパス出力をEOR木回路31または32に入力することになる。選択信号“1”を入力されたOR回路42〜49の出力は常に“1”となり、スキャンパス出力はOR回路42〜49を通過することができない。
【0056】
従って、第2実施形態では、1回の試験に際し、8本のスキャンパスの出力の中から2つの出力が選択・有効化されそれぞれEOR木回路31,32を通じて2つの外部出力ピンからLSI1Bの外部へ出力されることになる。つまり、試験を4回繰り返せば、8本のスキャンパス全ての試験を完了することができるようになっている。
【0057】
そして、第2実施形態でも、図示省略のテスタ(診断手段)がそなえられ、このテスタに、出力選択器30からの出力が入力されるようになっている。テスタには、故障(誤り)の無い場合に得られるべき出力期待値が予め格納されており、テスタが、出力期待値と出力選択器30からの出力とを比較して故障診断を行なう。
【0058】
上述の構成により、第2実施形態では、BIST等の試験を適用したLSI1Bの診断を行なう際には、同時故障の有無にかかわらず、制御回路40が、各EOR木回路31,32に入力されるべきスキャンパスの出力を一つずつ有効化し、各EOR木回路31,32が、制御回路40によって有効化された出力を圧縮してLSI1Bの外部へ順次出力し、上記テスタが、出力期待値と各EOR木回路31,32からの出力とを比較して故障診断を行ない、出力期待値と一致しない出力のスキャンパスを、故障の存在するスキャンパスとして特定する。
【0059】
このような処理を、複数回(図3に示す第2実施形態のLSI1Bでは4回)繰り返すことにより、全てのスキャンパスに対する診断を行ない、全ての故障位置(故障の発生したスキャンパス)を特定することが可能になる。つまり、1回目の試験では、各EOR木回路31,32に接続される1番目のスキャンパスのみを有効にするようにデコーダ41の選択信号が設定され、その1番目のスキャンパスについての試験が行なわれる。そして、各テストパターンのテスタでの不一致情報に基づいて故障の存在するスキャンパスを特定する。
【0060】
このとき、EOR木回路31,32に対応して設けられる2つの外部出力ピンからの不一致情報に基づいて、スキャンパスの属するグループ(EOR木回路31のグループかEOR木回路32のグループ)が特定され、さらに、デコーダ41からの選択信号により各グループの1番目のスキャンパスが有効にされていることを認識できるので、故障の存在するスキャンパスを特定することができる。
【0061】
同様の試験をn回(第2実施形態ではn=4)繰り返し各EOR木回路31,32に接続されるn番目のスキャンパスまで試験を行なうことにより、故障の存在する全てのスキャンパスを特定することが可能になる。
なお、ここで注意しなければいけないのは、n回分の試験の出力期待値は、診断用マスク器の設定に従って計算しなおしておく必要があることである。
【0062】
このように、本発明の第2実施形態によれば、制御回路40により、複数のスキャンパスから各EOR木回路31,32に入力される出力が一つずつ有効化され、有効化された出力が、各EOR木回路31,32により圧縮されてLSI1Bの外部へ順次出力されるので、BIST等の試験を適用したLSIの故障診断に際して、故障が存在する全てのスキャンパスを正しく特定することができる。従って、新しい製造プロセスの立ち上げ時で同時に多数の故障が存在する場合であっても正しく確実な故障診断を行なうことが可能である。
【0063】
〔3〕第3実施形態の説明
図4は本発明の第3実施形態としての集積回路の診断装置の構成を示すブロック図であり、この図4に示すように、第3実施形態の診断装置には、第1実施形態や第2実施形態と同様の擬似乱数パターン発生器(LFSR)2,複数のスキャンパス(シフトレジスタ)および出力検証器(MISR)7がそなえられるとともに、擬似乱数パターン発生器2と複数のスキャンパスとの間に第1実施形態と同様のパターン修正器4がそなえられ、さらに、複数のスキャンパスと出力検証器7との間に第1実施形態と同様の不定マスク器5がそなえられている。この診断装置は、試験対象の集積回路であるLSI1Cに組み込まれている。
【0064】
LSI1Cは、第1実施形態のLSI1Aと同様、複数のF/F(順序回路素子)を含んで構成されるもので、このLSI1Cにおいては、これらのF/Fにより、複数のスキャンパス(シフトレジスタ)が並列的に形成されている。図4に示す例でも、8本のスキャンパスが並列的に形成されており、各スキャンパスは、4個のF/Fを直列接続して形成されている。
【0065】
また、第3実施形態の診断装置においては、擬似乱数パターン発生器2で発生された試験パターンがパターン修正器4に入力される。パターン修正器4には、テスタ(図示省略)からの制御信号が制御入力ピン等を通じて入力され、このパターン修正器4が、上記制御信号に従って、値を設定する必要のあるF/Fのための値のみを修正し、その値を各スキャンパスの先頭F/Fに入力・設定する。
【0066】
このようにして修正された試験パターンが、スキャンパスにそれぞれシフトインされ、各スキャンパスからの出力結果は不定マスク器5に入力され、この不定マスク器5において、制御入力ピン等から入力される制御信号に従って、各スキャンパスの最終F/Fの値のうち不定値(X値)のものをマスクすることにより不定状態を規定の状態に変換してから、各スキャンパスの最終F/Fの値が、出力検証器7に入力されこの出力検証器7で圧縮格納されるようになっている。そして、出力検証器7は、前述した通り、複数のEOR回路および複数のレジスタから構成され、各スキャンパスからの出力結果を、シグネチャとして圧縮(符号化)して格納し、所定の試験パターンに対する出力結果を、最終的に8ビットの符号化された値として出力する。
【0067】
不定マスク器5には、複数のスキャンパスからの出力中の不定値(X値)をマスクすべく、デコーダ51,論理和回路(OR回路)52,53,54,論理積回路(AND回路)55およびフリップフロップ(F/F)62がそなえられている。この不定マスク器5には、制御入力ピン8本(b1〜b8)を通じて制御信号(Control Signals)が入力されるほか、8本のスキャンパス#0,#1,…,#7の最終F/Fからの出力が入力される。
【0068】
また、不定マスク器5は、出力検証器7(および擬似乱数パターン発生器2)へのシフトクロックや、スキャンパス上のF/Fへのシフトクロックも制御するものである。不定状態をマスクする時には、スキャンパス上のF/Fおよび出力検証器7(および擬似乱数パターン発生器2)に対するシフトクロックは抑止され、スキャンパス上の最終F/Fの後段にそなえられたF/F62に対するシフトクロックのみが印加される。
【0069】
このF/F62は、スキャンパス上の最終F/Fとは独立して反転状態を保持するものである。このような構成を採用することにより、若干、回路のオーバヘッドが増加することになるが、出力検証器7とスキャンパス#0〜#7とを完全に分離してモジュール化することが容易になり、配置・配線(physical placement and routing)を行なうレイアウトに際してスキャンF/Fの順番を最適に変更するリオーダリング処理が可能になる。
【0070】
さらに、不定マスク器5は、制御入力の最上位ビット(b1)でマスク動作を有効にし、制御入力の下位7ビット(b2〜b8)を入力されるデコーダ(Decoder)51を有しており、このデコーダ回路51によるデコード結果に応じて、8本のスキャンパスのうちの特定の1本から入力された不定値(X値)が、OR回路52によって「1」状態値(または「0」状態値)に変換され、その不定値がマスクされるようになっている。
【0071】
即ち、制御入力ピンb1に「1」が入力されるとOR回路53の出力は「1」となるため、スキャンパス上のF/Fおよび出力検証器7(および擬似乱数パターン発生器2)へのシフトクロック(ネガティブクロック;Scan Clock)は抑止されるとともに、制御入力ピンb1の「1」によりAND回路55がスルー状態となる。これにより、F/F62の出力とデコーダ51からの選択信号との論理和結果(OR回路54の出力)が、OR回路52に入力されると同時に、AND回路55を通過しF/F62に入力される。
【0072】
このため、デコーダ51から「1」を出力し、8本のスキャンパスのうちの特定の1本に入力された不定値(X値)をOR回路52で「1」状態値に変換し、その不定値をマスクすることができる。なお、同時に他のスキャンパスのF/Fにも不定値があれば次のシフトクロックでそのF/Fからの出力(不定値)をマスクする。パターン発生部分と出力検証部分とは基本的に独立のものであるが、両回路を共に適用する場合には、制御入力の下位7ビット部分を共用することも可能である。
【0073】
そして、第3実施形態においては、出力検証器7が、第2実施形態で説明したEOR木回路31,32と同様の機能を果たすもので、スキャンパス#0〜#7(OR回路52)からそれぞれシフトアウトされた複数の出力を圧縮してLSI1Cの外部へ出力している。
【0074】
また、出力検証器7に入力されるスキャンパス#0〜#7からの出力のうちの一つを有効にしうる制御回路としての機能と、故障の発生したパターン番号を絞り込むべく、擬似乱数パターン発生器2によって発生された所定範囲のパターン番号のパターンに対応する、スキャンパス#0〜#7からの出力を有効化する制御回路としての機能とを実現させるために、不定マスク器5には、フリップフロップ(初期化設定F/F)57,論理和回路(OR回路)61およびマルチプレクサ56がスキャンパス毎にそなえられるとともに、スタートカウンタ58,エンドカウンタ59およびNAND回路60がそなえられている。第3実施形態では、これらの要素57〜61によって構成される制御回路と、EOR木回路として機能する出力検証器7とから、第2実施形態で説明した出力選択器30と同様の機能が実現されている。
【0075】
初期化設定F/F57は、他のスキャンパス用のF/F57とともにスキャンパスを形成されており、試験開始時にスキャンインにより「0」もしくは「1」を設定されるようになっている。その際、有効化されるべきスキャンパス用のF/F57には「0」が設定され、その他のスキャンパス用のF/F57には「1」が設定される。
【0076】
スタートカウンタ58は、エンドカウンタ59およびNAND回路60とともに所定範囲のパターン番号に対応する出力を有効化する場合に用いられるもので、その所定範囲における最初のパターン番号を設定され、試験開始とともにスキャンクロックを計数し、図5に示すように、その計数値が最初のパターン番号になった時点で、出力信号を「0」から「1」に切り替えるものである。
【0077】
エンドカウンタ59は、スタートカウンタ58およびNAND回路60とともに所定範囲のパターン番号に対応する出力を有効化する場合に用いられるもので、その所定範囲における「最後のパターン番号+1」を設定され、試験開始とともにスキャンクロックを計数し、図5に示すように、その計数値が「最終のパターン番号+1」になった時点で、出力信号を「1」から「0」に切り替えるものである。
【0078】
NAND回路60は、スタートカウンタ58からの出力信号とエンドカウンタ59からの出力信号との否定論理積を出力するもので、図5に示すように、所定範囲のパターン番号に対応する出力がスキャンパスから出力されている時には、「0」を出力し、そのスキャンパスからの出力を有効化するようになっている。
【0079】
従って、例えば全ての試験パターンに対応する出力を有効化する場合には、スタートカウンタ58にゼロを設定するとともに、エンドカウンタ59に「パターン番号の最大値+1」を設定する。また、例えば一つの試験パターンに対応する出力のみを有効化する場合には、スタートカウンタ58にその試験パターンの番号を設定するとともに、エンドカウンタ59に「その試験パターンの番号+1」を設定する。
【0080】
OR回路61は、初期化設定F/F57からの出力信号とNAND回路60からの出力信号との論理和を出力するもので、初期化設定F/F57に「0」が設定され、且つ、NAND回路60からの出力信号が「0」である時(つまり所定範囲のパターン番号の時)のみ、マルチプレクサ56を通じてF/F62に論理結果「0」を出力して、スキャンパスを有効化するものである。なお、マルチプレクサ56は、初期化信号Initによって、AND回路55からの出力信号とOR回路61からの出力信号とのいずれか一方を選択的に切り替えてF/F62へ出力するものである。
【0081】
そして、第3実施形態でも、図示省略のテスタ(診断手段)がそなえられ、このテスタに、出力検証器7からの出力が入力されるようになっている。テスタには、故障(誤り)の無い場合に得られるべき出力期待値が予め格納されており、テスタが、出力期待値と出力検証器7からの出力とを比較して故障診断を行なう。
【0082】
上述の構成により、第3実施形態では、BIST等の試験を適用したLSI1Cの診断を行なう際には、同時故障の有無にかかわらず、初期化設定F/F57に対するスキャンイン走査を行なって有効化すべきスキャンパスに対応する初期化設定F/F57に「0」を設定することにより、スキャンパスの出力を一つずつ有効化し、出力検証器7が、有効化された出力を圧縮してLSI1Cの外部へ順次出力し、上記テスタが、出力期待値と出力検証器7からの出力とを比較して故障診断を行ない、出力期待値と一致しない出力のスキャンパスを、故障の存在するスキャンパスとして特定する。
【0083】
このような処理を、複数回(図4に示す第3実施形態のLSI1Cでは8回)繰り返すことにより、全てのスキャンパスに対する診断を行ない、全ての故障位置(故障の発生したスキャンパス)を特定することが可能になる。
つまり、1回目の試験では、出力検証器7に接続される1番目のスキャンパスのみを有効にすべくそのスキャンパスに対応する初期値設定F/F57に「0」が設定され、その1番目のスキャンパスについての試験が行なわれる。
【0084】
このとき、最初は、全ての試験パターンに対応する出力を有効化するようにスタートカウンタ58およびエンドカウンタ59の値を設定しておく。そして、全ての試験パターンによる試験の結果、テスタにより、スキャンパスに故障が存在することが判明した場合には、スタートカウンタ58およびエンドカウンタ59の値を適宜変更し、出力を有効にすべきパターン番号の範囲を選択して試験を行なう。このような処理を繰り返すことにより、故障の発生に係る試験パターンのパターン番号(故障の発生したパターン番号)が絞り込まれ、スキャンパス上の故障箇所を特定することができる。
【0085】
同様の試験をn回(第3実施形態ではn=8)繰り返し出力検証器7に接続されるn番目のスキャンパスまで試験を行なうことにより、故障の存在する全てのスキャンパスを特定することができるとともに、スキャンパス上の故障箇所を特定することも可能になる。
【0086】
このように、本発明の第3実施形態によれば、第2実施形態と同様、複数のスキャンパスから出力検証器7に入力される出力が一つずつ有効化され、有効化された出力が、出力検証器7により圧縮されてLSI1Cの外部へ順次出力されるので、BIST等の試験を適用したLSIの故障診断に際して、故障が存在する全てのスキャンパスを正しく特定することができる。従って、新しい製造プロセスの立ち上げ時で同時に多数の故障が存在する場合であっても正しく確実な故障診断を行なうことが可能である。
【0087】
また、第3実施形態では、スタートカウンタ58,エンドカウンタ59,NAND回路60およびOR回路61を用いて、擬似乱数パターン発生器2によって発生された所定範囲のパターン番号のパターンに対応する、スキャンパスからの出力を有効化して、故障診断を行なうことができる。これにより、故障の発生したパターン番号を絞り込み、スキャンパス上の故障箇所を特定することも可能になる。
【0088】
一方、第3実施形態では、擬似乱数パターン発生器2で発生した試験パターンをパターン修正器4で修正して複数のスキャンパスに入力することにより、ATPGが発生するディターミニスティックなテストパターンを、LSI1Cに短時間で適用することが可能となる。具体的には、内部のスキャンパスの数をk倍にすれば、ほぼ試験時間を1/kに短縮することが可能となる。それと同時に、テスタに格納するパターンのデータ量を削減できる。具体的には、内部のスキャンパスの数をk倍にすればほぼメモリ量を1/kに削減することが可能となる。
【0089】
また、第3実施形態では、BISTで用いられる擬似乱数パターン発生器(LFSR等)2を利用しているが、ディターミニスティックなパターンが内部に適用されるので、バス回路の特別な制御回路や診断率向上のためのテストポイントの回路挿入などの、厳しい設計制約を設計者に課すことはない。また、BISTで用いられるパターン圧縮器(MISR等)が利用可能であり、不定マスク器5を用いることで、回路内部の不定状態が出力検証器(MISR)7に伝播し検証不能になることを防ぐこともできる。
【0090】
従って、第3実施形態においても、擬似乱数パターン発生器2で発生した試験パターンをパターン修正器4で修正して複数のスキャンパスに入力することにより、スキャンパス数を増やしスキャンパス段数(各スキャンパスにおけるF/Fの数)を少なくして、LSI1Cの試験時間を大幅に短縮することができる。また、設計者に厳しい設計規約を課すことなく、且つ、高価なテスタを必要とすることなく、高品質なテストを行なえる。さらに、LSI1C内部のF/Fで形成した複数のスキャンパスからの出力中の不定値(X値)を不定マスク器5でマスクし、マスクされた出力結果を出力検証器7で検証することにより、F/Fからの出力結果を圧縮して外部に読み出しても、不定値が圧縮結果を台無しにしてしまうことがなくなる。
【0091】
〔4〕その他
なお、本発明は上述した実施形態に限定されるものではなく、本発明の趣旨を逸脱しない範囲で種々変形して実施することができる。
例えば、上述した実施形態では、各LSI1A,1B,1Cにおいて、8本のスキャンパスが並列的に形成され、各スキャンパスが、4個のF/Fを直列接続して形成された場合について説明したが、本発明は、これに限定されるものではない。
【0092】
〔5〕付記
(付記1) 集積回路中に組み込まれて試験パターンを発生するパターン発生器と、
該集積回路内部の順序回路素子により並列的に形成され、該パターン発生器により発生された試験パターンをそれぞれシフトインされる複数のシフトレジスタと、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、ハミング符号の検査ビットを用いて圧縮して該集積回路の外部へ出力する出力圧縮器とをそなえて構成されたことを特徴とする、集積回路の診断装置。
【0093】
(付記2) 予め得られた出力期待値と該出力圧縮器からの出力とを比較して故障診断を行なう診断手段をさらにそなえて構成されたことを特徴とする、付記1記載の集積回路の診断装置。
(付記3) 該診断手段が、前記比較の結果、故障箇所が1箇所だけであると診断した場合、当該故障の存在するシフトレジスタを特定することを特徴とする、付記2記載の集積回路の診断装置。
【0094】
(付記4) 該診断手段が、前記比較の結果、故障箇所が2または3箇所であると診断した場合、当該故障の存在を指摘することを特徴とする、付記2または付記3に記載の集積回路の診断装置。
(付記5) 該パターン発生器によって発生された試験パターンを外部入力により修正してから該複数のシフトレジスタに入力するパターン修正器をさらにそなえて構成されたことを特徴とする、付記1〜付記4のいずれか一つに記載の集積回路の診断装置。
【0095】
(付記6) 該複数のシフトレジスタからの出力中の不定値をマスクする不定マスク器と、
該不定マスク器によってマスクされた出力結果を検証する出力検証器とをさらにそなえて構成され、
該不定マスク器の出力が、該出力圧縮器に入力されることを特徴とする、付記1〜付記5のいずれか一つに記載の集積回路の診断装置。
【0096】
(付記7) 集積回路中に組み込まれて試験パターンを発生するパターン発生器と、
該集積回路内部の順序回路素子により並列的に形成され、該パターン発生器により発生された試験パターンをそれぞれシフトインされる複数のシフトレジスタと、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を圧縮して該集積回路の外部へ出力する、少なくとも一つのEOR(排他的論理和)木回路と、
該EOR木回路に入力される該複数の出力のうちの一つを有効にしうる制御回路とをそなえて構成されたことを特徴とする、集積回路の診断装置。
【0097】
(付記8) 予め得られた出力期待値と該EOR木回路からの出力とを比較して故障診断を行なう診断手段をさらにそなえて構成されたことを特徴とする、付記7記載の集積回路の診断装置。
(付記9) 該制御回路が、該複数の出力を一つずつ有効化し、
該EOR木回路が、該制御回路によって有効化された出力を圧縮して該集積回路の外部へ順次出力し、
該診断手段が、該EOR木回路からの出力に基づいて、該複数のシフトレジスタにおける前記故障診断を一つずつ行ない、故障の存在するシフトレジスタを特定することを特徴とする、付記8記載の集積回路の診断装置。
【0098】
(付記10) 該制御回路が、故障の発生したパターン番号を絞り込むべく、該パターン発生器によって発生された所定範囲のパターン番号のパターンに対応する、該シフトレジスタからの出力を有効化することを特徴とする、付記7〜付記9のいずれか一つに記載の集積回路の診断装置。
(付記11) 該パターン発生器によって発生された試験パターンを外部入力により修正してから該複数のシフトレジスタに入力するパターン修正器をさらにそなえて構成されたことを特徴とする、付記7〜付記10のいずれか一つに記載の集積回路の診断装置。
【0099】
(付記12) 該複数のシフトレジスタからの出力中の不定値をマスクする不定マスク器と、
該不定マスク器によってマスクされた出力結果を検証する出力検証器とをさらにそなえて構成され、
該該出力検証器が、該EOR木回路としての機能を果たすことを特徴とする、付記7〜付記11のいずれか一つに記載の集積回路の診断装置。
【0100】
(付記13) 集積回路中に組み込まれたパターン発生器で試験パターンを発生し、
該パターン発生器により発生された試験パターンを、該集積回路内部の順序回路素子により並列的に形成された複数のシフトレジスタに、それぞれシフトインし、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、ハミング符号の検査ビットを用いて圧縮して該集積回路の外部へ出力し、
予め得られた出力期待値と該集積回路からの出力とを比較して故障診断を行なうことを特徴とする、集積回路の診断方法。
【0101】
(付記14) 前記比較の結果、故障箇所が1箇所だけであると診断した場合、当該故障の存在するシフトレジスタを特定することを特徴とする、付記13記載の集積回路の診断方法。
(付記15) 前記比較の結果、故障箇所が2または3箇所であると診断した場合、当該故障の存在を指摘することを特徴とする、付記13または付記14に記載の集積回路の診断方法。
【0102】
(付記16) 集積回路中に組み込まれたパターン発生器で試験パターンを発生し、
該パターン発生器により発生された試験パターンを、該集積回路内部の順序回路素子により並列的に形成された複数のシフトレジスタに、それぞれシフトインし、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、一つずつ有効化し、
有効化された出力を、EOR(排他的論理和)木回路により圧縮して該集積回路の外部へ順次出力し、
予め得られた出力期待値と該EOR木回路からの出力とを比較して故障診断を行なうことを特徴とする、集積回路の診断方法。
【0103】
(付記17) 該EOR木回路からの出力に基づいて、該複数のシフトレジスタにおける前記故障診断を一つずつ行ない、故障の存在するシフトレジスタを特定することを特徴とする、付記16記載の集積回路の診断方法。
(付記18) 該パターン発生器によって発生された所定範囲のパターン番号のパターンに対応する、該シフトレジスタからの出力を有効化して、故障の発生したパターン番号を絞り込むことを特徴とする、付記16または付記17に記載の集積回路の診断方法。
【0104】
(付記19) 順序回路素子を含んで構成される集積回路であって、
試験パターンを発生するパターン発生器と、
該順序回路素子により並列的に形成され、該パターン発生器により発生された試験パターンをそれぞれシフトインされる複数のシフトレジスタと、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、ハミング符号の検査ビットを用いて圧縮して該集積回路の外部へ出力する出力圧縮器とが組み込まれたことを特徴とする、集積回路。
【0105】
(付記20) 該パターン発生器によって発生された試験パターンを外部入力により修正してから該複数のシフトレジスタに入力するパターン修正器がさらに組み込まれたことを特徴とする、付記19記載の集積回路。
(付記21) 該複数のシフトレジスタからの出力中の不定値をマスクする不定マスク器と、
該不定マスク器によってマスクされた出力結果を検証する出力検証器とがさらに組み込まれ、
該不定マスク器の出力が、該出力圧縮器に入力されることを特徴とする、付記19または付記20に記載の集積回路。
【0106】
(付記22) 順序回路素子を含んで構成される集積回路であって、
試験パターンを発生するパターン発生器と、
該順序回路素子により並列的に形成され、該パターン発生器により発生された試験パターンをそれぞれシフトインされる複数のシフトレジスタと、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を圧縮して該集積回路の外部へ出力する、少なくとも一つのEOR(排他的論理和)木回路と、
該EOR木回路に入力される該複数の出力のうちの一つを有効にしうる制御回路とが組み込まれたことを特徴とする、集積回路。
【0107】
(付記23) 該制御回路が、故障の発生したパターン番号を絞り込むべく、該パターン発生器によって発生された所定範囲のパターン番号のパターンに対応する、該シフトレジスタからの出力を有効化することを特徴とする、付記22記載の集積回路。
(付記24) 該パターン発生器によって発生された試験パターンを外部入力により修正してから該複数のシフトレジスタに入力するパターン修正器がさらに組み込まれたことを特徴とする、付記22または付記23に記載の集積回路。
【0108】
(付記25) 該複数のシフトレジスタからの出力中の不定値をマスクする不定マスク器と、
該不定マスク器によってマスクされた出力結果を検証する出力検証器とがさらに組み込まれ、
該該出力検証器が、該EOR木回路としての機能を果たすことを特徴とする、付記22〜付記24のいずれか一つに記載の集積回路。
【0109】
【発明の効果】
以上詳述したように、本発明の集積回路の診断装置および診断方法によれば、複数のシフトレジスタからそれぞれシフトアウトされた複数の出力が、シグネチャとして圧縮されて集積回路の外部へ出力されるとともに、ハミング符号の検査ビットに圧縮されて外部へ出力されるので、多数のスキャンパスの情報を少ない外部出力ピンで観測するしくみを提供することができ、BIST等の試験を適用したLSIの診断を少ない回路オーバーヘッドで実現できる。また、故障診断に際しては、テストパターン毎にテスタで期待値比較を行なう必要があるが、多数のスキャンパスの情報を圧縮して符号化しているので、DSPTよりも高速な診断が可能になる。そして、スキャンパスからの出力が圧縮格納される場合や、スキャンパス数が多い場合であっても、集積回路の製造不良(故障)を検出するだけでなく、その発生位置を特定することができる(請求項1,3)。
【0110】
また、複数のシフトレジスタからそれぞれシフトアウトされた複数の出力が一つずつ有効化され、有効化された出力が、EOR(排他的論理和)木回路により圧縮されて外部へ順次出力されるので、BIST等の試験を適用したLSIの故障診断に際して、故障が存在する全てのスキャンパスを正しく特定することができる。従って、新しい製造プロセスの立ち上げ時で同時に多数の故障が存在する場合であっても正しく確実な故障診断を行なうことが可能である(請求項2,4)。
【0111】
このとき、パターン発生器によって発生された所定範囲のパターン番号のパターンに対応する、シフトレジスタからの出力を有効化して、故障診断を行なうことにより、故障の発生したパターン番号を絞り込み、スキャンパス上の故障箇所を特定することも可能になる。
【0112】
さらに、パターン発生器で発生した試験パターンをパターン修正器で修正して複数のシフトレジスタ(スキャンパス)に入力することにより、スキャンパス数を増やしスキャンパス段数を少なくして、集積回路の試験時間を大幅に短縮することができる。また、DSPTおよびBISTの問題点が解決され、両者の利点を生かした高品質なテストを短時間で可能とするテストパターンを生成することができる。その際、意味あるデータ部分(値を設定する必要のあるF/Fの情報)のみをテスタ(外部入力)から供給して修正するので、テスタに格納されるデータの量を大幅に削減することもできる。従って、設計者に厳しい設計規約を課すことなく、且つ、高価なテスタを必要とすることなく、高品質なテストを行なえる。
【0113】
また、集積回路内部の順序回路素子で形成した複数のシフトレジスタからの出力中の不定値をマスクし、マスクされた出力結果を出力検証器で検証することにより、順序回路素子からの出力結果を圧縮して外部に読み出しても、不定状態が圧縮結果を台無しにしてしまうことがなくなる。
【図面の簡単な説明】
【図1】本発明の第1実施形態としての集積回路の診断装置の構成を示すブロック図である。
【図2】第1実施形態における、ハミング符号の検査ビットを用いた圧縮手法(ハミング符号による誤り訂正例)を説明するための図である。
【図3】本発明の第2実施形態としての集積回路の診断装置の構成を示すブロック図である。
【図4】本発明の第3実施形態としての集積回路の診断装置の構成を示すブロック図である。
【図5】第3実施形態の動作を説明するためのタイムチャートである。
【図6】従来のスキャン設計(DSPT)を説明するための図である。
【図7】従来のBIST回路を説明するための図である。
【図8】パターン修正器および不定マスク器をそなえた集積回路の試験回路の構成を示すブロック図である。
【符号の説明】
1A,1B,1C LSI(集積回路)
2 擬似乱数パターン発生器(パターン発生器,LFSR)
4 パターン修正器
5 不定マスク器
7 出力検証器(MISR)
10 出力圧縮器
11〜21 排他的論理和回路(EOR回路)
30 出力選択器
31,32 EOR(排他的論理和)木回路
33〜38 排他的論理和回路(EOR回路)
40 制御回路
41 デコーダ
42〜49 論理和回路(OR回路)
51 デコーダ
52,53,54 論理和回路(OR回路)
55 論理積回路(AND回路)
56 マルチプレクサ(制御回路)
57 フリップフロップ(初期化設定F/F,制御回路)
58 スタートカウンタ(制御回路)
59 エンドカウンタ(制御回路)
60 否定論理積回路(NAND回路,制御回路)
61 論理和回路(OR回路,制御回路)
62 フリップフロップ(F/F)
F/F フリップフロップ(順序回路素子)
[0001]
BACKGROUND OF THE INVENTION
  The present invention relates to an apparatus and a diagnostic method for detecting a manufacturing failure (fault) of an integrated circuit such as an LSI (Large Scale Integration) and performing a fault diagnosis capable of specifying the occurrence position.To the lawRelated.
[0002]
[Prior art]
Detection of a manufacturing failure of an integrated circuit such as an LSI is performed by applying an appropriate signal value to an LSI input pin using a tester (ATE; Automatic Test Equipment) and comparing the signal value appearing at the output pin with an expected result. It is done by doing. The signal value applied to the input pin and the expected value that should appear at the output pin are collectively called a test pattern (test pattern).
[0003]
Defects that occur in the LSI due to LSI manufacturing defects are called failures, and many test patterns are required to verify all possible failures within the LSI. The ratio of the number of faults that can be verified by a certain test pattern to the total number of faults assumed inside the LSI is called a diagnostic rate (or detection rate), and is used as a measure for measuring the quality of the test pattern. Yes. When an LSI includes sequential circuit elements [flip-flop (F / F), latch, and RAM (Random Access Memory)], the complexity of test pattern creation increases dramatically.
[0004]
Therefore, in LSI, scan design is generally performed. In a scan-designed LSI, a shift register (referred to as a scan path) is formed using sequential circuit elements (mainly F / F) inside the LSI, and a desired value is stored in the shift register during testing. Are shifted in, and the value of the shift register is read out after the clock is applied.
[0005]
In such a circuit, a deterministic stored pattern test (hereinafter referred to as DSPT (Deterministic Stored Pattern Test)) is widely adopted. The DSPT is performed by storing a test pattern created by an automatic test pattern generator (hereinafter referred to as ATPG (Automatic Test Pattern Generator)) in a tester (ATE).
[0006]
FIG. 6 is a diagram for explaining the conventional scan design. In FIG. 6, the concept of the scan design is shown as a block diagram. As shown in FIG. 6, in an LSI for which scan design has been performed, a plurality of scan paths (shift registers), which are paths for testing the LSI, are formed. Each scan path is formed using a plurality of F / Fs which are storage elements. Then, the test pattern is shifted in from one end side (left side in FIG. 6) of each scan path, and the test result is output from the other end side (right side in FIG. 6). In FIG. 6, four scan paths are illustrated, and each scan path is formed by connecting eight F / Fs in series.
[0007]
However, since the number of sequential circuit elements included therein has increased greatly with the increase in the degree of integration of LSIs in recent years, all the sequential circuit elements that constitute a scan path by DSPT as described above are used. If setting and reading are repeatedly executed for each test pattern, not only the test time increases, but also the tester memory capacity increases due to an increase in test data amount. Therefore, execution of tests by DSPT has become difficult. In particular, a tight tester memory capacity due to an increase in the amount of test data significantly increases test costs such as memory expansion and tester upgrades.
[0008]
In order to solve such a problem, a built-in self test (hereinafter referred to as BIST (Built-In Self Test)) has been performed. In BIST, as shown in FIG. 7, the pattern generated by the pseudo-random pattern generator 2 is applied to the internal circuit (scan path) of the LSI, and the output result from the internal circuit is verified and stored by the output verifier 7. Is done. As the pseudorandom pattern generator 2 and the output verifier 7, a linear feedback shift register (hereinafter referred to as LFSR) is often used. In particular, the output verifier 7 compresses and stores the output result as a signature. This is called a multi-input signature register (hereinafter referred to as MISR). FIG. 7 is a diagram for explaining a conventional BIST circuit. Further, in FIG. 7, eight scan paths are illustrated between the pseudorandom pattern generator 2 and the output verifier 7, and each scan path is formed by connecting four F / Fs in series. .
[0009]
In the BIST circuit, since a pseudo random number pattern generator is mounted inside the LSI, an extremely large number of test patterns can be generated in a short time, and it is not necessary to store input test patterns in an external tester. In addition, since the test results are compressed and stored by MISR, the amount of data loaded to the tester can be greatly reduced. Furthermore, in the BIST circuit, the number of scan paths can be increased to speed up the shift-in / shift-out operations to the scan paths, thereby shortening the test time.
[0010]
[Problems to be solved by the invention]
By adopting BIST as described above, the problems of DSPT can be improved, but there are some problems.
In other words, since BIST uses a pseudo-random pattern, there is a problem in test quality (diagnosis rate, failure detection rate). In order to increase the diagnosis rate, it is necessary to apply DSPT as an additional test, or to insert test points that increase controllability and observability in the circuits inside the LSI.
[0011]
In BIST, since output data is compressed and stored in MISR, if an indefinite value (X value) is captured even once, all registers in MISR become indeterminate and are held in registers. The value that was being used will be destroyed, making it impossible to test.
[0012]
In general, the sequential circuit elements including the RAM in the LSI are in an indefinite state when the power is turned on. Therefore, a pattern for initializing these sequential circuit elements is applied in advance, or a circuit is provided so that the indefinite state does not propagate to the MISR. It was necessary to devise. In addition to this, a strict design constraint is imposed on the designer in order to apply BIST to an actual circuit, such as preventing a bus conflict or a floating state from occurring due to a random number pattern at the time of bus design. In addition to this, the insertion of the BIST additional circuit and the test point causes problems of circuit area overhead and performance degradation.
[0013]
Therefore, the inventors of the present application have solved the problems of DSPT and BIST described above in Japanese Patent Application No. 2000-372231, and have achieved a reduction in test time and a reduction in the amount of test data, as well as a high quality test (diagnosis rate). This is a technology that enables high- FIG. 8 (block diagram) shows the configuration of a test circuit to which the technology is applied.
[0014]
The test circuit shown in FIG. 8 is based on a BIST circuit similar to that shown in FIG. 7 on the LSI, and further includes a pattern corrector 4 and an indeterminate mask device 5 added to the BIST circuit. Then, the pattern generated by the pseudo random pattern generator (LFSR) 2 is corrected to a pattern equivalent to ATPG by the pattern corrector 4 and then shifted into the scan path. After the test clock is applied, the output from the scan path is compressed and stored in the MISR in the output verifier 7 via the indeterminate mask unit 5, but the indefinite value (X value) in the output is indefinite. Mask processing is performed by the mask device 5. In FIG. 8, eight scan paths are illustrated between the pattern corrector 4 and the indeterminate mask unit 5, and each scan path is formed by connecting four F / Fs in series.
[0015]
When a test created by shifting a pattern created by ATPG into a scan path, the number of F / Fs explicitly set to a value (1 or 0) based on the pattern is the total number of F / Fs Is very small (several percent). Therefore, in the test circuit shown in FIG. 8, only the value to be explicitly set to the F / F as described above is given to the pattern corrector 4 from the external tester using the control signal, and this pattern corrector 4 Thus, the pseudo random number pattern from the pseudo random number pattern generator 2 can be changed to a high quality pattern equivalent to ATPG. In addition, by blocking ingestion of indefinite values into the MISR with the indeterminate mask unit 5, the design can be performed so that one of the BIST design constraints can be satisfied easily and reliably, greatly reducing the burden on the designer. Is done.
[0016]
By the way, in the LSI test method in which the output result is compressed and stored in the MISR as in the BIST circuit shown in FIG. 7 or the test circuit shown in FIG. 8, the main purpose is to determine whether the LSI to be tested is good or bad. However, in an actual LSI manufacturing site, it is sometimes necessary to investigate the cause of the failure of an LSI that has been determined to be defective in order to solve problems in the LSI manufacturing process and improve yield. In that case, it is necessary to specify where the defect exists in the LSI.
[0017]
In general, failure diagnosis refers to specifying a failure location based on a test pattern given by a tester and information on a portion where the observed value and the expected value by the tester do not match. In DSPT, since the output can be observed for each test pattern, failure diagnosis can be performed relatively easily. Faults that can be detected by each DSPT test pattern and the detection location (F / F) can be found by performing a fault simulation, so that it is possible to narrow down candidate faults based on the mismatch information of the tester. .
[0018]
On the other hand, in the BIST circuit shown in FIG. 7 and the test circuit shown in FIG. 8, the output result is compressed and stored in the MISR of the output verifier 7, and the MISR value is read out after the test is completed. Become. That is, since the output result is compressed, the failure location cannot be specified even if the presence or absence of the failure can be determined. Furthermore, in tests such as BIST, the speed of the test is increased by increasing the number of scan paths. Observing the output of all scan paths with external pins is not possible due to the limitation of the number of LSI pins. It is possible, that is, failure diagnosis is impossible.
[0019]
  The present invention has been devised in view of such problems, and detects manufacturing defects (failures) in integrated circuits even when the output from the scan path is compressed and stored or when the number of scan paths is large. Integrated circuit diagnostic device and diagnostic method that enable identification of the location of the occurrenceThe lawThe purpose is to provide.
[0020]
[Means for Solving the Problems]
  In order to achieve the above object, an integrated circuit diagnostic apparatus according to the present invention (Claim 1) includes a pattern generator incorporated in an integrated circuit to generate a test pattern and a sequential circuit element in the integrated circuit in parallel. And a plurality of shift registers, each of which is shifted in the test pattern generated by the pattern generator, and a plurality of outputs each shifted out from the plurality of shift registers are compressed as a signature and integrated. An output verifier that outputs to the outside of the circuit; and an output compressor that compresses a plurality of outputs shifted out from the plurality of shift registers into Hamming code check bits and outputs the compressed bits to the outside of the integrated circuit;A diagnostic means for performing failure diagnosis by comparing the output expected value obtained in advance with the output from the output compressor, and the diagnostic means performs an exclusive OR operation between the output expected value and the check bit. Is calculated and the syndrome is acquired, and when there is one failure location, the shift register in which the failure exists is specified based on the syndrome and the error vector corresponding to the syndrome obtained in advance.It is characterized by that.
[0022]
  In addition, the integrated circuit diagnosis method of the present invention (claims)3) Generates a test pattern with a pattern generator incorporated in the integrated circuit, and the test pattern generated by the pattern generator is shifted in parallel by sequential circuit elements inside the integrated circuit. A plurality of outputs respectively shifted into and out of the plurality of shift registers are compressed as signatures and output to the outside of the integrated circuit, and shifted out from the plurality of shift registers, respectively. Multiple outputs are compressed into Hamming code check bits and output to the outside of the integrated circuit, the expected output value obtained in advance and the integrated circuitA syndrome is obtained by calculating an exclusive OR with the output check bit, and based on the syndromePerform fault diagnosisAt the same time, as a result of the failure diagnosis, if there is one failure location, the shift register in which the failure exists is specified based on the syndrome and the error vector corresponding to the syndrome obtained in advance.It is characterized byThe
[0023]
  On the other hand, the integrated circuit diagnostic device of the present invention (claims)2) Includes at least one EOR having a pattern generator and a plurality of shift registers similar to those described above, and compressing and outputting a plurality of outputs shifted out from the plurality of shift registers to the outside of the integrated circuit. A (exclusive OR) tree circuit and a control circuit capable of enabling one of the plurality of outputs input to the EOR tree circuit;A diagnostic means for performing failure diagnosis by comparing an expected output value obtained in advance with an output from the EOR tree circuit, and the control circuit validates the plurality of outputs one by one, and the EOR tree A circuit compresses the output validated by the control circuit and sequentially outputs the compressed output to the outside of the integrated circuit, and the diagnostic means determines the fault in the plurality of shift registers based on the output from the EOR tree circuit. Diagnose one by one and identify the shift register where the fault existsIt is characterized by that.
[0025]
  In addition, the integrated circuit diagnosis method of the present invention (claims)4) Generates a test pattern with a pattern generator incorporated in the integrated circuit, and the test pattern generated by the pattern generator is shifted in parallel by sequential circuit elements inside the integrated circuit. Each of the plurality of outputs shifted in and out of the plurality of shift registers is validated one by one, and the validated output is compressed by an EOR (exclusive OR) tree circuit. Output sequentially to the outside of the integrated circuit, compare the expected output value obtained in advance with the output from the EOR tree circuit, and perform fault diagnosisStep by step to identify the shift register where the fault existsIt is characterized by doingThe
[0026]
DETAILED DESCRIPTION OF THE INVENTION
Embodiments of the present invention will be described below with reference to the drawings.
[1] Description of the first embodiment
In order to perform fault diagnosis, as with DSPT, match / mismatch information for each test pattern (information on whether the scan path output corresponding to each test pattern matches the expected value) is required. In BIST, since the test path does not compare the scan path output with the expected value for each test pattern, it is necessary to read out the F / F value for each test pattern. However, as described above, in BIST, the parallelism of internal scan paths is increased in order to perform high-speed tests, and external output pins for all scan paths are prepared by limiting the number of input / output pins of the LSI. It is impossible. Therefore, a mechanism for compressing information of a large number of scan paths and observing with a small number of external output pins is required. The first embodiment of the present invention provides such a mechanism.
[0027]
FIG. 1 is a block diagram showing the configuration of an integrated circuit diagnostic apparatus according to a first embodiment of the present invention. As shown in FIG. 1, the diagnostic apparatus according to the first embodiment includes a pseudo-random pattern generator ( A pattern generator (LFSR) 2, a plurality of scan paths, an output verifier (MISR) 7 and an output compressor 10 are provided. This diagnostic apparatus is incorporated in an LSI 1A that is an integrated circuit to be tested.
[0028]
The LSI 1A includes a plurality of F / Fs (sequential circuit elements). In the LSI 1A, a plurality of scan paths (shift registers) are formed in parallel by these F / Fs. . In the example shown in FIG. 1, eight scan paths are formed in parallel, and each scan path is formed by connecting four F / Fs in series.
[0029]
Also in the diagnosis apparatus of the first embodiment, the patterns generated by the pseudorandom pattern generator 2 are shifted into the scan paths, and the output results from the scan paths are compressed and stored by the output verifier 7. It is like that. The output verifier 7 is composed of a plurality of exclusive OR (EOR) circuits and a plurality of registers, and the output results from each scan path are compressed (encoded) and stored as signatures, and a predetermined test pattern is stored. The output result for is finally output as an 8-bit encoded value.
[0030]
As shown in FIG. 1, the output compressor 10 includes exclusive OR circuits (EOR circuits) 11 to 21, and a plurality of outputs (8-bit data in FIG. 1) shifted out from a plurality of scan paths, respectively. ) Are compressed using the Hamming code check bits (4 bits in FIG. 1) and output to the outside of the LSI 1A. That is, the output compressor 10 corresponds to a check bit of the Hamming code, and is configured by EOR circuits 11 to 21 that realize the check bit of the Hamming code.
[0031]
The Hamming code is a kind of error correction code, and can correct a 1-bit error. In the Hamming code, error correction is performed by adding check bits to actual information bits. When the information bits are 4 bits (x1, x2, x3, x4), 3 bits (y1, y2, y3) are required as check bits, and an example of error correction in this case is shown in FIG. FIG. 2 is a diagram for explaining a compression method (an example of error correction using a Hamming code) using check bits of a Hamming code in the first embodiment.
[0032]
In the example shown in FIG. 2, as described above, the information bits are 4 bits (x1, x2, x3, x4), the check bits are 3 bits (y1, y2, y3), and the check bits (y1, y2, y3) Is calculated from the information bits (x1, x2, x3, x4) based on the formula shown in FIG. In this case, as shown in FIG. 2, the syndrome is 3 bits (z1, z2, z3), and an error vector corresponding to the syndrome is given in advance.
[0033]
At this time, if the original data is (0,1,0,0), (1,0,1) should be obtained as the check bit. That is, when (0,1,0,0) is expected as a scan path output by a certain test pattern, (1,0,1) should be output as a check bit output from the output compressor 10. Therefore, (1,0,1) is obtained in advance as the expected output value.
[0034]
Thus, when (0,1,0,0) is expected as the scan path output, an error is mixed due to a failure, for example, x3 becomes “1”, and (0,1,1,0, 0) ) Is obtained. In this case, (0,1,1) is obtained as the check bit (output of the output compressor 10), and this check bit (0,1,1) is exclusive of the expected output value (1,0,1). Syndrome (1,1,0) is obtained by calculating the logical OR (EOR).
[0035]
The error vector (x1, x2, x3, x4, y1, y2, y3) corresponding to the obtained syndrome (1,1,0) is (0,0,1,0,0) as shown in FIG. , 0,0), and in Hamming code, by calculating the exclusive logic of (x1, x2, x3, x4) in this error vector and the scan path output (0,1,1,0), The original data can be restored.
However, in the first embodiment, it is not necessary to restore the original data, and when a syndrome is calculated, a bit with an error, that is, a scan path in which a fault location exists is specified.
[0036]
In the example shown in FIG. 1, the information bits are 8 bits (x1, x2,..., X8). In this case, the check bits are 4 bits (y1, y2, y3, y4). The above-described method is extended and applied. That is, the 8-bit scan path output (x1, x2,..., X8) is generated by the output compressor 10 (EOR circuits 11 to 21) by the 4-bit Hamming code check bit data (y1, y2, y3,. y4) and output to the outside of the LSI 1A.
[0037]
In the first embodiment, a tester (diagnostic means) (not shown) is provided, and the output (check bit data (y1, y2, y3, y4)) from the output compressor 10 is input to this tester. It has become. In the tester, inspection bit data to be obtained when there is no failure (error) is stored in advance as an expected output value, and the tester outputs the expected output value and the output from the output compressor 10 (inspection bit data). Compare and perform fault diagnosis.
[0038]
Specifically, as described above, an exclusive OR of the output expected value and the check bit data from the output compressor 10 is calculated to obtain a syndrome, and a failure location is determined based on the syndrome. If there is no failure, the expected output value matches the check bit data from the output compressor 10, and each bit of the syndrome becomes “0”. When a failure exists in one of the plurality of scan paths, the output expected value and the check bit data from the output compressor 10 are inconsistent, and based on the error vector corresponding to the obtained syndrome, 8 It is possible to specify an error of one bit in the scan path output (x1, x2,..., X8) for bits. That is, it is possible to specify one scan path (failure location) where a failure exists.
[0039]
If a failure occurs simultaneously in two or three scan paths, the output result of the output compressor 10 does not match the expected value of the tester, as in the case where a failure occurs in one scan path. Therefore, it is not always true that a failure exists in the scan path obtained by the above method, and the failure location (scan path where the failure exists) cannot be specified. In this case, it is only possible to point out the presence of a failure. Furthermore, when failures occur at four or more locations at the same time, the output result of the output compressor 10 may coincide with the expected value of the tester despite the presence of the failure, and the presence or absence of the failure cannot be pointed out correctly. Sometimes.
[0040]
As described above, according to the first embodiment of the present invention, the plurality of outputs shifted out from the plurality of scan paths by the output compressor 10 are compressed and encoded into the check bits of the Hamming code, and then the LSI 1A Since the data is output to the outside, it is possible to observe information on a large number of scan paths with a small number of external output pins (four in the example of FIG. 1). Accordingly, diagnosis of the LSI 1A to which a test such as BIST is applied can be realized with a small circuit overhead.
[0041]
In failure diagnosis, it is necessary to compare expected values for each test pattern with a tester. However, since information on a large number of scan paths is compressed and encoded, diagnosis faster than DSPT is possible. Even when the output from the scan path is compressed and stored or when the number of scan paths is large, not only the manufacturing failure (failure) of the LSI 1A is detected, but if the failure location is one location, The generation position (scan path) can be specified. Furthermore, in the first embodiment, when a failure occurs in two or three scan paths, only the presence of the failure is pointed out. However, for the LSI 1A in the mass production stage, the presence of the failure is indicated. It is considered that a very effective effect can be obtained even if only the indication is made.
[0042]
As indicated by a two-dot chain line in FIG. 1, a plurality of test patterns generated by the pseudo random number pattern generator 2 are corrected in the LSI 1A by external input, as in the test apparatus described above with reference to FIG. Pattern corrector 4 that is input to the scan path (shift register) and an indeterminate mask that is output to output verifier 7 and output compressor 10 after masking indeterminate values (X values) being output from a plurality of scan paths. A vessel 5 may be further incorporated.
[0043]
At this time, the test pattern generated by the pseudo random number pattern generator 2 is input to the pattern corrector 4. A control signal from a tester (not shown) is input to the pattern corrector 4 through a control input pin or the like, and this pattern corrector 4 is for an F / F that needs to set a value according to the control signal. Only the value is corrected, and the value is input / set to the head F / F of each scan path.
[0044]
The indeterminate mask device 5 masks the indefinite value (X value) of the final F / F values of each scan path according to a control signal input from a control input pin or the like, thereby bringing the indeterminate state into a specified state. After the conversion, the final F / F value of each scan path is input to the output verifier 7 and the output compressor 10.
As described above, the test pattern generated by the pseudo random number pattern generator 2 is corrected by the pattern corrector 4 and input to a plurality of scan paths, thereby increasing the number of scan paths and the number of scan path stages (F / F in each scan path). The test time of the LSI 1A can be greatly shortened.
[0045]
In addition, the problems of DSPT and BIST are solved, and a test pattern that enables a high-quality test that takes advantage of both of them in a short time can be generated. At that time, only the meaningful data part (F / F information whose value needs to be set) is supplied and corrected from the tester (external input), so the amount of data stored in the tester is greatly reduced. You can also. Therefore, a high quality test can be performed without imposing strict design rules on the designer and without requiring an expensive tester.
[0046]
Further, the indeterminate value (X value) in the output from the plurality of scan paths formed by the F / F in the LSI 1A is masked by the indeterminate mask unit 5, and the masked output result is verified by the output verifier 7. Even if the output result from the F / F is compressed and read to the outside, the indefinite value does not ruin the compression result.
[0047]
[2] Description of the second embodiment
In the first embodiment described above, when a plurality of failures occur simultaneously, the failure location cannot be specified. This is likely to occur at the start of a new manufacturing process and there is a high need for fault diagnosis. Therefore, in the second embodiment and the third embodiment, all the scan paths in which a failure exists can be correctly specified according to the necessity.
[0048]
FIG. 3 is a block diagram showing the configuration of the integrated circuit diagnostic apparatus according to the second embodiment of the present invention. As shown in FIG. 3, the diagnostic apparatus according to the second embodiment is similar to the first embodiment. Are provided with a pseudo random number pattern generator 2, a plurality of scan paths and an output verifier 7, and an output selector 30 instead of the output compressor 10. This diagnostic apparatus is incorporated in the LSI 1B which is an integrated circuit to be tested.
[0049]
Similar to the LSI 1A of the first embodiment, the LSI 1B includes a plurality of F / Fs (sequential circuit elements). In the LSI 1B, a plurality of scan paths (shift registers) are generated by these F / Fs. ) Are formed in parallel. In the example shown in FIG. 3 as well, eight scan paths are formed in parallel, and each scan path is formed by connecting four F / Fs in series.
[0050]
Also in the diagnostic apparatus of the second embodiment, the patterns generated by the pseudorandom pattern generator 2 are shifted into the scan paths, and the output results from the scan paths are compressed and stored by the output verifier 7. It is like that. This output verifier 7 is composed of a plurality of EOR circuits and a plurality of registers, compresses (encodes) and stores the output results from each scan path as signatures, and outputs the output results for a predetermined test pattern as final Thus, it is output as an 8-bit encoded value.
[0051]
  The output selector 30 is3As shown in FIG. 4, the EOR (exclusive OR) tree circuits 31 and 32 and the control circuit 40 are included.
  Here, the EOR tree circuit 31 includes three exclusive OR circuits (EOR circuits) 33, 34, and 35, and compresses the outputs shifted out from the upper four scan paths shown in FIG. Similarly, the EOR tree circuit 32 is constituted by three exclusive OR circuits (EOR circuits) 36, 37, and 38, and the lower four scan paths shown in FIG. The output shifted out from each of the signals is compressed and output to the outside of the LSI 1B.
[0052]
The control circuit 40 includes a decoder 41 and eight OR circuits (OR circuits) 42 to 49, and outputs a plurality (four in this case) of outputs to the EOR tree circuits 31 and 32. It is to activate one of them.
[0053]
Each of the OR circuits 42 and 43 inputs the logical sum of the outputs of the first and second scan paths from the top shown in FIG. 3 and the selection signal from the decoder 41 to the two input terminals of the EOR circuit 33. is there. Similarly, each of the OR circuits 44 and 45 inputs the logical sum of the outputs of the third and fourth scan paths from the top shown in FIG. 3 and the selection signal from the decoder 41 to the two input terminals of the EOR circuit 34. Each of the OR circuits 46 and 47 outputs the logical sum of the outputs of the fifth and sixth scan paths from the top shown in FIG. 3 and the selection signal from the decoder 41 to two input terminals of the EOR circuit 36, respectively. OR circuits 48 and 49 respectively output the logical sum of the outputs of the seventh and eighth scan paths from the top and the selection signal from the decoder 41 shown in FIG. Input to the input terminal.
[0054]
The decoder 41 gives selection signals corresponding to the control signal from the outside of the LSI 1B to the OR circuits 42 to 49 so that only the output of the scan path to be validated is inputted to the EOR tree circuits 31 and 32.
[0055]
In the second embodiment, only one of the outputs of the upper four scan paths input to the EOR tree circuit 31 is validated, and at the same time, the output of the lower four scan paths input to the EOR tree circuit 32 is output. In order to validate only one, the decoder 41 outputs only one of the selection signals input to the upper four OR circuits 42 to 45 from “1” to “0” in accordance with a control signal from the outside of the LSI 1B. In addition, only one of the selection signals input to the lower four OR circuits 46 to 49 is changed from “1” to “0”. The OR circuits 42 to 49 to which the selection signal “0” is input from the decoder 41 allow passage of the scan path output input to the OR circuits 42 to 49, and pass the scan path output to the EOR tree circuit 31 or 32. Will be input. The outputs of the OR circuits 42 to 49 to which the selection signal “1” is input are always “1”, and the scan path output cannot pass through the OR circuits 42 to 49.
[0056]
Therefore, in the second embodiment, in one test, two outputs are selected and validated from the outputs of the eight scan paths, and are externally connected to the LSI 1B from the two external output pins through the EOR tree circuits 31 and 32, respectively. Will be output. That is, if the test is repeated four times, the test for all eight scan paths can be completed.
[0057]
Also in the second embodiment, a tester (diagnosis means) (not shown) is provided, and the output from the output selector 30 is input to this tester. The tester stores in advance an expected output value that should be obtained when there is no failure (error), and the tester compares the expected output value with the output from the output selector 30 to perform failure diagnosis.
[0058]
With the above configuration, in the second embodiment, when diagnosing the LSI 1B to which a test such as BIST is applied, the control circuit 40 is input to the EOR tree circuits 31 and 32 regardless of the presence or absence of simultaneous failure. Each of the EOR tree circuits 31 and 32 compresses the output validated by the control circuit 40 and sequentially outputs it to the outside of the LSI 1B. The tester outputs the expected output value. Are compared with the outputs from the EOR tree circuits 31 and 32, and a fault diagnosis is performed. An output scan path that does not match the expected output value is identified as a scan path in which a fault exists.
[0059]
By repeating such processing a plurality of times (four times in the LSI 1B of the second embodiment shown in FIG. 3), diagnosis is performed for all scan paths, and all fault locations (scan paths where a fault has occurred) are specified. It becomes possible to do. That is, in the first test, the selection signal of the decoder 41 is set so as to enable only the first scan path connected to each EOR tree circuit 31, 32, and the test for the first scan path is performed. Done. Then, a scan path in which a fault exists is specified based on the mismatch information in the tester of each test pattern.
[0060]
At this time, the group to which the scan path belongs (the group of the EOR tree circuit 31 or the group of the EOR tree circuit 32) is specified based on the mismatch information from the two external output pins provided corresponding to the EOR tree circuits 31 and 32. Further, since it can be recognized from the selection signal from the decoder 41 that the first scan path of each group is validated, the scan path where the fault exists can be specified.
[0061]
By repeating the same test n times (n = 4 in the second embodiment) until the nth scan path connected to each EOR tree circuit 31, 32, all the scan paths in which a fault exists are specified. It becomes possible to do.
It should be noted that the expected output value of n tests needs to be recalculated according to the setting of the diagnostic mask device.
[0062]
As described above, according to the second embodiment of the present invention, the control circuit 40 validates the outputs input to the EOR tree circuits 31 and 32 from the plurality of scan paths one by one, and the validated output. Are compressed by the EOR tree circuits 31 and 32 and sequentially output to the outside of the LSI 1B. Therefore, it is possible to correctly specify all the scan paths in which a failure exists in the failure diagnosis of the LSI to which a test such as BIST is applied. it can. Therefore, even when a large number of failures exist at the same time when a new manufacturing process is started, it is possible to correctly and reliably perform failure diagnosis.
[0063]
[3] Description of the third embodiment
FIG. 4 is a block diagram showing the configuration of an integrated circuit diagnostic apparatus according to a third embodiment of the present invention. As shown in FIG. 4, the diagnostic apparatus according to the third embodiment includes the first embodiment and the first embodiment. A pseudo-random pattern generator (LFSR) 2, a plurality of scan paths (shift registers) and an output verifier (MISR) 7 similar to those of the second embodiment are provided, and a pseudo-random pattern generator 2 and a plurality of scan paths A pattern corrector 4 similar to that of the first embodiment is provided therebetween, and an indeterminate mask device 5 similar to that of the first embodiment is provided between the plurality of scan paths and the output verifier 7. This diagnostic apparatus is incorporated in the LSI 1C which is an integrated circuit to be tested.
[0064]
Similar to the LSI 1A of the first embodiment, the LSI 1C includes a plurality of F / Fs (sequential circuit elements). In the LSI 1C, a plurality of scan paths (shift registers) are generated by these F / Fs. ) Are formed in parallel. Also in the example shown in FIG. 4, eight scan paths are formed in parallel, and each scan path is formed by connecting four F / Fs in series.
[0065]
In the diagnostic apparatus according to the third embodiment, the test pattern generated by the pseudorandom pattern generator 2 is input to the pattern corrector 4. A control signal from a tester (not shown) is input to the pattern corrector 4 through a control input pin or the like, and this pattern corrector 4 is for an F / F that needs to set a value according to the control signal. Only the value is corrected, and the value is input / set to the head F / F of each scan path.
[0066]
The test pattern corrected in this way is shifted into the scan path, and the output result from each scan path is input to the indeterminate mask unit 5, and is input from the control input pin or the like in the indeterminate mask unit 5. According to the control signal, the indefinite state (X value) of the final F / F values of each scan path is masked to convert the indefinite state into a specified state, and then the final F / F of each scan path is changed. The value is input to the output verifier 7 and compressed and stored in the output verifier 7. As described above, the output verifier 7 includes a plurality of EOR circuits and a plurality of registers, and compresses (encodes) the output result from each scan path and stores it as a signature. The output result is finally output as an 8-bit encoded value.
[0067]
The indeterminate mask unit 5 includes a decoder 51, OR circuits (OR circuits) 52, 53, and 54, and an AND circuit (AND circuit) in order to mask indefinite values (X values) in outputs from a plurality of scan paths. 55 and a flip-flop (F / F) 62 are provided. In addition to receiving control signals (Control Signals) through the eight control input pins (b1 to b8), the indeterminate mask device 5 and the final F / of the eight scan paths # 0, # 1,. The output from F is input.
[0068]
The indeterminate mask unit 5 also controls the shift clock to the output verifier 7 (and the pseudorandom pattern generator 2) and the shift clock to the F / F on the scan path. When masking the indefinite state, the F / F on the scan path and the shift clock for the output verifier 7 (and the pseudo random number pattern generator 2) are suppressed, and the F provided after the final F / F on the scan path is suppressed. Only the shift clock for / F62 is applied.
[0069]
This F / F 62 holds the inverted state independently of the final F / F on the scan path. By adopting such a configuration, the overhead of the circuit slightly increases, but it becomes easy to completely separate the output verifier 7 and the scan paths # 0 to # 7 into a module. Thus, a reordering process for optimally changing the order of the scan F / F is possible in the layout for performing physical placement and routing.
[0070]
Further, the indeterminate mask device 5 has a decoder 51 that enables mask operation with the most significant bit (b1) of the control input and receives the lower 7 bits (b2 to b8) of the control input. Depending on the result of decoding by the decoder circuit 51, an indefinite value (X value) input from a specific one of the eight scan paths is converted into a “1” state value (or “0” state by the OR circuit 52). Value) and the indeterminate value is masked.
[0071]
That is, when “1” is input to the control input pin b1, the output of the OR circuit 53 becomes “1”, and therefore to the F / F on the scan path and the output verifier 7 (and the pseudo random number pattern generator 2). The shift clock (negative clock; Scan Clock) is suppressed, and the AND circuit 55 enters the through state by “1” of the control input pin b1. As a result, the logical sum of the output of the F / F 62 and the selection signal from the decoder 51 (the output of the OR circuit 54) is input to the OR circuit 52, and at the same time passes through the AND circuit 55 and is input to the F / F 62. Is done.
[0072]
Therefore, “1” is output from the decoder 51, and an indefinite value (X value) input to a specific one of the eight scan paths is converted into a “1” state value by the OR circuit 52. Indeterminate values can be masked. At the same time, if the F / F of another scan path also has an indefinite value, the output (undefined value) from that F / F is masked by the next shift clock. The pattern generation part and the output verification part are basically independent, but when both circuits are applied together, the lower 7 bits of the control input can be shared.
[0073]
In the third embodiment, the output verifier 7 performs the same function as the EOR tree circuits 31 and 32 described in the second embodiment, and the scan paths # 0 to # 7 (OR circuit 52) Each of the plurality of outputs shifted out is compressed and output to the outside of the LSI 1C.
[0074]
In addition, a function as a control circuit that can validate one of the outputs from the scan paths # 0 to # 7 input to the output verifier 7 and generation of a pseudo random number pattern in order to narrow down a pattern number in which a failure has occurred. In order to realize a function as a control circuit that validates the outputs from the scan paths # 0 to # 7 corresponding to the pattern numbers in a predetermined range generated by the device 2, the indefinite mask device 5 includes A flip-flop (initialization setting F / F) 57, an OR circuit (OR circuit) 61 and a multiplexer 56 are provided for each scan path, and a start counter 58, an end counter 59 and a NAND circuit 60 are provided. In the third embodiment, the same function as the output selector 30 described in the second embodiment is realized from the control circuit configured by these elements 57 to 61 and the output verifier 7 functioning as an EOR tree circuit. Has been.
[0075]
The initialization setting F / F 57 forms a scan path together with the F / F 57 for other scan paths, and is set to “0” or “1” by scan-in at the start of the test. At this time, “0” is set in the F / F 57 for the scan path to be validated, and “1” is set in the F / F 57 for the other scan paths.
[0076]
The start counter 58 is used together with the end counter 59 and the NAND circuit 60 to validate an output corresponding to a pattern number in a predetermined range. The first pattern number in the predetermined range is set, and the scan clock is set at the start of the test. And the output signal is switched from “0” to “1” when the counted value reaches the first pattern number as shown in FIG.
[0077]
The end counter 59 is used together with the start counter 58 and the NAND circuit 60 to validate an output corresponding to a predetermined range of pattern numbers. The “last pattern number + 1” in the predetermined range is set and the test is started. At the same time, the scan clock is counted, and as shown in FIG. 5, when the count value becomes “final pattern number + 1”, the output signal is switched from “1” to “0”.
[0078]
The NAND circuit 60 outputs a negative logical product of the output signal from the start counter 58 and the output signal from the end counter 59. As shown in FIG. 5, the output corresponding to the pattern number within a predetermined range is the scan path. Is output from the scan path, the output from the scan path is validated.
[0079]
Therefore, for example, when the outputs corresponding to all the test patterns are validated, zero is set in the start counter 58 and “maximum value of pattern number + 1” is set in the end counter 59. For example, when only the output corresponding to one test pattern is validated, the test pattern number is set in the start counter 58 and “the test pattern number + 1” is set in the end counter 59.
[0080]
  The OR circuit 61 includes an output signal from the initialization setting F / F 57 and a NAND circuit.60Outputs a logical sum with the output signal from, the initialization setting F / F 57 is set to “0”, and the NAND circuit60Only when the output signal from “0” is “0” (that is, when the pattern number is within a predetermined range), the logic is fed to the F / F 62 through the multiplexer 56.sumThe result “0” is output to validate the scan path. The multiplexer 56 selectively switches one of the output signal from the AND circuit 55 and the output signal from the OR circuit 61 according to the initialization signal Init, and outputs it to the F / F 62.
[0081]
Also in the third embodiment, a tester (diagnostic means) (not shown) is provided, and the output from the output verifier 7 is input to this tester. The tester stores in advance the expected output value that should be obtained when there is no failure (error), and the tester compares the expected output value with the output from the output verifier 7 to perform failure diagnosis.
[0082]
With the above-described configuration, in the third embodiment, when diagnosing the LSI 1C to which a test such as BIST is applied, scan-in scanning with respect to the initialization setting F / F 57 is performed regardless of the presence or absence of simultaneous failure. By setting “0” in the initialization setting F / F 57 corresponding to the power scan path, the output of the scan path is validated one by one, and the output verifier 7 compresses the validated output and the LSI 1C The output is sequentially output to the outside, and the tester compares the expected output value with the output from the output verifier 7 to perform failure diagnosis. An output scan path that does not match the expected output value is determined as a scan path where a fault exists. Identify.
[0083]
By repeating such processing a plurality of times (eight times in the LSI 1C of the third embodiment shown in FIG. 4), diagnosis is performed for all scan paths, and all fault positions (scan paths where a fault has occurred) are specified. It becomes possible to do.
That is, in the first test, “0” is set in the initial value setting F / F 57 corresponding to the scan path so as to validate only the first scan path connected to the output verifier 7. The scan path is tested.
[0084]
At this time, first, the values of the start counter 58 and the end counter 59 are set so as to validate the outputs corresponding to all the test patterns. As a result of the test using all the test patterns, when the tester finds that a failure exists in the scan path, the values of the start counter 58 and the end counter 59 are appropriately changed, and the output should be validated. Select the number range and test. By repeating such processing, the pattern numbers (pattern numbers where the failure has occurred) of the test pattern related to the occurrence of the failure are narrowed down, and the failure location on the scan path can be identified.
[0085]
By repeating the same test n times (n = 8 in the third embodiment) until the n-th scan path connected to the output verifier 7, all the scan paths in which a fault exists can be specified. In addition, the failure location on the scan path can be specified.
[0086]
As described above, according to the third embodiment of the present invention, as in the second embodiment, the outputs inputted to the output verifier 7 from the plurality of scan paths are validated one by one, and the validated outputs are obtained. Since the data is compressed by the output verifier 7 and sequentially output to the outside of the LSI 1C, it is possible to correctly specify all the scan paths in which a failure exists in the failure diagnosis of the LSI to which a test such as BIST is applied. Therefore, even when a large number of failures exist at the same time when a new manufacturing process is started, it is possible to correctly and reliably perform failure diagnosis.
[0087]
In the third embodiment, the scan path corresponding to the pattern number pattern within a predetermined range generated by the pseudo random number pattern generator 2 using the start counter 58, the end counter 59, the NAND circuit 60, and the OR circuit 61 is used. The output from can be validated to perform fault diagnosis. As a result, it is possible to narrow down the pattern numbers where the failure has occurred and specify the failure location on the scan path.
[0088]
On the other hand, in the third embodiment, a deterministic test pattern in which ATPG is generated by correcting the test pattern generated by the pseudorandom pattern generator 2 by the pattern corrector 4 and inputting it to a plurality of scan paths, It can be applied to the LSI 1C in a short time. Specifically, if the number of internal scan paths is increased k times, the test time can be reduced to approximately 1 / k. At the same time, the amount of pattern data stored in the tester can be reduced. Specifically, if the number of internal scan paths is increased by k times, the amount of memory can be reduced to approximately 1 / k.
[0089]
In the third embodiment, the pseudo random pattern generator (LFSR or the like) 2 used in the BIST is used. However, since a deterministic pattern is applied to the inside, a special control circuit for the bus circuit, It does not impose strict design constraints on the designer, such as inserting test point circuits to improve the diagnostic rate. In addition, a pattern compressor (MISR or the like) used in BIST can be used. By using the indeterminate mask unit 5, an indefinite state in the circuit propagates to the output verifier (MISR) 7 and cannot be verified. It can also be prevented.
[0090]
Therefore, also in the third embodiment, the test pattern generated by the pseudorandom pattern generator 2 is corrected by the pattern corrector 4 and input to a plurality of scan paths, thereby increasing the number of scan paths and the number of scan path stages (each scan path). The number of F / Fs on the campus can be reduced, and the test time of the LSI 1C can be greatly shortened. In addition, high-quality tests can be performed without imposing strict design rules on the designer and without requiring an expensive tester. Further, the indeterminate value (X value) in the output from the plurality of scan paths formed by the F / F in the LSI 1C is masked by the indeterminate mask unit 5, and the masked output result is verified by the output verifier 7. Even if the output result from the F / F is compressed and read to the outside, the indefinite value does not ruin the compression result.
[0091]
[4] Other
The present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the spirit of the present invention.
For example, in the embodiment described above, a case is described in which eight scan paths are formed in parallel in each of the LSIs 1A, 1B, and 1C, and each scan path is formed by connecting four F / Fs in series. However, the present invention is not limited to this.
[0092]
[5] Appendix
(Appendix 1) A pattern generator that is incorporated into an integrated circuit to generate a test pattern;
A plurality of shift registers formed in parallel by sequential circuit elements within the integrated circuit, each of which shifts in a test pattern generated by the pattern generator;
An output compressor that compresses a plurality of outputs shifted out from the plurality of shift registers using a check bit of a Hamming code and outputs the compressed output to the outside of the integrated circuit is provided. , Integrated circuit diagnostic equipment.
[0093]
(Supplementary note 2) The integrated circuit according to Supplementary note 1, further comprising diagnostic means for comparing the expected output value obtained in advance with the output from the output compressor to perform fault diagnosis. Diagnostic device.
(Supplementary note 3) When the diagnosis means diagnoses that there is only one failure location as a result of the comparison, the shift means in which the failure exists is specified. Diagnostic device.
[0094]
(Supplementary note 4) The accumulation according to Supplementary note 2 or Supplementary note 3, wherein, when the diagnosis means diagnoses that there are two or three failure locations as a result of the comparison, the presence of the failure is pointed out. Circuit diagnostic device.
(Supplementary note 5) The supplementary note 1 to the supplementary note, further comprising a pattern corrector that corrects the test pattern generated by the pattern generator by external input and then inputs it to the plurality of shift registers. 5. The integrated circuit diagnostic apparatus according to any one of 4 above.
[0095]
(Supplementary Note 6) An indeterminate mask device that masks indeterminate values in the output from the plurality of shift registers;
An output verifier for verifying the output result masked by the indeterminate mask device,
6. The integrated circuit diagnostic apparatus according to any one of appendices 1 to 5, wherein an output of the indefinite mask device is input to the output compressor.
[0096]
(Appendix 7) A pattern generator that is incorporated in an integrated circuit to generate a test pattern;
A plurality of shift registers formed in parallel by sequential circuit elements within the integrated circuit, each of which shifts in a test pattern generated by the pattern generator;
At least one EOR (exclusive OR) tree circuit for compressing and outputting a plurality of outputs shifted out from the plurality of shift registers to the outside of the integrated circuit;
An integrated circuit diagnostic apparatus comprising: a control circuit capable of enabling one of the plurality of outputs input to the EOR tree circuit.
[0097]
(Supplementary note 8) The integrated circuit according to supplementary note 7, further comprising diagnostic means for comparing the expected output value obtained in advance with the output from the EOR tree circuit to perform fault diagnosis. Diagnostic device.
(Supplementary Note 9) The control circuit enables the plurality of outputs one by one,
The EOR tree circuit compresses the output validated by the control circuit and sequentially outputs it to the outside of the integrated circuit;
9. The appendix according to claim 8, wherein the diagnostic means performs the fault diagnosis in the plurality of shift registers one by one based on an output from the EOR tree circuit, and identifies a shift register in which a fault exists. Integrated circuit diagnostic device.
[0098]
(Supplementary Note 10) The control circuit enables the output from the shift register corresponding to the pattern of the pattern number within a predetermined range generated by the pattern generator to narrow down the pattern number where the failure has occurred. The integrated circuit diagnostic device according to any one of appendix 7 to appendix 9, which is characterized in that it is characterized in that:
(Supplementary note 11) Supplementary notes 7 to Supplementary notes, further comprising a pattern correction unit for correcting the test pattern generated by the pattern generator by external input and then inputting it to the plurality of shift registers. The integrated circuit diagnostic apparatus according to any one of 10.
[0099]
(Supplementary Note 12) An indeterminate mask device that masks indeterminate values in the output from the plurality of shift registers;
An output verifier for verifying the output result masked by the indeterminate mask device,
12. The integrated circuit diagnostic device according to any one of appendix 7 to appendix 11, wherein the output verifier functions as the EOR tree circuit.
[0100]
(Supplementary note 13) A test pattern is generated by a pattern generator incorporated in an integrated circuit.
The test pattern generated by the pattern generator is shifted in each of a plurality of shift registers formed in parallel by sequential circuit elements inside the integrated circuit,
A plurality of outputs each shifted out from the plurality of shift registers are compressed using a check bit of a Hamming code and output to the outside of the integrated circuit,
A diagnostic method for an integrated circuit, wherein failure diagnosis is performed by comparing an expected output value obtained in advance with an output from the integrated circuit.
[0101]
(Supplementary note 14) The integrated circuit diagnosis method according to supplementary note 13, wherein, as a result of the comparison, when it is diagnosed that there is only one failure location, the shift register in which the failure exists is specified.
(Supplementary note 15) The integrated circuit diagnosis method according to supplementary note 13 or supplementary note 14, wherein the presence of the failure is pointed out when it is diagnosed that there are two or three failure locations as a result of the comparison.
[0102]
(Supplementary Note 16) A test pattern is generated by a pattern generator incorporated in an integrated circuit.
The test pattern generated by the pattern generator is shifted in each of a plurality of shift registers formed in parallel by sequential circuit elements inside the integrated circuit,
Enabling a plurality of outputs each shifted out from the plurality of shift registers, one by one;
The validated output is compressed by an EOR (exclusive OR) tree circuit and sequentially output to the outside of the integrated circuit,
A method for diagnosing an integrated circuit, wherein failure diagnosis is performed by comparing an output expected value obtained in advance with an output from the EOR tree circuit.
[0103]
(Supplementary note 17) The integrated circuit according to supplementary note 16, characterized in that, based on an output from the EOR tree circuit, the fault diagnosis in the plurality of shift registers is performed one by one to identify a shift register in which a fault exists. Circuit diagnostic method.
(Supplementary note 18) The output from the shift register corresponding to a pattern having a pattern number in a predetermined range generated by the pattern generator is validated to narrow down the pattern number in which a failure has occurred. Or the integrated circuit diagnostic method according to appendix 17.
[0104]
(Supplementary note 19) An integrated circuit including a sequential circuit element,
A pattern generator for generating test patterns;
A plurality of shift registers formed in parallel by the sequential circuit elements, each of which shifts in a test pattern generated by the pattern generator;
An integrated output compressor that incorporates an output compressor that compresses a plurality of outputs shifted out of the plurality of shift registers using a check bit of a Hamming code and outputs the compressed output to the outside of the integrated circuit. circuit.
[0105]
(Supplementary note 20) The integrated circuit according to supplementary note 19, further comprising a pattern corrector that corrects a test pattern generated by the pattern generator by external input and then inputs the test pattern to the plurality of shift registers. .
(Supplementary note 21) An indeterminate mask device for masking indeterminate values in the output from the plurality of shift registers;
An output verifier for verifying the output result masked by the indeterminate mask device;
The integrated circuit according to appendix 19 or appendix 20, wherein the output of the indefinite mask device is input to the output compressor.
[0106]
(Supplementary note 22) An integrated circuit including a sequential circuit element,
A pattern generator for generating test patterns;
A plurality of shift registers formed in parallel by the sequential circuit elements, each of which shifts in a test pattern generated by the pattern generator;
At least one EOR (exclusive OR) tree circuit for compressing and outputting a plurality of outputs shifted out from the plurality of shift registers to the outside of the integrated circuit;
An integrated circuit comprising a control circuit capable of enabling one of the plurality of outputs input to the EOR tree circuit.
[0107]
(Supplementary note 23) The control circuit enables the output from the shift register corresponding to the pattern of the pattern number within a predetermined range generated by the pattern generator to narrow down the pattern number where the failure has occurred. The integrated circuit according to appendix 22, wherein the integrated circuit is characterized.
(Supplementary note 24) The supplementary note 22 or the supplementary note 23 is characterized in that a pattern correction unit that further corrects a test pattern generated by the pattern generator by external input and then inputs the test pattern to the plurality of shift registers is further incorporated. An integrated circuit as described.
[0108]
(Supplementary Note 25) An indeterminate mask device that masks indeterminate values in the output from the plurality of shift registers;
An output verifier for verifying the output result masked by the indeterminate mask device;
25. The integrated circuit according to any one of appendices 22 to 24, wherein the output verifier functions as the EOR tree circuit.
[0109]
【The invention's effect】
  As described above in detail, the integrated circuit diagnosis device and diagnosis method of the present inventionTo the lawAccording to the present invention, a plurality of outputs shifted out from a plurality of shift registers are compressed as signatures and output to the outside of the integrated circuit, and are compressed into hamming code check bits and output to the outside. It is possible to provide a mechanism for observing the scan path information with a small number of external output pins, and to realize LSI diagnosis using a test such as BIST with little circuit overhead. In failure diagnosis, it is necessary to compare expected values for each test pattern with a tester. However, since information on a large number of scan paths is compressed and encoded, diagnosis faster than DSPT is possible. Even when the output from the scan path is compressed and stored or when the number of scan paths is large, it is possible not only to detect a manufacturing failure (failure) of the integrated circuit but also to identify the occurrence position. (Claim 1, 3).
[0110]
  In addition, a plurality of outputs shifted out from a plurality of shift registers are validated one by one, and the validated outputs are compressed by an EOR (exclusive OR) tree circuit and sequentially output to the outside. In the failure diagnosis of an LSI to which a test such as BIST is applied, it is possible to correctly specify all scan paths in which a failure exists. Therefore, even when a large number of failures exist at the same time when a new manufacturing process is started, it is possible to perform a correct and reliable failure diagnosis (claims).2, 4).
[0111]
At this time, by enabling the output from the shift register corresponding to the pattern of the pattern number in the predetermined range generated by the pattern generator and performing fault diagnosis, the pattern number where the fault occurred is narrowed down and It is also possible to specify the fault location.
[0112]
In addition, the test pattern generated by the pattern generator is corrected by the pattern corrector and input to multiple shift registers (scan paths), thereby increasing the number of scan paths and reducing the number of scan path stages, thereby reducing the test time of the integrated circuit. Can be greatly shortened. In addition, the problems of DSPT and BIST are solved, and a test pattern that enables a high-quality test that takes advantage of both of them in a short time can be generated. At that time, only the meaningful data part (F / F information whose value needs to be set) is supplied and corrected from the tester (external input), so the amount of data stored in the tester is greatly reduced. You can also. Therefore, a high quality test can be performed without imposing strict design rules on the designer and without requiring an expensive tester.
[0113]
In addition, by masking indeterminate values in the output from a plurality of shift registers formed by sequential circuit elements inside the integrated circuit and verifying the masked output result by an output verifier, the output result from the sequential circuit element is obtained. Even if compressed and read out, the indefinite state will not ruin the compression result.
[Brief description of the drawings]
FIG. 1 is a block diagram showing the configuration of an integrated circuit diagnostic apparatus according to a first embodiment of the present invention.
FIG. 2 is a diagram for explaining a compression method (an example of error correction using a Hamming code) using check bits of a Hamming code in the first embodiment.
FIG. 3 is a block diagram showing a configuration of an integrated circuit diagnostic apparatus according to a second embodiment of the present invention.
FIG. 4 is a block diagram showing the configuration of an integrated circuit diagnostic apparatus according to a third embodiment of the present invention.
FIG. 5 is a time chart for explaining the operation of the third embodiment.
FIG. 6 is a diagram for explaining a conventional scan design (DSPT).
FIG. 7 is a diagram for explaining a conventional BIST circuit;
FIG. 8 is a block diagram showing a configuration of an integrated circuit test circuit including a pattern corrector and an indeterminate mask device;
[Explanation of symbols]
1A, 1B, 1C LSI (integrated circuit)
2 Pseudo random number pattern generator (pattern generator, LFSR)
4 Pattern corrector
5 Undefined mask device
7 Output verifier (MISR)
10 Output compressor
11-21 Exclusive OR circuit (EOR circuit)
30 Output selector
31, 32 EOR (exclusive OR) tree circuit
33-38 Exclusive OR circuit (EOR circuit)
40 Control circuit
41 Decoder
42 to 49 OR circuit (OR circuit)
51 decoder
52, 53, 54 OR circuit (OR circuit)
55 AND circuit (AND circuit)
56 Multiplexer (control circuit)
57 Flip-flop (initialization setting F / F, control circuit)
58 Start counter (control circuit)
59 End counter (control circuit)
60 NAND circuit (NAND circuit, control circuit)
61 OR circuit (OR circuit, control circuit)
62 Flip-flop (F / F)
F / F flip-flop (sequential circuit element)

Claims (4)

集積回路中に組み込まれて試験パターンを発生するパターン発生器と、
該集積回路内部の順序回路素子により並列的に形成され、該パターン発生器により発生された試験パターンをそれぞれシフトインされる複数のシフトレジスタと、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、シグネチャとして圧縮して該集積回路の外部へ出力する出力検証器と、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、ハミング符号の検査ビットに圧縮して該集積回路の外部へ出力する出力圧縮器と
予め得られた出力期待値と該出力圧縮器からの出力とを比較して故障診断を行なう診断手段とをそなえ、
該診断手段が、該出力期待値と該検査ビットとの排他的論理和を算出してシンドロームを取得し、故障箇所が1箇所の場合には、該シンドロームと予め得られた当該シンドロームに対応するエラーベクトルとに基づいて、当該故障の存在するシフトレジスタを特定することを特徴とする、集積回路の診断装置。
A pattern generator incorporated in an integrated circuit to generate a test pattern;
A plurality of shift registers formed in parallel by sequential circuit elements within the integrated circuit, each of which shifts in a test pattern generated by the pattern generator;
An output verifier that compresses a plurality of outputs shifted out from the plurality of shift registers as a signature and outputs the compressed output to the outside of the integrated circuit;
An output compressor that compresses a plurality of outputs shifted out from the plurality of shift registers into Hamming code check bits and outputs the compressed bits to the outside of the integrated circuit ;
A diagnostic means for performing a fault diagnosis by comparing an output expected value obtained in advance with an output from the output compressor;
The diagnostic means obtains a syndrome by calculating an exclusive OR of the output expected value and the check bit, and corresponds to the syndrome and the syndrome obtained in advance when there is one failure location. An integrated circuit diagnostic apparatus , wherein a shift register in which the fault exists is specified based on an error vector .
集積回路中に組み込まれて試験パターンを発生するパターン発生器と、
該集積回路内部の順序回路素子により並列的に形成され、該パターン発生器により発生された試験パターンをそれぞれシフトインされる複数のシフトレジスタと、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を圧縮して該集積回路の外部へ出力する、少なくとも一つのEOR(排他的論理和)木回路と、
該EOR木回路に入力される該複数の出力のうちの一つを有効にしうる制御回路と
予め得られた出力期待値と該EOR木回路からの出力とを比較して故障診断を行なう診断手段とをそなえ、
該制御回路が、該複数の出力を一つずつ有効化し、
該EOR木回路が、該制御回路によって有効化された出力を圧縮して該集積回路の外部へ順次出力し、
該診断手段が、該EOR木回路からの出力に基づいて、該複数のシフトレジスタにおける前記故障診断を一つずつ行ない、故障の存在するシフトレジスタを特定することを特徴とする、集積回路の診断装置。
A pattern generator incorporated in an integrated circuit to generate a test pattern;
A plurality of shift registers formed in parallel by sequential circuit elements within the integrated circuit, each of which shifts in a test pattern generated by the pattern generator;
At least one EOR (exclusive OR) tree circuit for compressing and outputting a plurality of outputs shifted out from the plurality of shift registers to the outside of the integrated circuit;
A control circuit capable of enabling one of the plurality of outputs input to the EOR tree circuit ;
A diagnostic means for performing failure diagnosis by comparing an output expected value obtained in advance with an output from the EOR tree circuit;
The control circuit enables the plurality of outputs one by one;
The EOR tree circuit compresses the output validated by the control circuit and sequentially outputs it to the outside of the integrated circuit;
The diagnostic means diagnoses the integrated circuit by performing the fault diagnosis in the plurality of shift registers one by one based on the output from the EOR tree circuit and identifying the shift register in which the fault exists. apparatus.
集積回路中に組み込まれたパターン発生器で試験パターンを発生し、
該パターン発生器により発生された試験パターンを、該集積回路内部の順序回路素子により並列的に形成された複数のシフトレジスタに、それぞれシフトインし、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、シグネチャとして圧縮して該集積回路の外部へ出力するとともに、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、ハミング符号の検査ビットに圧縮して該集積回路の外部へ出力し、
予め得られた出力期待値と該集積回路から出力された該検査ビットとの排他的論理和を算出してシンドロームを取得し、該シンドロームに基づいて故障診断を行なうとともに、
該故障診断の結果、故障箇所が1箇所の場合には、該シンドロームと予め得られた当該シンドロームに対応するエラーベクトルとに基づいて、当該故障の存在するシフトレジスタを特定することを特徴とする、集積回路の診断方法。
Generate a test pattern with a pattern generator built into the integrated circuit,
The test pattern generated by the pattern generator is shifted in each of a plurality of shift registers formed in parallel by sequential circuit elements inside the integrated circuit,
A plurality of outputs shifted out from the plurality of shift registers are compressed as signatures and output to the outside of the integrated circuit, and
A plurality of outputs shifted out from the plurality of shift registers are compressed into check bits of a Hamming code and output to the outside of the integrated circuit,
Calculating an exclusive OR of the expected output value obtained in advance and the check bit output from the integrated circuit to obtain a syndrome, performing failure diagnosis based on the syndrome ,
As a result of the failure diagnosis, when there is one failure location, a shift register in which the failure exists is specified based on the syndrome and an error vector corresponding to the syndrome obtained in advance. , Integrated circuit diagnostic method.
集積回路中に組み込まれたパターン発生器で試験パターンを発生し、
該パターン発生器により発生された試験パターンを、該集積回路内部の順序回路素子により並列的に形成された複数のシフトレジスタに、それぞれシフトインし、
該複数のシフトレジスタからそれぞれシフトアウトされた複数の出力を、一つずつ有効化し、
有効化された出力を、EOR(排他的論理和)木回路により圧縮して該集積回路の外部へ順次出力し、
予め得られた出力期待値と該EOR木回路からの出力とを比較して故障診断を一つずつ行ない、故障の存在するシフトレジスタを特定することを特徴とする、集積回路の診断方法。
Generate a test pattern with a pattern generator built into the integrated circuit,
The test pattern generated by the pattern generator is shifted in each of a plurality of shift registers formed in parallel by sequential circuit elements inside the integrated circuit,
Enabling a plurality of outputs each shifted out from the plurality of shift registers, one by one;
The validated output is compressed by an EOR (exclusive OR) tree circuit and sequentially output to the outside of the integrated circuit,
A diagnostic method for an integrated circuit, comprising comparing an expected output value obtained in advance with an output from the EOR tree circuit to perform fault diagnosis one by one to identify a shift register in which a fault exists .
JP2002170051A 2002-06-11 2002-06-11 Integrated circuit diagnostic device and diagnostic method Expired - Fee Related JP4031954B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002170051A JP4031954B2 (en) 2002-06-11 2002-06-11 Integrated circuit diagnostic device and diagnostic method
US10/355,014 US7337379B2 (en) 2002-06-11 2003-01-31 Apparatus and method for diagnosing integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002170051A JP4031954B2 (en) 2002-06-11 2002-06-11 Integrated circuit diagnostic device and diagnostic method

Publications (2)

Publication Number Publication Date
JP2004012420A JP2004012420A (en) 2004-01-15
JP4031954B2 true JP4031954B2 (en) 2008-01-09

Family

ID=29706853

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002170051A Expired - Fee Related JP4031954B2 (en) 2002-06-11 2002-06-11 Integrated circuit diagnostic device and diagnostic method

Country Status (2)

Country Link
US (1) US7337379B2 (en)
JP (1) JP4031954B2 (en)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050078704A (en) * 2004-01-31 2005-08-08 삼성전자주식회사 The scan based automatic test pattern generation test circuit and test method thereby and scan chain reordering method
US8280687B2 (en) 2004-03-31 2012-10-02 Mentor Graphics Corporation Direct fault diagnostics using per-pattern compactor signatures
US7239978B2 (en) * 2004-03-31 2007-07-03 Wu-Tung Cheng Compactor independent fault diagnosis
US7386777B2 (en) * 2004-04-05 2008-06-10 Verigy (Singapore) Pte. Ltd. Systems and methods for processing automatically generated test patterns
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
JP4733935B2 (en) * 2004-06-29 2011-07-27 富士通セミコンダクター株式会社 Test pattern generation device, test circuit test device, test pattern generation method, test circuit test method, test pattern generation program, test circuit test program, and recording medium
EP1701173B1 (en) * 2005-03-11 2008-08-20 Verigy (Singapore) Pte. Ltd. Error detection in compressed data
EP1868079A4 (en) * 2005-03-31 2009-09-09 Fujitsu Ltd Csa 5-3 compressing circuit and carrier-save adding circuit using the same
JP2006300650A (en) * 2005-04-19 2006-11-02 Renesas Technology Corp Integrated circuit
CN101405609B (en) 2006-02-17 2012-11-14 明导公司 Multi-stage test response compactors
JP5034576B2 (en) * 2006-05-02 2012-09-26 富士通株式会社 Semiconductor integrated circuit, test data generation device, and LSI test device
JP4717027B2 (en) * 2006-05-02 2011-07-06 富士通株式会社 Semiconductor integrated circuit, test data generation device, and LSI test device
JP4815326B2 (en) * 2006-10-31 2011-11-16 富士通株式会社 Integrated circuit timing failure improvement apparatus, integrated circuit timing failure diagnosis apparatus and method, and integrated circuit
WO2008059638A1 (en) * 2006-11-13 2008-05-22 Panasonic Corporation Semiconductor device
JP4427068B2 (en) * 2007-03-07 2010-03-03 富士通株式会社 Pseudorandom number generator, semiconductor integrated circuit, recording medium recording design data of the semiconductor integrated circuit, and pseudorandom number generator control method
JPWO2008120362A1 (en) 2007-03-29 2010-07-15 富士通株式会社 Defective part identifying device, defective part identifying method and integrated circuit
CN101345606B (en) * 2008-08-21 2011-03-09 炬力集成电路设计有限公司 Method and apparatus for confirming Hamming error correcting code check bit
JP5549622B2 (en) * 2011-02-23 2014-07-16 富士通セミコンダクター株式会社 Failure diagnosis method, failure diagnosis apparatus, test system and program
US9235460B2 (en) * 2012-02-27 2016-01-12 Altera Corporation Methods and apparatus for automatic fault detection
US9110135B2 (en) 2013-09-23 2015-08-18 International Business Machines Corporation Chip testing with exclusive OR
JP6544958B2 (en) * 2015-03-18 2019-07-17 ルネサスエレクトロニクス株式会社 Semiconductor device and design device, scan flip flop
US10247780B2 (en) * 2017-08-02 2019-04-02 Texas Instruments Incorporated Re-programmable self-test
US11082241B2 (en) * 2018-03-30 2021-08-03 Intel Corporation Physically unclonable function with feed-forward addressing and variable latency output

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63286780A (en) 1987-05-20 1988-11-24 Hitachi Ltd Fault detecting system and fault detecting device
JP2588244B2 (en) 1988-04-30 1997-03-05 富士通株式会社 Semiconductor device
US5081626A (en) * 1989-12-08 1992-01-14 Hughes Aircraft Company System for detection and location of events
JP2711492B2 (en) 1992-03-05 1998-02-10 日本電信電話株式会社 Built-in self-test circuit
EP0549949B1 (en) * 1991-12-16 1998-03-11 Nippon Telegraph And Telephone Corporation Built-in self test circuit
JP3403814B2 (en) 1994-07-04 2003-05-06 富士通株式会社 Circuit with built-in self-test function
JPH08220192A (en) 1995-02-09 1996-08-30 Hitachi Ltd Built-in type self-test logic circuit
JP3039362B2 (en) * 1996-03-28 2000-05-08 日本電気株式会社 Method for creating test pattern of semiconductor integrated logic circuit
US6557129B1 (en) * 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
JP4228061B2 (en) * 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 Integrated circuit test apparatus and test method

Also Published As

Publication number Publication date
US20030229838A1 (en) 2003-12-11
US7337379B2 (en) 2008-02-26
JP2004012420A (en) 2004-01-15

Similar Documents

Publication Publication Date Title
JP4031954B2 (en) Integrated circuit diagnostic device and diagnostic method
JP3749541B2 (en) Integrated circuit test apparatus and test method
US7404126B2 (en) Scan tests tolerant to indeterminate states when employing signature analysis to analyze test outputs
JP3795822B2 (en) Embedded self-test circuit and design verification method
JP2002236144A (en) Testing device and test method of integrated circuit
US7757138B2 (en) Semiconductor integrated circuit, test data generating device, LSI test device, and computer product
JP3645578B2 (en) Apparatus and method for embedded self-test of smart memory
JP5032395B2 (en) Test condition generation method and test condition generation apparatus
JP4174048B2 (en) Integrated circuit test apparatus and test method
JP2000163279A (en) Circuit constitution and method for testing microprocessor
JP3614993B2 (en) Test circuit
CN114667455A (en) Universal compressor architecture for test circuits
JP2008249622A (en) Failure diagnosis device and failure diagnosis technique
US11320487B1 (en) Programmable test compactor for improving defect determination
JP5549622B2 (en) Failure diagnosis method, failure diagnosis apparatus, test system and program
US11092645B2 (en) Chain testing and diagnosis using two-dimensional scan architecture
US7761761B2 (en) Semiconductor integrated circuit, test data generating device, LSI test device, and computer product
JP5158087B2 (en) Semiconductor integrated circuit device and test method for semiconductor integrated circuit device
JP2008527322A (en) Circuit arrangement and inspection and / or diagnosis method thereof
JP2006349548A (en) Built-in self-checking circuit
Kundu et al. Diagnosing multiple faulty chains with low pin convolution compressor using compressed production test set
JP2005017067A (en) Semiconductor integrated circuit incorporating self-testing circuit, and method for diagnosing fault in the semiconductor integrated circuit
WO2023107096A1 (en) X-masking for in-system deterministic test
JP2002189063A (en) Semiconductor device
CN117517928A (en) Parallel scanning test circuit

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050609

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070517

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070820

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20070831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071002

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071022

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101026

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101026

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111026

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111026

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121026

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121026

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131026

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees