JP3994099B2 - リーク電流感度(leakagecurrentsensitivity)を求め、それを用いて集積回路の設計を最適化する方法 - Google Patents

リーク電流感度(leakagecurrentsensitivity)を求め、それを用いて集積回路の設計を最適化する方法 Download PDF

Info

Publication number
JP3994099B2
JP3994099B2 JP2004237702A JP2004237702A JP3994099B2 JP 3994099 B2 JP3994099 B2 JP 3994099B2 JP 2004237702 A JP2004237702 A JP 2004237702A JP 2004237702 A JP2004237702 A JP 2004237702A JP 3994099 B2 JP3994099 B2 JP 3994099B2
Authority
JP
Japan
Prior art keywords
circuit
leakage
cell
macro
sensitivity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004237702A
Other languages
English (en)
Other versions
JP2005071360A (ja
Inventor
エムラーフ・アチャール
アニルード・デブガーン
サニ・アール・ナシフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005071360A publication Critical patent/JP2005071360A/ja
Application granted granted Critical
Publication of JP3994099B2 publication Critical patent/JP3994099B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Description

本発明は、一般に、全リーク感度を求め、そのリーク感度を用いてIC(集積回路)の設計を最適化する方法およびアルゴリズムに関する。
最小フィーチャ・サイズが継続して縮小する状況では、リーク電流が今後のCMOS(相補型金属酸化膜シリコン)設計の主要な挑戦課題になることが予想される。それぞれのリーク電流は、現世代のCMOS技術では総チップ電力の約10%を占めるが、次世代技術ではこの数字は50%に上がることが予想される。リーク電流が増大すると、モバイルおよびハンドヘルド電子機器などのバッテリ駆動デバイスに関する問題が生じるだけでなく、リーク電流が総電力のより大きな割合を占めるようになるにつれ、能動的な対策がますます不可欠になる。
リークを推定し低減するほとんどの技術では、電源電圧を下げることに伴って閾値電圧が低くなるために生じるサブスレッショルド(sub-threshold)・リークに主眼を置いている。ゲート酸化膜の厚さが薄くなると、もはやゲート・リーク電流を無視できなくなる。ゲート・リークは、サブスレッショルド・リークに匹敵する大きさになる傾向がある。総チップ・リークを正確に推定するには、ゲートおよびサブスレッショルドによるリークの両方を検討することが必要である。
総チップ・リークを推定する方法が、いくつかの刊行物で報告されている。1つの刊行物の著者は、線形回帰モデル(linear regression model)を用いて、ASIC(特定用途向け集積回路)環境でのゲート数に基づいて総チップ・リークを推定している。別の刊行物では、ダイ・プロセスの変動幅の影響を含める方法が提案されている。リーク電流は、チャネル温度、Vdd(電源電圧)および負荷などの環境ファクタに大きく依存することがわかっている。リーク電力(leakagepower)は温度にほぼ線形に依存する。例えば、温度が30℃変化すると、リークに30%の影響を及ぼすことになる。しかし、リーク電力はVddにより大きく指数的に依存し、そのため、Vddが20%変動すると、リーク電力に2倍よりも大きな影響を及ぼすことがある。
チップ設計者は、リーク電力を推定するのに実験的な方法を用いてきた。実験的な方法では、チップ全体にわたって温度およびVddの分布が均一であると仮定する。しかし、現在の複雑な工業用設計では、温度およびVddの変動はともに強い局所性を有する。すなわち、それらはチップ全体にわたって均一ではない。ある位置におけるこれらの変動の厳密な量は、トランジスタおよびデカップリング・コンデンサ(decoupling capacitor)の分布、負荷ならびに電力グリッドおよびパッケージ設計の質によって決まる。リークは、回路トポロジー(circuittopology)およびプロセス・パラメータにも依存する。総チップ・リークの推定では、実験的な方法は簡易的すぎて不正確である。
コンピュータその他のデータ処理機能を実施するのに用いられる最新のICは、通常、回路マクロ(circuit macro)を有し、その性能によりそのICの処理能力が決まる。これらのタイミングが重要な(timing-critical)回路マクロは、そのICの機能に関して全回路の20%しか占めないことがある。残りの80%の(タイミングが重要でない(timing-noncritical))回路は、タイミングまたはスピードにそれほど依存しない。しかし、リーク電力は、主にノードの論理状態、ICの電源電圧、温度およびプロセス変数によって決まる。したがって、タイミングが重要でない回路では、必要以上に大きなリーク電力の損失が生じる(dissipate)ことがある。タイミングが重要でない回路のリーク電力を少なくすることができれば、過剰な電力マージン(powermargin)を用いて、全体的なチップ電力を所望の制限内に保ちつつ、タイミングが重要である回路の性能を向上させことができる。こうするには、設計プロセス中にリーク電力を検討する必要がある。さらに、チップ設計者は、リーク電力を小さくするのに最も効果的な設計上のトレードオフを行うことができるように、リーク電力が、プロセス、フィーチャ・サイズおよび環境ファクタに応じてどのように変化するかを知る必要がある。
したがって、回路状態に無関係な、回路マクロについての平均リーク電力感度を求める方法およびIC設計プロセスにおいてリーク電力感度を用いてICを最適化する方法が求められている。
IC(集積回路)の設計プロセス中に、IC設計者は、ICの全回路を回路マクロに分割することがある。すなわち、タイミングが重要な回路とタイミングが重要でない回路を、定義可能な回路マクロに分ける。このICのリーク電力および動的電力(dynamic power)を決め、次いで、これらのリーク電力および動的電力を用いて、IC全体にわたり、回路マクロに対応する温度分布を決めることができる。その後、ICの総電力損失および温度を解析して、電力バジェット(powerbudget)の調整が有利かどうかを決めることができる。プロセス、環境および回路トポロジー・パラメータへのリークの依存性は、回路解析およびシミュレーション・ツールによってあらかじめ求められる。回路マクロが設計されると、状態確率(stateprobability)解析が行われて、回路マクロについての平均リークが決まる。この時点で、平均リークは回路状態に無関係であり、特定のマクロ・デバイス・トポロジー、プロセスおよび環境パラメータだけの関数である。様々なパラメータへのリークの依存性は周知なので、1次以降の導関数を含めてリーク感度を計算することができる。IC設計者は、回路マクロについてのリーク感度を用いてこれらの回路マクロを改変して、リーク電力を減少させるのに最も効果的であり、他の回路機能要件を満足するパラメータを選択することによってチップ設計を最適化する。選択された回路マクロについてリーク電力を減少させた後で、全体的な電力損失バジェット内に留めながら、過剰な電力バジェットを用いて他の回路マクロの性能を向上させることができる。
上記説明では、以下の本発明の詳細な説明をよりよく理解することができるように、本発明の特徴および技術的な利点をやや広く概説した。以下に、本発明の特許請求の範囲の主題を形成する本発明の追加の特徴および利点を説明する。
本発明およびその利点をより完全に理解するために、添付の図面と併せて以下の説明を参照されたい。
以下の説明では、本発明を十分に理解するために多くの特定の細部を述べる。ただし、このような特定の細部を用いずに本発明を実施できることが当業者には明らかであろう。他の例では、不必要な細部で本発明を不明瞭にしないために、ブロック図形式で周知の回路を示すことがある。ほとんどの場合、タイミング、通信プロトコルのデータ・フォーマットなどに関する細部は、このような細部が本発明を完全に理解するのに不必要であり、かつ関連技術分野の当業者の技術範囲内である限り割愛してある。
次に、図面を参照するが、図に示す要素は必ずしも原寸で示されておらず、いくつかの図を通じて同様のまたは類似の要素は、同じ参照番号によって示す。
リーク電力は、高性能集積回路の設計において、新たな、かつ極めて重要な挑戦課題として取り上げられつつある。多くの研究報告で、リークが各技術世代で飛躍的に増加しており、総システム電力の大きな割合を占めることになると予想されることが示されている。本発明の実施形態は、静的な、すなわち入力に無関係な手法を用いて、組合せ論理回路のリークを効率的かつ正確に推定する方法を提供する。確率的な技法を用い、可能なあらゆる入力パターンを考慮して、組合せ回路の平均リークを計算する。さらに、大型の組合せ回路についてのリークの入力依存性は平均化効果のためにかなり小さく、そのため静的な推定がさらに可能になる。本発明の実施形態により、ISCASベンチマーク回路などの回路ベンチマークに関して、平均誤差がたかだか2%にすぎないという正確な結果が得られる。本発明を用いて、リーク電力成分を別々に、すなわち、その回路のサブスレッショルド・リーク成分およびゲート・リーク成分を予測することができる。さらに、ここで開示する方法を用いて、環境およびプロセス変数に対する回路のリーク感度も予測することができる。予測された感度の用途として、回路のリークについての簡単で効率的かつコンパクトなモデルを構築する際に用いることができる。このリーク感度は、性能の最適化、製造歩留まりの改善および製品の品質向上を含めて、広範な用途に用いることもできる。
リークの推定に関する初期の研究は、サブスレッショルド・リークおよび回路状態(または入力パターン)へのリークの依存性に主眼を置いていた。典型的には、CMOS回路が、解析的な式を用いてリークが計算されるトランジスタ・スタックによって、マクロ的にモデル化された。簡単で解析的な式が、トランジスタ・スタックのリーク電流について得られる。統計的な手法を用いて、リークが少ないパターンを見つけ出すこともできるが、分岐限定(branch-and bound)および発見的アルゴリズムをともに用いてリーク電力範囲を見つけることもできる。また、自動テスト・パターン生成に基づく手法を用いて、リーク電力範囲およびそれに関連する入力パターンを見つけることができる。フルチップの総リーク電力の推定では、ゲートおよびトランジスタ数に基づく回帰モデルを用いることもできる。しかし、一般に、単純な回帰モデルの精度を制御するのは難しい。さらに、単純な回帰モデルでは、回路トポロジーへのリークの依存性を無視する。より正確な手法では、有効スタック近似(effectivestacking approximation)を行った後で、総リーク電力を推定する。各セルごとの有効スタック推定値は、それらの使用回数によって重みづけされる。しかし、このモデルでは回路の機能は考慮されない。さらに、トランジスタ・スタックによって各セルをマクロ的にモデル化すると、望ましくない誤差が生じることがある。
リークは、正確な入力依存性解析か、あるいは、それよりは正確さに欠けるが、全トランジスタ幅またはゲート数に基づくハイレベル・モデルによって予測することができる。入力依存性に関して検討を行うと、多くの点で、適用する解析方法の精度と効率の間には明らかなトレードオフがあることが示される。新しい集積技術の出現により、適切な設計および最適化を行うために、効率的かつ正確にリーク電力を推定することが求められている。本発明の実施形態では、強力で静的な、すなわち入力に無関係な解析技法を用いてリークを推定し、それによって、所望の精度および効率が得られる。しかし、特殊な回路トポロジーを評価する際には、依然として動的な(入力に依存する)技法を用いてリークを少なくすることがあるが、最も一般的な組合せ回路では、静的な方法を適用できる可能性が高い。本発明の実施形態では、粗い推定方法が用いられるときには得られない回路トポロジーおよびデバイスの接続性が正確に明らかになる。
本発明の実施形態では、環境およびプロセス・パラメータに対するリーク感度が推定され、設計の最適化および計画においてリーク感度が用いられる。指定したパラメータに対するリーク感度は、静的なリーク推定値とともに計算することができる。さらに、本発明の実施形態では、リーク感度情報を利用して、リークのパラメータ依存性をモデル化する。本発明の実施形態は、組合せ論理回路に適用可能である。メモリおよびクロック・システム素子(キャッシュ、レジスタおよびラッチ)を含めて、ICの他の回路機能を直接モデル化することができる。というのは、それらは、構造がより規則正しく、極めて高頻度で再使用され、かつあらかじめ特徴づけを行うのがより簡単だからである。
リーク電流は、入力刺激、デバイス特性(閾値電圧、ゲート酸化膜の厚さ、チャネル長)および動作条件(VDDおよび温度)など、多くの主要な回路変数によって決まる。主要なプロセス技術によって実施される基本論理ゲートについてのリークの入力依存性を最初に説明する。
図1に、あらゆる可能な入力論理状態パターン102に関して、(図示しない)3入力NANDゲートについての総リーク電流を示す。図1から、リーク電流101が入力に大きく依存することが明らかである。パターン103(111)では、パターン104(000)よりも10倍大きいリークが生じる。しかし、組合せ回路のサイズが大きくなると、この入力依存性は弱くなる。これは、主に、回路中でリーク状態の高低を相殺する平均化効果のためである。例として、図2に、(図示しない)ISCASベンチマーク回路c432に関して、多数のランダム入力ベクトル202に対する総リーク201を示す。回路c432は、あらかじめ指定した遅延目標を満足する典型的なゲート・ライブラリによって合成される。他の回路についての総リークの入力依存性を図3の表1にまとめる。各ベンチマーク回路301ごとに、10000個のランダム入力パターンによるリーク電流の両極端の統計値(Imax304およびImin305)および変動係数(cv.)306(標準偏差/平均値)が報告されている。表1は、総リークが、セル302を備える回路301に印加される入力(303)に応じて約15%変化すること(304および305)を示している。より大型のサイズの回路でも、類似の傾向が見られる。
リークは入力ベクトルに大きく依存することがあるが、入力ベクトルは、環境変数(電源電圧、温度)およびプロセス変動(有効チャネル長、閾値電圧、酸化膜の厚さなど)の影響ほどは重大ではない。このことを確認するために、図4に、リークへのプロセス変動の影響をまとめる。図4には、異なるプロセス条件405に応じてどのようにリーク電流401が変化するかを示す。正規化されたプロセス・パラメータを用いて、「速い」側と「遅い」側("fast" and "slow" corners)の間でプロセス条件をモデル化する。公称プロセス条件405を0.5で示す。すなわち、公称プロセス条件とは、有効チャネル長、閾値電圧、酸化膜の厚さについての公称値である。また、各プロセス点において入力ベクトルのために生じるリークの変動を、多数の入力サンプルについて得られた最大リーク403、平均リーク402および最小リーク404で示す。
図5に、(図示しない)ベンチマーク回路c432に関して、リーク電流501に対する温度変動の影響を示す。図5には、リーク電流501において、入力変動に応じた変化よりもプロセス・パラメータに応じた変化の方がはるかに大きくなることを示す。同様に、図5に、回路c432についてリークの温度依存性を示す。各温度において、異なる入力ベクトルについてのリーク電流の最大値504、平均値(中心値)503および最小値505もプロットする。プロセス変動パラメータと同様に、リークは、入力ベクトルによる変化に比べて、温度によりはるかに大きく変化する。同様の傾向が、リーク電流のVdd(電源電圧)への依存性(図示せず)に関しても見られる。
入力に対する依存性は依然として重大であり、場合によっては、例えばスタンバイ・モードでは対処する必要があるが、効果的なリーク推定法においては、プロセス・パラメータ、温度およびVddへのリークの依存性がより重大である。したがって、可能な入力条件下で、平均リーク電力を予測する静的な(入力に無関係な)方法を開発することが望ましい。入力に対する変動性を除外することによって、より容易に、かつより効率的に温度、電源およびプロセス変動の影響を明らかにすることができる。この静的な手法では、あらゆる可能な入力パターンについて平均リーク電力を正確に検討して、かなり長い動作時間にわたってリークの全体的な評価を実現する。
一般に、組合せ論理回路は、ゲート、チャネル接続領域その他の基本構造の形態で、より小さなセルに分割される。セルの境界におけるノード変数は、完全論理値(full logic values)(1または0)を保持すると仮定する。基本的に、総リーク電力損失は、各セルで生じるリーク損失の合計である。各セルごとのリーク電力があらゆる回路入力状態についてあらかじめ特徴づけられると仮定する。これは、ライブラリ生成中に、正確な回路シミュレーションによって行うことができる。入力ベクトルに対するセルiのリーク電力をL(x)と示すと、所与の入力ベクトルに対する総リーク電力は次のようになる。
Figure 0003994099
回路の現在の状態、すなわち各セルごとの入力は、その回路で実施される接続性および機能によって決まることになることに留意されたい。
スイッチング電力(switching power)の推定には確率的な手法が用いられてきたが、これらの手法では、スイッチング電力の時間的な(すなわち遅延)依存性はモデル化されない。ただし、リークは遅延には無関係であり、したがってリークには確率的な手法がより適切である。
ノード発生確率(node occurrence probability)は、以下のように定義される。ノードnは、完全論理状態値(Vddまたは接地)での特定のセルの主入力または出力と仮定する。nのノード発生確率は、ノードnが論理値1になる確度と定義することができ、P(ノードnが論理1状態を有する確率)と記述し得る。したがって、ノードnが論理0状態になる確率は、1−Pとなろう。
状態発生確率(state occurrence probability)は、以下のように定義される。状態発生確率Π(x)は、セルが、入力xによって一義的に与えられる論理状態となる確率と定義し得る。状態発生確率は、セルiの入力ノードの結合確率(jointprobability)と称することができる。セル入力がそれぞれ独立している場合、Π(x)の計算は、単に関連するノード発生確率の乗算である。2入力NANDゲート601についての一例を図6に示す。これらの入力についての入力発生確率をPおよびPと仮定すると、出力確率は式602によって示される。NANDゲート601に印加される論理状態603は、それに対応するゲート・リーク電流604およびサブスレッショルド・リーク電流605を有する。このサブスレッショルド・リークは、例えば、論理ゲートの電界効果トランジスタのドレインとソースの間で、そのゲートがそのスイッチング閾値未満のときに生じるリークである。各入力パターンごとの総リーク電流606は、対応するゲート・リーク604とサブスレッショルド・リーク605の合計である。各入力パターンごとにリークは異なるので、平均リークは、個々のパターンの状態発生確率607およびそれに対応するリーク(604および605)によって決まる。
平均リーク電力は、以下のように定義される。総リーク電力(μLtot)の真の確率的な平均値は、各状態におけるリークをすべてのセルについて重み付けして合計したものである。重みは、単に状態発生確率である。
Figure 0003994099
リーク電流は、シミュレーションおよび解析プログラム(programming)によって事前に特徴づけられ、それは、例えばセル・ライブラリに記憶される。したがって、L(x)の値の組は、各セルごとにセル・ライブラリから利用可能である。このため、上式(2)の厳密な計算には、各セルおよび状態ごとに真の状態発生確率Π(x)が必要である。ただし、組合せ回路に関するΠ(x)nの厳密な計算は難しい問題であることが示されている。
回路および入力の情報を用いて状態発生確率を予測する実用的な手法は、それを簡単かつ効率的にするために、回路内の空間依存性、例えば、ゲートがどこに配置されているかを無視することである。空間依存性は、平均リークの推定に大きく寄与しないという結果が示されている。というのは、推定値がすでに極めて正確であるからである。さらに、この手法では、入力確率が指定されているときには、それを利用することができる。
入力ベクトルx=x...xおよび出力ノードNを伴う回路セルCを考える。空間的独立性を仮定すると、出力ノードNについてのノード発生確率は、次のように定義される。
Figure 0003994099
ただし、O(x)は論理関数を示し、m(o)は、入力xについてのO(x)に関する論理関数中の小項(mini-terms)の組である。空間的独立性を仮定すると、回路セルCについての状態発生確率は、その回路セルのすべての入力のノード発生確率の乗算になる。
Figure 0003994099
さらに、状態発生確率が計算されると、それらを別々に用いて、リーク成分、すなわちゲート・リーク電流およびサブスレッショルド・リーク電流を含む計算を行うことができる。これらの値は、図6に示す方法を用いてあらかじめ特徴づけを行う際に計算される。
空間的独立性の仮定の下でのΠ(x)の推定値に基づいて、SP法(静的な確率論的方法)により、平均リーク電力が次のように推定される。
Figure 0003994099
空間的独立性を仮定すると、線形時間内でこの回路をレベル順序(論理段数(logicdepth))で詳しく検討することによって、ノードおよび状態の発生確率を同時に計算することができることが保証される。このため、その実行時の複雑さは、回路段数およびセル入力数に応じて大きくなる。スイッチング確率と比較すると、ここで説明した手法により、フィードバックによって回路を容易に明らかにすることができる。ただし、再度収束するファンアウトまたは既存の主入力の相関関係の影響は、空間的独立性の仮定によって無視し得る。
本発明の実施形態によるSP法を用いて、組合せ回路のリーク電力変動を推定することもできる。空間的独立性を仮定すると、総リークの偏差σtotは、各セルのリーク電流の偏差の合計になる。各セルのリーク電流の偏差は、その特定のセルの発生確率の状態によって表される。その結果、総リークの偏差の推定値は次のようになる。
Figure 0003994099
この偏差の推定値により、入力変動のために生じるリーク電流の変動性の大きさが推定され、入力依存性を示すものとして用いることができる。これを、かなり大きな入力依存性を示す特定の回路の動的な推定のトリガとして用いることができる。
本発明のSP法により、デバイス数またはゲート数に基づく簡単な方法に比べて、リーク電力の推定精度が向上する。というのは、この方法では、トポロジーおよび接続性を含めて、回路に関するより多くの情報を利用するからである。それ以上の精度が望まれる場合には、高度な方法を用いて、空間的な相関関係を明らかにすることもできるが、こうすると、実行時の全体的な複雑さがかなり増すことがある。
下記に、本発明の実施形態を用いて得られたISCASベンチマーク回路モデルの実験結果の一部を示す。この組合せISCAS回路は、遅延制約を伴う基本ゲートのライブラリを利用して合成されたものである。プロセス技術は、最新のものを用いる。公称条件下で回路シミュレータ(例えばSPICE)によって、所与の入力ベクトルについての各回路の総リークを推定する。各回路ごとに、10000個のランダムに生成された入力ベクトルからなるサンプルについての総リーク電流を求めた。主入力はそれぞれ、0.5のバイナリ・ノード発生確率を有すると仮定する。平均リークは、単に算術平均をとることによって計算される。正確な推定を行うのに、10000個のサンプルで十分であることがわかった。
小さなCプログラムを用いて、SP法による平均リーク推定値を計算した。図7の表2に、SP法によって得られた結果を示す。実際の平均リーク電流702とSPによる推定値703が極めて良好に一致することに留意されたい。平均相対誤差704は、たかだか約2%にすぎない。701に示す各回路では、本発明の実施形態を用いるSP法による推定値703により、単一入力ベクトルについてさえ、回路シミュレーションを実行することによって得られるであろう速さよりも何桁も速く結果が求められる。図7は、本発明の実施形態による静的なリーク推定方法を用いた計算上の利点を示している。
デジタル回路では通常、サイズおよび論理段数が、空間依存性の有意性に大きな影響を及ぼす。一般に、論理段数がより少ない回路では、より有意な空間依存性が予想されよう。空間依存性の概念は定量化しテストすることが難しいので、様々なサイズおよび論理段数の回路についてSP法のロバスト性に関する簡単な解析を実施することがある。この解析を行うことによって、様々なレベルの空間相関関係でSP法による推定値の振る舞いを観察することができる。元々50個の論理段数レベルで実施する回路c5315を例として用いた。主入力と指定した論理段数の間でセルを抽出することによって、様々な論理段数で多くの副回路を抽出することができる。これらの回路は、それらが同じ入力を共有するにも関わらず異なる空間依存性を有することがある。図8は、SP法のパーセント変動801が、異なる論理段数レベル802によるものであることを示している。したがって、独立性の仮定はかなりの利点になる。
以下に、あらかじめ指定された主入力確率を扱う際のSP法の重要な特徴を示す。例として、(図示しない)ベンチマーク回路c1908の最初の4つの入力に関するノード発生確率は、0.1〜0.9まで0.1きざみで変化する。他のすべての入力は、設定された発生確率0.5を有する。これらの発生確率で10,000個のランダム入力サンプルを生成し、リーク電流901をプロットして、回路シミュレータおよび本発明の実施形態によるSP法を用いて求めた。平均リーク901は、回路シミュレーションを用いて計算した。図9の結果は、SP法によるリーク電流903とシミュレーション結果902が良好に一致することを示している。相対誤差は良好に範囲内に収まっており、各データ点において5%未満である。回路(またはマクロ)の発生確率が、よりハイレベルの解析およびシミュレーション・ツール、おそらくは挙動レベルまたはアーキテクチャ・レベルの解析から得られるときには、それらに特有に入力確率を扱うと、より有用になり得る。
前のセクションでは、リークが、プロセスおよび環境の主要パラメータに強く依存することが示された。したがって、綿密な解析のフレームワークでは、このような主要パラメータへの依存性を考慮に入れるべきである。そうすると、設計者は、通常の動作条件、好ましい動作条件および不利な動作条件で、リーク性能をより高い信頼性で評価することができる。
プロセスまたは環境の特定パラメータ(p)へのリークの依存性を評価する1つの手法は、様々なpで平均リークを推定することである。このためには、すべてのpについて設計コンポーネント(ゲート)をあらかじめ特徴づけ、異なるテーブルを用いてリークの推定値を計算する必要がある。パラメータ依存性をモデル化するより実用的な代替方法では、pに対する平均リーク感度を推定する。
本発明の実施形態では、各入力における各セルごとのリークの感度、すなわち、あらかじめ求められ、かつあらかじめ特徴づけられたライブラリ内で利用可能なd(Li(xi))dpの値の組を用いる。これは、ライブラリをあらかじめ特徴づけるステップ中に単純明快なやり方で行うことができる。さらに、個々のpの変動は回路の論理状態を変化させないと仮定する。この仮定は、プロセス/環境条件に対して論理機能の堅固さを仮定しているために、かなり妥当なものである。こうすると、状態発生確率がpに無関係であることを示すことになる。これは極めて重要な結果である。というのは、pに対する平均リーク感度についての推定値が、以下のように式(5)の公称推定値と同じ方式で記述することができるからである。
Figure 0003994099
同様に、高次の感度も次のように類似の形式になる。
Figure 0003994099
プロセスおよび環境パラメータに対する回路のリーク感度を決定することは、現実的な設計上の決定および最適化を行う上で不可欠である。電力に留意する方法(power-aware methodology)では、制御可能な設計パラメータ、例えば閾値電圧または酸化膜の厚さに対する感度は、総電力損失を最小限に抑えるための鍵である。
図10は、本発明の実施形態による方法ステップを示すブロック図である。ブロック1001で、回路マクロ中のセルを定義する回路設計データを読み込み、ネット・リスト(net list)を用いて相互接続ネットを構築する。ブロック1002で、回路マクロへの各境界入力ごとに、発生確率を求める。回路マクロの入力数は制限されていることがあるが、多数の入力ノードを伴う多数の論理段数レベルを有し得る。ブロック1003で、回路マクロ中のセルについて論理状態発生確率を計算する。これは、図6に示す方法を用いて行う。ブロック1004で、セル・ライブラリから、プロセスおよび環境パラメータに関するリークおよびリーク感度データを取り出す。このデータは、回路シミュレーションおよび解析プログラムを用いてあらかじめ求めたものである。このデータおよびブロック1003からの結果により、ブロック1009の式1006を用いて平均リークを求める。同様に、式1007を用いて、パラメータ「p」の例に対する平均リーク感度1007を求める。必要な場合には式1008を用いて、高次のリーク感度を計算することができる。
図11は、本発明の実施形態で用いる方法ステップの流れ図である。ステップ1101で、設計ツール・データから、選択された回路マクロを構成する回路ゲートおよびネット・リストを抽出する。ステップ1102で、この回路マクロへの入力についての発生確率を求める。これは、回路シミュレーション・ソフトウエアから得ることができる。ステップ1103で、図6に示す方法を用いて、各ゲートごとに論理状態発生確率を求める。ステップ1104で、セル・ライブラリから、これらのセルについてのリークおよびリーク感度を取り出す。このデータは、回路のシミュレーションおよび解析を用いてあらかじめ求めたものである。ステップ1105で、リーク・データに状態発生確率を掛け、すべてのセルおよびすべての入力にわたって合計する本発明の実施形態を用いて、選択された回路マクロについての平均リーク電流を計算する。ステップ1106で、選択された回路マクロについての各パラメータごとに、リーク感度データに状態発生確率を掛け、すべてのセルおよびすべての入力にわたって合計する本発明の実施形態を用いて、平均リーク感度を計算する。ステップ1107で、プロセス・パラメータについてのリーク電流およびリーク感度データを記憶して、IC設計を最適化するのに用いる。
図12は、本発明の実施形態で用いる、ICの設計を最適化する方法ステップの流れ図である。ステップ1201で、配置およびシミュレーション・ツールを用いてICの機能設計を行う。ステップ1202で、このICを、タイミングが重要な回路マクロとタイミングが重要でない回路マクロに分割する。ステップ1203で、温度および回路マクロの電源電圧を考慮する反復技法を用いて、このICについてのリークおよび動的電力を計算する。ステップ1204で、この結果が、最適化によって全体的なICの性能または電力が改善し得ることを示すかどうかを決定する。最適化に進む決定がなされた場合、ステップ1207で、図11のステップ1107からの平均リーク感度データ記憶値を用いて、リーク電流を減少させる際にどのパラメータにより最適な結果が得られるかを決定する。ステップ1208で、得られた電力マージンを用いてタイミングが重要な回路を改善することによってICの性能を向上させることに、得られた電力マージンを用いるかどうかを決定する。ステップ1208におけるテスト結果がNOの場合、設計プロセスを終了して、得られた電力マージンを用いてICの効率を改善する。ステップ1208におけるテスト結果がYESの場合、得られた電力マージンを用いてタイミングが重要な回路を設計し直すことができる設計プロセスに戻る。
図13に、本発明を実施するための代表的なハードウエア環境を示す。図には、システム・バス1312を介して相互接続されたCPU(中央処理装置)1310および他の複数のユニットを有する本発明によるワークステーションの典型的なハードウエア構成を示す。図13に示すワークステーションは、RAM(ランダム・アクセス・メモリ)1314、ROM(読み出し専用メモリ)1316、ならびにディスク・ユニット1320およびテープ・ドライブ1340などの周辺装置をバス1312に接続するI/O(入出力)アダプタ1318、キーボード1324、マウス1326、スピーカ1328、マイクロホン1332、または(図示しない)タッチ・スクリーン装置などの他のユーザ・インターフェース装置、あるいはそれらすべてをバス1312に接続するユーザ・インターフェース・アダプタ1322、ワークステーションをデータ処理ネットワークに接続する通信アダプタ1334、ならびにバス1312をディスプレイ装置1338に接続するディスプレイ・アダプタ1336を含む。セル・ライブラリ・データは、RAM1314または記憶ユニット1320および1340に記憶させることができる。回路解析プログラムおよびシミュレーション・プログラムをCPU1310上で実行して、プロセスおよび環境パラメータへのセルのリーク電流依存性をあらかじめ求めることができる。同様に、CPU1310は、回路マクロ中のセルについての状態発生確率を用いて平均リーク電流および感度を計算するプログラムを実行し得る。さらに、CPU1310は、回路マクロを設計し、かつそれらをタイミングが重要な回路とタイミングが重要でない回路に分割するのに用いるソフトウエア設計プログラムを実行し得る。このソフトウエア設計プログラムは、本発明の実施形態を用いて得られたリーク感度データにアクセスして、設計プロセス中にリーク電力が最適化されるように改変することができるパラメータに設計者の注意を向けさせることができる。これらのプログラムからのデータは、RAM1314に記憶させることができる。
本発明およびその利点を詳細に説明してきたが、添付の特許請求の範囲で定義される本発明の趣旨および範囲から逸脱することなく、本明細書で様々な変更、置換および改変を加えることができることを理解されたい。
3入力NANDゲートについて、リーク電流と入力論理状態の関係を示すグラフである。 ISCAS(回路およびシステムに関する国際シンポジウム)ベンチマーク回路に関して、入力ベクトルへの総リークの依存性を示すグラフである。 様々なISCASベンチマーク回路に関して、入力ベクトルへのリーク電流の依存性を示す表である。 ISCASベンチマーク回路c432に関して、リーク電流に対するプロセス変動の影響を示すグラフである。 ISCASベンチマーク回路c432に関して、リーク電流に対する温度変動の影響を示すグラフである。 2入力NANDゲートについての出力ノード発生確率の計算を示す表である。 本発明の実施形態を用いて求めた平均リーク電力を示す表である。 本発明の実施形態を用いて、ISCASベンチマーク回路C5315についての様々な論理段数レベルを用いて得られた相対誤差を示すグラフである。 本発明の実施形態を用いた、平均リーク電力とリーク電力の推定値の比較を示すグラフである。 本発明の実施形態に従ってプロセス・パラメータ「p」のリーク感度を求める際のステップを示す流れ図である。 本発明の実施形態に従ってICを設計する方法ステップを示す流れ図である。 本発明の実施形態に従って平均リーク感度を求める際の方法ステップを示す流れ図である。 本発明の方法ステップを用いたコンピュータ・プログラムを実行し、本発明の実施形態を実施するのに適したデータ処理システムのブロック図である。
符号の説明
101 リーク電流
102 入力論理状態パターン
103 入力パターン
104 入力パターン
201 総リーク
202 ランダム入力ベクトル
301 ベンチマーク回路
302 セル
303 入力
304 Imax
305 Imin
306 変動係数
401 リーク電流
402 平均リーク
403 最大リーク
404 最小リーク
405 プロセス条件
501 リーク電流
503 平均リーク電流
504 最大リーク電流
505 最小リーク電流
601 2入力NANDゲート
602 出力確率
603 論理状態
604 ゲート・リーク電流
605 サブスレッショルド・リーク電流
606 総リーク電流
607 状態発生確率
701 回路
702 平均リーク電流
703 SP法による推定値
704 平均相対誤差
801 パーセント変動
802 論理段数レベル
901 平均リーク電流
902 シミュレーション結果
903 SP法によるリーク電流
1006 平均リーク
1007 平均リーク感度
1008 高次のリーク感度
1310 CPU
1312 システム・バス
1314 RAM
1316 ROM
1318 I/Oアダプタ
1320 ディスク・ユニット
1322 ユーザ・インターフェース・アダプタ
1324 キーボード
1326 マウス
1328 スピーカ
1332 マイクロホン
1334 通信アダプタ
1336 ディスプレイ・アダプタ
1338 ディスプレイ装置
1340 テープ・ドライブ
C 回路セル

Claims (8)

  1. コンピュータによりプロセス、回路および環境設計パラメータを含めて、ICパラメータを有するIC(集積回路)を設計する方法であって、
    設計ツールを用いて、回路マクロ中のセルを定義する回路設計データを読み込み、ネット・リストを用いて相互接続ネットを構成することにより、前記ICを構成する回路マクロを配置し構成するステップと、
    前記各回路マクロの入力境界ごとに発生確率を求め、前記回路マクロ中の前記セルについて論理状態発生確率を計算し、セル・ライブラリから予め求めたリークおよびリーク感度データを取り出してリーク電力を求めるステップと、
    前記回路マクロについて、前記ICパラメータの変動に対する平均リーク電力感度を求めるステップと、
    前記平均リーク電力感度の解析に応答して、前記ICパラメータから第1パラメータを選択し、選択した前記パラメータごとに前記リーク感度データに論理状態発生確率を掛け、すべてのセルおよびすべての入力にわたって合計するステップと、
    1つまたは複数の前記第1パラメータを改変することによって、前記ICの前記回路マクロのうち1つまたは複数の選択された回路マクロについてのリーク電力を減少させるステップとを含み、
    前記回路マクロが、タイミングが重要でない回路マクロとタイミングが重要な回路マクロとに分類され、前記1つまたは複数の選択された回路マクロが、前記タイミングが重要でない回路マクロとされ、前記タイミングが重要でない回路マクロが、全体的なICの性能に大きな影響を及ぼすことなく前記ICパラメータを改変するステップを含む、方法。
  2. 前記ICについての第1設計電力損失と、前記リーク電力を減少させる前記ステップの後で前記ICについて求めた第2電力損失との差として電力損失マージンを求めるステップをさらに含む、請求項1に記載の方法。
  3. 前記電力損失マージンを用いて、前記タイミングが重要な回路マクロに対応する前記回路マクロの1つを設計し直し、前記全体的なICの電力を、前記ICについての前記第1設計電力損失にほぼ等しく、またはそれ未満に保ちつつ、前記設計し直した回路マクロの性能を向上させるステップをさらに含む、請求項2に記載の方法。
  4. 前記平均リーク電力感度を求める前記ステップが、
    前記回路マクロの各入力ノードごとに発生確率を求めるステップと、
    前記回路マクロ中の各セルごとに状態発生確率を計算するステップと、
    セル・ライブラリから、前記回路マクロに対するセル入力について、前記ICパラメータの関数として所定のリーク・データおよびリーク感度データを取り出すステップと、
    前記取り出すステップからの前記リーク・データ、前記回路マクロの前記各入力ノードごとの前記発生確率および前記回路マクロ中の各セルの前記状態発生確率に応答して、前記回路マクロについての平均リーク電流を計算するステップと、
    前記取り出すステップからの前記リーク感度データ、前記回路マクロの前記各入力ノードごとの前記発生確率および前記回路マクロ中の各セルの前記状態発生確率に応答して、各回路マクロごとに、前記各ICパラメータに対応する平均リーク感度を計算するステップと、
    前記IC設計を最適化する際に用いるため、各回路マクロごとに各パラメータごとの平均リーク電流感度データを保存するステップとを含む、請求項1に記載の方法。
  5. 回路解析ツールおよび回路シミュレーション・ツールを用いることによって、前記セル入力について前記ICパラメータに対する前記リーク・データおよび前記リーク感度データをあらかじめ求める、請求項4に記載の方法。
  6. 前記平均リーク電流を計算する前記ステップが、
    前記回路マクロの各セルの各ノードの各論理状態ごとのリーク電流に、前記各ノードごとの対応する論理状態発生確率を掛けて、各セルの各ノードごとにノード・リーク電流を生成するステップと、
    前記セルの各ノードにわたって前記ノード・リーク電流を合計して、セル・リーク電流を生成するステップと、
    各セルにわたって前記セル・リーク電流を合計して、前記平均マクロ・リーク電流を生成するステップとを含む方法を用いる、請求項4に記載の方法。
  7. 前記ICパラメータのパラメータPに対する前記平均リーク電力感度を計算する前記ステップが、
    前記回路マクロの各セルの各ノードの各論理状態ごとの前記パラメータPに対するリーク感度に、前記各ノードごとの対応する論理状態発生確率を掛けて、各セルの各ノードごとにノード・リーク感度を生成するステップと、
    前記セルの各ノードにわたって前記ノード・リーク感度を合計して、前記パラメータPに対するセル・リーク感度を生成するステップと、
    前記マクロの各セルにわたって前記セル・リーク感度を合計して、平均マクロ・リーク感度を生成するステップとを含む方法を用いる、請求項4に記載の方法。
  8. ICパラメータに関する平均マクロ・リーク電力感度を求めるコンピュータ実行可能なプログラムであって、コンピュータが請求項1〜7のいずれか1項に記載の各ステップを実行するための、プログラム。
JP2004237702A 2003-08-22 2004-08-17 リーク電流感度(leakagecurrentsensitivity)を求め、それを用いて集積回路の設計を最適化する方法 Expired - Fee Related JP3994099B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/646,425 US7137080B2 (en) 2003-08-22 2003-08-22 Method for determining and using leakage current sensitivities to optimize the design of an integrated circuit

Publications (2)

Publication Number Publication Date
JP2005071360A JP2005071360A (ja) 2005-03-17
JP3994099B2 true JP3994099B2 (ja) 2007-10-17

Family

ID=34194519

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004237702A Expired - Fee Related JP3994099B2 (ja) 2003-08-22 2004-08-17 リーク電流感度(leakagecurrentsensitivity)を求め、それを用いて集積回路の設計を最適化する方法

Country Status (2)

Country Link
US (1) US7137080B2 (ja)
JP (1) JP3994099B2 (ja)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050050494A1 (en) * 2003-09-02 2005-03-03 Mcguffin Tyson R. Power estimation based on power characterizations of non-conventional circuits
US7100144B2 (en) * 2003-12-09 2006-08-29 International Business Machines Corporation System and method for topology selection to minimize leakage power during synthesis
US7458052B1 (en) 2004-08-30 2008-11-25 Gradient Design Automation, Inc. Method and apparatus for normalizing thermal gradients over semiconductor chip designs
US7353471B1 (en) * 2004-08-05 2008-04-01 Gradient Design Automation Inc. Method and apparatus for using full-chip thermal analysis of semiconductor chip designs to compute thermal conductance
WO2007070879A1 (en) * 2005-12-17 2007-06-21 Gradient Design Automation, Inc. Simulation of ic temperature distributions using an adaptive 3d grid
US7194711B2 (en) * 2004-01-28 2007-03-20 Gradient Design Automation Inc. Method and apparatus for full-chip thermal analysis of semiconductor chip designs
US7203920B2 (en) * 2004-01-28 2007-04-10 Gradient Design Automation Inc. Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US20090224356A1 (en) * 2004-01-28 2009-09-10 Rajit Chandra Method and apparatus for thermally aware design improvement
US7401304B2 (en) * 2004-01-28 2008-07-15 Gradient Design Automation Inc. Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US7383520B2 (en) * 2004-08-05 2008-06-03 Gradient Design Automation Inc. Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs
US20090077508A1 (en) * 2004-01-28 2009-03-19 Rubin Daniel I Accelerated life testing of semiconductor chips
US20090048801A1 (en) * 2004-01-28 2009-02-19 Rajit Chandra Method and apparatus for generating thermal test vectors
US7472363B1 (en) * 2004-01-28 2008-12-30 Gradient Design Automation Inc. Semiconductor chip design having thermal awareness across multiple sub-system domains
US8019580B1 (en) 2007-04-12 2011-09-13 Gradient Design Automation Inc. Transient thermal analysis
US8286111B2 (en) * 2004-03-11 2012-10-09 Gradient Design Automation Inc. Thermal simulation using adaptive 3D and hierarchical grid mechanisms
GB0407070D0 (en) * 2004-03-30 2004-05-05 Imec Inter Uni Micro Electr A method for designing digital circuits, especially suited for deep submicron technologies
US8578312B2 (en) * 2004-03-30 2013-11-05 Imec Method and apparatus for designing and manufacturing electronic circuits subject to leakage problems caused by temperature variations and/or aging
US7400167B2 (en) * 2005-08-16 2008-07-15 Altera Corporation Apparatus and methods for optimizing the performance of programmable logic devices
US7278120B2 (en) * 2004-07-23 2007-10-02 Synplicity, Inc. Methods and apparatuses for transient analyses of circuits
US7587181B2 (en) * 2004-11-12 2009-09-08 Broadcom Corporation Method and system for a control scheme on power and common-mode voltage reduction for a transmitter
JP4733999B2 (ja) * 2005-03-08 2011-07-27 富士通株式会社 回路設計方法、回路設計装置及び半導体回路
US20060203581A1 (en) * 2005-03-10 2006-09-14 Joshi Rajiv V Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
WO2007052334A1 (ja) * 2005-11-01 2007-05-10 Fujitsu Limited 論理セルの構成処理方法、及び、プログラム
WO2007088427A1 (en) * 2006-02-01 2007-08-09 Freescale Semiconductor, Inc. Device and a method for estimating transistor parameter variations
US7500207B2 (en) * 2006-02-15 2009-03-03 International Business Machines Corporation Influence-based circuit design
US7793239B2 (en) * 2006-04-24 2010-09-07 International Business Machines Corporation Method and system of modeling leakage
US7647573B2 (en) * 2006-05-26 2010-01-12 Freescale Semiconductor, Inc. Method and device for testing delay paths of an integrated circuit
JP5075196B2 (ja) * 2006-06-30 2012-11-14 インテル・コーポレーション 漏れ電力推定
DE102006043805A1 (de) * 2006-09-13 2008-03-27 Offis E.V. Verfahren zur Simulation elektrischer Schaltkreise
US20080103708A1 (en) * 2006-10-13 2008-05-01 Sony Computer Entertainment Inc. Leakage power estimation
US8104006B2 (en) * 2008-01-31 2012-01-24 Cadence Design Systems, Inc. Method and apparatus for thermal analysis
US9117045B2 (en) * 2008-02-14 2015-08-25 International Business Machines Coporation System and method to predict chip IDDQ and control leakage components
US7877715B1 (en) 2008-03-28 2011-01-25 Cadence Design Systems, Inc. Method and apparatus to use physical design information to detect IR drop prone test patterns
US8086978B2 (en) * 2008-06-20 2011-12-27 Cadence Design Systems, Inc. Method and system for performing statistical leakage characterization, analysis, and modeling
US8103996B2 (en) * 2008-06-24 2012-01-24 Cadence Design Systems, Inc. Method and apparatus for thermal analysis of through-silicon via (TSV)
US8104007B2 (en) 2008-06-24 2012-01-24 Cadence Design Systems, Inc. Method and apparatus for thermal analysis
US8201113B2 (en) 2008-07-25 2012-06-12 Cadence Design Systems, Inc. Method and apparatus for multi-die thermal analysis
US8127258B2 (en) * 2008-08-22 2012-02-28 Freescale Semiconductor, Inc. Data processing device design tool and methods
KR101504594B1 (ko) * 2008-08-28 2015-03-23 삼성전자주식회사 반도체 소자의 누설전류 예측 방법
US8117568B2 (en) * 2008-09-25 2012-02-14 International Business Machines Corporation Apparatus, method and computer program product for fast simulation of manufacturing effects during integrated circuit design
US8086983B2 (en) * 2008-09-30 2011-12-27 Cadence Design Systems, Inc. Method and system for performing improved timing window analysis
US8069432B2 (en) * 2008-09-30 2011-11-29 Cadence Design Systems, Inc. Method and system for performing statistical leakage characterization, analysis, and modeling
JP5098970B2 (ja) * 2008-11-25 2012-12-12 富士通株式会社 リーク電流分布検証支援プログラム、リーク電流分布検証支援装置およびリーク電流分布検証支援方法
JP5239970B2 (ja) * 2009-03-17 2013-07-17 富士通株式会社 リーク電流算出プログラム、リーク電流算出装置及びリーク電流算出方法
US8626480B2 (en) 2009-10-06 2014-01-07 International Business Machines Corporation Compact model for device/circuit/chip leakage current (IDDQ) calculation including process induced uplift factors
US8279659B2 (en) * 2009-11-12 2012-10-02 Qualcomm Incorporated System and method of operating a memory device
US8196086B2 (en) 2010-07-21 2012-06-05 Lsi Corporation Granular channel width for power optimization
JPWO2012046344A1 (ja) * 2010-10-08 2014-02-24 富士通株式会社 プログラム、ライブラリ作成装置、及び消費電力計算装置
US8296701B2 (en) * 2010-12-28 2012-10-23 Texas Instruments Incorporated Method for designing a semiconductor device based on leakage current estimation
US8495553B2 (en) * 2011-12-09 2013-07-23 International Business Machines Corporation Native threshold voltage switching
US8595664B2 (en) * 2011-12-16 2013-11-26 International Business Machines Corporation Guiding design actions for complex failure modes
US9323870B2 (en) 2012-05-01 2016-04-26 Advanced Micro Devices, Inc. Method and apparatus for improved integrated circuit temperature evaluation and IC design
US9081927B2 (en) 2013-10-04 2015-07-14 Jasper Design Automation, Inc. Manipulation of traces for debugging a circuit design
US9671850B2 (en) * 2014-07-07 2017-06-06 Empire Technology Development Llc Leakage current variability based power management
US9378326B2 (en) 2014-09-09 2016-06-28 International Business Machines Corporation Critical region identification
US10467374B2 (en) * 2017-06-30 2019-11-05 Taiwan Semiconductor Manufacturing Company Limited System and method for calculating cell edge leakage
KR20190137520A (ko) 2018-06-01 2019-12-11 삼성전자주식회사 컴퓨팅 장치 및 이의 동작 방법
KR102089083B1 (ko) * 2018-11-14 2020-03-16 주식회사 바움 회로 설계의 누설 전력을 조기에 추정하기 위한 시스템 및 방법

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6427226B1 (en) * 1999-05-25 2002-07-30 Advanced Micro Devices, Inc. Selectively reducing transistor channel length in a semiconductor device
US6687883B2 (en) * 2000-12-28 2004-02-03 International Business Machines Corporation System and method for inserting leakage reduction control in logic circuits
US6711719B2 (en) * 2001-08-13 2004-03-23 International Business Machines Corporation Method and apparatus for reducing power consumption in VLSI circuit designs
US6728941B2 (en) * 2002-03-14 2004-04-27 Hewlett-Packard Development Company, L.P. Method of modeling the crossover current component in submicron CMOS integrated circuits designs
US7093208B2 (en) * 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices

Also Published As

Publication number Publication date
US7137080B2 (en) 2006-11-14
US20050044515A1 (en) 2005-02-24
JP2005071360A (ja) 2005-03-17

Similar Documents

Publication Publication Date Title
JP3994099B2 (ja) リーク電流感度(leakagecurrentsensitivity)を求め、それを用いて集積回路の設計を最適化する方法
US8286112B2 (en) Methods for characterization of electronic circuits under process variability effects
US7134100B2 (en) Method and apparatus for efficient register-transfer level (RTL) power estimation
EP2118707B1 (en) Multidimensional process corner derivation using surrogate based simulataneous yield analysis
US8656331B1 (en) Timing margins for on-chip variations from sensitivity data
Sylvester et al. Variability in nanometer CMOS: Impact, analysis, and minimization
Agarwal et al. Statistical clock skew analysis considering intradie-process variations
JP2006512767A (ja) 歩留まり改善
Vijayan et al. Fine-grained aging-induced delay prediction based on the monitoring of run-time stress
Firouzi et al. Statistical analysis of BTI in the presence of process-induced voltage and temperature variations
US20120239371A1 (en) Consistent Hierarchical Timing Model with Crosstalk Consideration
Dadgour et al. A statistical framework for estimation of full-chip leakage-power distribution under parameter variations
Acar et al. Leakage and leakage sensitivity computation for combinational circuits
Tang et al. GenFin: Genetic algorithm-based multiobjective statistical logic circuit optimization using incremental statistical analysis
JP2003208456A (ja) ターゲットベースのコンパクト・モデリングのシステムおよび方法
Vijayan et al. Machine learning-based aging analysis
Chen et al. An efficient probability framework for error propagation and correlation estimation
Juan et al. Statistical thermal modeling and optimization considering leakage power variations
Altieri et al. Towards on-line estimation of BTI/HCI-induced frequency degradation
Peng et al. Statistical soft error rate (SSER) analysis for scaled CMOS designs
Palermo et al. Rejuvenation of nanoscale logic at NBTI-critical paths using evolutionary TPG
Gupta et al. Characterization of Fast, Accurate Leakage Power Models for IEEE P2416
Corbetta et al. Time-efficient modeling and simulation of true workload dependency for bti-induced degradation in processor-level platform specifications
JP5045319B2 (ja) エラー信号パルス幅の計算方法及びプログラム
Farahani et al. Cross-layer custom instruction selection to address PVTA variations and soft error

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070403

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20070420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070625

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070724

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20070724

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070730

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100803

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees