JP3913643B2 - Wafer processing apparatus and wafer stage - Google Patents

Wafer processing apparatus and wafer stage Download PDF

Info

Publication number
JP3913643B2
JP3913643B2 JP2002247998A JP2002247998A JP3913643B2 JP 3913643 B2 JP3913643 B2 JP 3913643B2 JP 2002247998 A JP2002247998 A JP 2002247998A JP 2002247998 A JP2002247998 A JP 2002247998A JP 3913643 B2 JP3913643 B2 JP 3913643B2
Authority
JP
Japan
Prior art keywords
wafer
cooling jacket
heat
space
ceramic plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002247998A
Other languages
Japanese (ja)
Other versions
JP2004087869A (en
Inventor
誠一郎 菅野
健 吉岡
良司 西尾
三郎 金井
秀樹 木原
浩司 奥田
学 枝村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2002247998A priority Critical patent/JP3913643B2/en
Publication of JP2004087869A publication Critical patent/JP2004087869A/en
Application granted granted Critical
Publication of JP3913643B2 publication Critical patent/JP3913643B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は半導体の製造技術に属する。特に、高温でウエハを処理する処理装置と、この処理装置内に設けられるウエハステージに関する。
【0002】
【従来の技術】
公知例(特許公報または文献)
「特開平11−87245号公報」
ルテニウムやその酸化物、白金などは誘電率の高いキャパシタ絶縁膜との相性等から次世代の半導体デバイスのキャパシタ電極に用いられる材料の有力な候補になっている。また、酸化シリコンにかわるゲート絶縁膜として、酸化ジルコニウム、酸化ハフニウムなどが、あるいはキャパシタ膜としてPZT(白金とジルコニウムとチタンの化合物)、BST(バリウムとストロンチウムとチタンの化合物)などが検討されてきている。このように将来の半導体デバイスでは、多種の新材料を使うことが検討されているが、これらの新材料は熱的、化学的に安定で、揮発性が極めて低く、不揮発性材料と呼ばれている。
【0003】
これら不揮発性材料のエッチング加工では、処理中のウエハの温度を高温に保つことが必須となる。つまり従来のエッチング処理装置ではウエハの温度は−50℃程度の低温から100℃程度までが一般的であるが、この程度の温度では化学的に非常に安定であるためにエッチングされない。不揮発性材料では200℃から500℃といった高温で処理する必要がある。
【0004】
そこで、最近ではウエハを高温で処理できる処理装置が必要となってきており、この様な処理装置を実現するためには、ウエハを高温に加熱できるだけでなくプラズマからの入熱がある場合にもウエハの温度分布を悪化させること無く応答性よく温度制御できるウエハステージが必要となってきている。
【0005】
処理中のウエハの温度を高温に制御性よく管理する方法としては「特開平11−87245号公報」に開示されている。この例ではウエハを保持する基板ホルダーは、ウエハを加熱するヒータを内蔵した加熱ブロックを含む同種または異種の金属からなる複数のブロックを、拡散接合によって熱伝導性及び機密性よく接合している。
【0006】
【発明が解決しようとする課題】
しかしながら、「特開平11−87245号公報」の開示例では、ウエハを保持する静電吸着ブロックと導熱ブロック、加熱ブロック、冷却ブロックが拡散接合で一体化されているため、各ブロックの温度差が小さく制御性が優れる反面、例えば静電吸着ブロックが寿命に達し交換する必要がある場合に、基板ホルダー全体を交換する必要があり、作業が大掛かりになる、交換コストが高い、といった問題がある。
【0007】
また、ヒータからの熱も冷却ブロックに直接流れ込むため、特に高温で運転中のヒータ投入電力が大きいという問題もある。
【0008】
さらに、処理中のウエハの温度分布に影響する輻射による熱逃げを考慮していないためにウエハの温度分布が悪化しがちであり、実施例中でも分布を改善するためにヒータを中心と外周にわけて独立に電力を供給する方法が開示されている。しかし、この場合には装置のコストが増加するという問題が発生する。
【0009】
本発明の目的は、200℃から500℃程度の高温までの広い温度範囲でウエハの温度分布を均一に保つことができ、プラズマで処理する際にはウエハへの入熱を取り除くことによりウエハの温度上昇を抑えることができるウエハステージ、およびウエハ処理装置を提供することである。
【0010】
【課題を解決するための手段】
上記の目的は、内部が排気される真空チャンバ内に配置されたウエハステージ上に保持された半導体ウエハをこの真空チャンバ内に形成されたプラズマを用いて処理するウエハ処理装置であって、前記ウエハステージは、その内部に冷媒が流れる流路が配置された金属製の冷却ジャケットと、この冷却ジャケットの上方でこの冷却ジャケット上面と所定の距離をあけて載せられて配置され内部に高周波電力が供給される電極とヒータとが配置されたセラミックス製の板状部材と、前記冷却ジャケット上面に載せられて前記板状部材と前記冷却ジャケットとの間に前記距離をあけて空間を形成するためのスペーサーと、前記ウエハが保持される面上に配置されこのウエハが保持された状態でウエハ裏面との間に伝熱ガスを供給する穴とを備え、前記空間が前記真空チャンバ内と連通され、前記空間を介した輻射の伝熱により前記冷却ジャケットと前記板状部材との間の熱の移動が行われることにより達成される。
【0011】
【発明の実施の形態】
以下、本発明の実施例を図にしたがって説明する。
【0012】
図1、2に本発明の第一の実施例を示す。図1は本発明のウエハステージをプラズマ処理装置に実際に適用した例、図2は本発明のウエハステージを拡大した図である。
【0013】
図1に示すように、真空チャンバ9内にエッチングガス11を導入し、ターボ分子ポンプ13の上流に設置したバルブ12の開度調節によりチャンバ内を適切な圧力に保つ。真空チャンバの上部にはアルミナ製のベルジャ10が積載してあり、このベルジャの周囲にはコイル7が設置してある。コイル7を高周波電源8に接続し、コイルの両端に高周波電圧、例えば13.56MHzを印加することにより誘導結合方式のプラズマ6を発生させる。ベルジャの周囲にはファン27が複数個取りつけてあり、ベルジャの温度を一定(70℃から120℃程度)に保っている。このプラズマにウエハ1を曝すことによりエッチング処理を実施する。処理中、ウエハはウエハステージ2上に積載され、温度管理されている。
【0014】
また、ウエハにバイアス電圧を印加するために、ウエハステージには高周波電源5が接続している。また高周波電圧の給電ライン19にはウエハステージに静電チャック機能を付与するために直流電源22が接続してある。図中、その他の番号は、3はエッチングガスの流量を制御する流量制御器、4はゲートバルブでありウエハの搬送時には開となり、搬送アーム(図示しない)の前進・後退運動が可能となる。
【0015】
本実施例で上記空間は、冷却ジャケット14とセラミックス板15とが取り付けられ層構造となった状態で、冷却ジャケット14のセラミックス板15に対向する面上に形成されたギャップ37により構成される。また、冷却ジャケット14とセラミックス板15とは、両者の距離を適切に調節するため、これらの間にジルコニア製のスペーサ23を挟み込んで、ジルコニア製のボルト36で固定された構成となっている。上記ギャップ37で構成される空間による上記距離は、ウエハステージ2の温度を応答性良く調節する、あるいは精度良く再現するために、精密に調節する必要があるので、距離に大きく影響する上記スペーサ23の形状は重要である。
【0016】
本実施例の冷却ジャケット14は、セラミックス板15と組み合わされた状態で、冷却ジャケット14の中央部に設けられた貫通孔29(後述)と連なる孔の周囲に設けられた凸部がセラミックス板15の下面と接触し、冷却ジャケット14の外周部では、上記スペーサ23が冷却ジャケット14の上面とセラミックス板15の下面と接触する。凸部には前記貫通孔29に連なる孔をギャップ37と気密に維持するためのシール部材が設けられている。また、上記ギャップ37で構成される空間は、処理のため真空チャンバ9内が排気されて減圧され、高い真空度に維持された状態で、同様の高い真空度に維持されるよう、真空チャンバ9内の空間と連通する連通路(図示せず)が設けられている。このようにして、空間内の流体により冷却ジャケット14とセラミックス板15との間の輻射による熱の伝達が抑えられたり、空間内の流体を介して冷却ジャケット14とセラミックス板15とが必要以上に熱を伝達したりすることを抑えている。また、上記ギャップ37による空間と直接に連通した排気手段により排気しても良い。熱伝導の量を大きくした場合には、必要に応じてこの空間に熱伝導用の流体を流して排出するようにしても良い。
【0017】
セラミックス板を固定するボルトの材質をジルコニアとして理由は、熱伝導率が3W/mK程度と非常に熱伝導率が低い上、破壊靭性値も大きく機械強度的に優れた特徴を持っているためである。したがって、ボルトを介して冷却ジャケットに逃げる熱量を抑えることができ、ウエハの温度分布が局所的に悪化することを防止することができる利点がある。しかし、必ずしもジルコニアに限るわけではなく、その他のセラミックボルト、もしくは金属性のボルトであっても必要な性能を達成することができるのであれば利用することができる。
【0018】
セラミックス板15の材質は熱伝導率の大きな窒化アルミであり、内部にヒータ16が埋設してある。したがって、ヒータ16に電力を投入することによりセラミックス板を加熱することができる。セラミックス板を窒化アルミで構成した理由は、熱伝導率が高いため面内に温度差がつきにくく、ウエハの温度分布が悪化することを防止することができるためである。しかし、必ずしも窒化アルミである必要があるわけではなくその他の材質であってもよい。
【0019】
セラミックス板内部のヒータ上にはウエハステージに静電チャック機能とRFバイアスを与える内部電極17が埋設してある。この内部電極に直流電圧を印加すれば、内部電極とウエハ1(ウエハはプラズマに曝されておりほぼアース電位)間に電位差が発生し、内部電極とウエハ裏面間に電荷が蓄えられクーロン力によりウエハはセラミックス板に吸着固定される。また、内部電極には直流電圧のほかにウエハにバイアス電力を投入するための高周波電圧を印加する。図1の高周波電源5がこの役割を果たす。電気回路的には静電チャック用の直流電源22をコイル21を介して給電ライン19に接続する。本実施例では給電ラインに相当するのは支持部材内に設けた中空のシャフト20である。この内部電極に高周波電圧を印加すればウエハにバイアス電圧を印加することができるのでプラズマ中のイオンを効果的に引き込むことができ、エッチングレートが増加する、エッチング形状が改善されるなどの効果を期待することができる。
【0020】
55はセラミックス板の温度測定用のシース熱電対である。冷却ジャケットの一部に貫通穴54を設け、この貫通穴位置に対応するセラミックス板の裏面にくぼみ53を設ける。このくぼみの底面に先端が接触するようにシース熱電対55を挿入する。先端の接触状態が変化すると測定する温度が変化してしまうので、本実施例では熱伝対に鞘56をもうけ、この鞘の部分にコイルバネ57をかませ、おさえ58により全体を冷却ジャケットに固定している。したがって、セラミックス板の取り付けの状態が多少変化してもシース熱電対の先端とセラミックス板の接触圧力は常にほぼ一定となり再現性のよい温度測定方法を提供することができる。この温度情報に基づきヒータに供給する電力を制御する。
【0021】
ヒータへの電力供給は冷却ジャケット14に設けた貫通穴39を通して行う。セラミック板の内部には、ヒータと電気的に接続したソケット41が内蔵してあり、このソケットに外部の電源と接続している電気プラグ42が迎合するように配置してある。本実施例ではヒータ給電部は1ヶ所のみの記載としているが、実際には反対の極性のコネクタが必要であるので、給電部は2ヶ所となる。
【0022】
38は、セラミックス板の外周からの熱の逃げを低減するための輻射断熱材である。表面にクロムめっきが施されている。輻射断熱材をもうけると真空チャンバの内壁へにげる輻射熱が半分以下に抑えられ、ウエハステージの面内温度分布が悪化するのを防止できる。
【0023】
また、処理中のウエハに入射する熱を効果的にウエハステージに伝え、ウエハ温度の制御性を向上させるために、ウエハ裏面とセラミックス板間にもヘリウムガスなどの伝熱ガスを導入する必要がある。本実施例では、内部電極に高周波電圧と直流電圧を印加する支持部材に内蔵された中空のシャフトを通じて供給される。すなわちセラミックス板の中心に設けた貫通穴29からヘリウムガスをウエハ裏面に導入する構成となっている。
【0024】
冷却ジャケットの内部には冷媒を循環させるための溝46が設けてある。本実施例では冷媒はクリーンルーム内に装備された冷却水を使用している。溝への冷却水の流し込みと排水はフレキシブルな配管30を使用している。後述するように、ウエハステージ全体が上下動作するのでこれに対応するためである。また、図中には冷却水の入り口のみを記載し、戻り側は省略している。本実施例では、冷媒として水を利用したが必ずしも水に限るものではない。例えばフロリナートやガルデンといたフロン系の冷媒を使うことも可能である。しかし、水を使用した場合には冷媒を循環させる部品(本例では冷却ジャケット)との間の熱伝達率が大きいために、ギャップ間の圧力が同一の場合セラミックス板との間の熱移動量が大きく取れるメリットがある。逆にいえば、同じ熱移動量を確保するために必要な圧力が低くてすむ。この点は装置設計を行う上で、ヘリウムのシール条件が緩まるので非常に大きなメリットとなる。
【0025】
ウエハの搬送は図示しない上下機構によるベローズ35の伸縮動作により、ウエハステージ2を上下動作させ、固定しているプッシャピン32によりウエハを引き剥がして行う。
【0026】
このようにバイアス電圧を印加してウエハを処理するとウエハはプラズマからの入熱により温度が上昇する。入熱量が小さい場合には問題にならない場合もあるが、通常半導体の製造プロセスではウエハの温度管理をしっかり行わないとエッチング特性が悪化する。したがって、ウエハの温度を高温に保つためには、プラズマからの入熱がない場合にはヒータによりセラミックス板を加熱し、処理が始まりプラズマからの入熱がある場合にはヒータに投入する電力を低下させ、ウエハへ入射した熱を取り除く必要がある。そのための方法として、本実施例ではセラミックス板と冷却ジャケット間で輻射伝熱により熱交換を行う。まず、本実施例の考え方の基本となる実施例のヒートバランスについて図3に基づき説明する。
【0027】
図3中、28はヒータの出力、31は冷却ジャケットへの輻射伝熱、33はウエハステージの対向面であるベルジャへの輻射伝熱である。冷却ジャケットにウエハステージを固定するジルコニアネジを介しての熱伝導も存在するが、前述したように熱伝達率が小さく本実施例では無視できるのでここでは省略した。また、処理中のプラズマからの入熱は34である。まず、ウエハを200℃から500℃といった高温に保持するためにはウエハステージを200℃から500℃に加熱する必要がある。この場合、定常状態では(1)式を満たす必要がある。
ヒータ出力=冷却ジャケットへの輻射伝熱+ベルジャへの輻射伝熱 (1)
【0028】
一方、プラズマ処理中にウエハステージに入熱がある場合、ウエハステージの温度を一定に保つためには(2)式を満たす必要がある。
ヒータ出力+プラズマ入熱=
冷却ジャケットへの輻射伝熱+ベルジャへの輻射伝熱 (2)
【0029】
つまり、処理開始前は(1)のヒータ出力で運転し、処理開始後はプラズマ入熱の分ヒータ出力を低下させればウエハステージの温度を一定に保つことができる。逆のいい方をすれば、プラズマ入熱量は冷却ジャケットとベルジャへの輻射伝熱量以上あると、ヒータ電力を0Wにしてもウエハ温度が上昇してしまい制御不能であることを意味する。図4に本実施例のヒートバランスの計算結果を示す。この図から、400℃で運転している場合には、プラズマ入熱なし時のヒータ出力は501Wであるので、許容するプラズマ入熱は501Wであることがわかる。300℃、200℃の場合には、輻射による伝熱量が低下するためにそれぞれ246W、101Wとなる。
【0030】
したがって本実施例によれば、ウエハステージをジルコニアボルトで冷却ジャケットに固定し、輻射伝熱により冷却ジャケットおよびベルジャと熱のやり取りをするので、ウエハへの入熱が101W以下であれば単純な構造で200℃から400℃といった高温で広い温度範囲にわたり均一な温度分布を実現できるウエハステージを供給することができる。
【0031】
次に、前述の例よりも更に制御可能なウエハへの入熱を大きく取る方法を説明する。第一の実施例では冷却ジャケットの材質はステンレス鋼であり、セラミックス板との対向面は単なる切削加工された面であった。表面の放射率は0.3であった。放射率の測定は試料を加熱して放射率を測定する直接法や、FTIRを用いて反射スペクトルを測定し得られた分光反射率をもとに算出する間接法で測定可能である。この放射率を増加させ、冷却ジャケットへの輻射伝熱量を増加させる目的で表面を黒色塗料を塗布すればセラミックス板の輻射伝熱量を増加させることができる。図5に、輻射率を0.9とした場合のヒートバランスを示す。この図から、セラミックス板の温度が400℃、300℃、200℃の場合、印加可能な電力は818W、403W、157Wに増加していることがわかる。
【0032】
このほか、冷却ジャケットの表面に凹凸をもうけ、表面積を増加させる方法や、冷却ジャケットの材質がアルミニウムの場合には黒色アルマイト化する方法なども考えられる。しかし、重要なのは冷却ジャケットの表面の放射率を増加させることであり、そのための手段は本発明の範囲を制限しない。
【0033】
図6には本発明の第二の実施例を示す。
本実施例では、第一の実施例よりもウエハ1への入熱の量を増加しても、ウエハ1の温度を調節することができるよう、インコネルを用いたリング状の熱伝導部材24をセラミックス板と冷却ジャケット間に挟みこませた構造としている。冷却ジャケットには図7に示すような熱伝導部材の位置決めをするための溝25が設けてある。図8には、前記熱伝導部材の斜視図を、図9には、その断面図を示す。
【0034】
本実施例の熱伝導性部材は、図8,9の上下方向を高さ方向とすると、取り付ける前の状態ではスペーサ23の高さよりも大きな高さを有している。これにより、セラミックス板15と冷却ジャケット14とが取り付けられた状態で、その上端がセラミックス板15と、下端が冷却ジャケット14とに接触する。さらに、セラミックス板15と冷却ジャケット14とを取り付ける或いは取外す際に、弾性を有して伸縮することのできる弾性部分を備えており、この弾性部分の伸縮による力が上下端をセラミックス板15、冷却ジャケット14に押し付けて接触を良好にし、熱伝導の抵抗を低く抑える。この弾性部分は、端部との熱伝導が良好となるように接続されており、特に本実施例では同一部材で一体に構成されている。
【0035】
また、弾性部材は、弾性を発揮できるだけの厚さを有する板材が端部の伸縮方向を横切る方向に、折曲げ或いは湾曲させられて構成されている。このような構成により、冷却ジャケットの溝に配置しセラミックス板を冷却ジャケットに固定すると熱伝導部材の厚み方向の弾性により両端がセラミックス板と冷却ジャケットに再現性よく接触する。
【0036】
本実施例では熱伝導部材の断面形状は図9のようにW状をしているが、このほかにもコの字型やC字型の断面形状も考えられるが、重要なのは高さ(厚み)方向に弾性を有するという点である。熱伝導部材の目的はセラミックス板から冷却ジャケットへの伝熱量を制御することであるので、材料の特性としては耐熱性があり、薄肉に加工しやすく、薄肉に加工した後の厚み方向の弾性が確保でき、加工後の熱伝導部材の熱抵抗が期待する伝熱量を実現すること、コストが低いことなどが考えられる。これらの条件を満たす材料として、本実施例ではインコネルを採用しているが、この他にはステンレス鋼が候補として考えられる。
【0037】
このように弾性があると、熱伝導部材の両端の接触状態の再現性が確保され、熱抵抗は厚み方向の長さと肉厚により決定される。しかし、実際に適用する場合には実験により明らかにしておく必要がある。本実施例の熱伝導部材の計算による見積もりを行う。インコネルの熱伝導率は12W/mK、肉厚は0.3mm、厚み方向長さは16mm、直径は210mmであり、熱抵抗は6.7K/Wとなる。実際に評価すると熱抵抗は6.4K/Wであり、ほぼ一致することがわかる。このことは、熱伝導部材の弾性により接触熱抵抗が無視できる程度に小さいことを示しており、肉厚、長さなどを管理すればほぼ所望の熱抵抗を実現できることになる。
【0038】
図10には熱コンダクタンスが0.3W/mKの熱伝導部材を適用した第二の実施例のヒートバランスを示す。この図から、セラミックス板の温度が400℃、300℃、200℃の場合、制御可能な入熱量はそれぞれ921W、477W、202Wに増加していることがわかる。
【0039】
したがって本実施例によれば、セラミックス板と冷却ジャケット間に熱で伝導部材を挟みこんでいるので、第一の実施例と同様に単純な構造で202W以下の入熱であれば200℃から500℃といった高温で広い温度範囲にわたり均一な温度分布を実現できる。
【0040】
また、本実施例の熱伝導部材の形状はリング状とし、冷却ジャケットの中心軸に対して同軸に配置した構造としていたが、これは伝熱の分布が軸対象になるように考慮したためであるが、必ずしもこの構造に限られるわけではない。例えば小さなリング状の熱伝導部材を複数個配置するようなものであってもよい。重要なのは、熱伝導部材が厚み方向に弾性を有し、熱抵抗が管理されていることである。なお、熱伝導部材を実施例のように外周付近に配置すると、反応生成物やデポ性のあるガスのまわりこみが少なくなるために、装置のクリーニング回数が減る、寿命が延びるといった効果も期待できる。
【0041】
図11に本実施例のウエハ処理装置を用いてウエハを保持した場合の、ウエハ面内温度分布の測定結果を示す。ウエハ温度の測定はセンサレージャパン製熱電対埋め込みウエハを用いて測定した。この図から、ウエハ平均温度が283℃から414℃まで±7℃以内とほぼ均一な温度分布を実現できていることがわかる。
【0042】
図12に本発明の第3の実施例の冷却ジャケットの表面を示す。本実施例では、第二の実施例の熱伝導部材を2個導入するために、溝25の内側に溝26を追加した構造としている。この例は、ウエハへの入熱が第二の実施例よりも更に大きい場合、熱伝導部材の伝熱能力をさらに向上させるのに有効な手段である。図13には、熱伝導部材の肉厚、形状をみなおし、さらに熱伝導部材を2個とし、熱コンダクタンスを1W/Kとした場合のヒートバランスを示す。この図から、セラミックス板の温度が400℃、300℃、200℃の場合、制御可能な入熱量はそれぞれ1168W、652W、307Wに増加していることがわかる。
【0043】
以上、実施例では熱伝導部材の表面は特に処理していなかったが、表面をNiメッキや金メッキなど軟金属でメッキすればセラミックス板と冷却ジャケットとの接触状態の再現性が更に増す効果がある。
【0044】
以上、本発明の実施例ではウエハステージの対向面であるベルジャを70℃から120℃で温調していた場合を説明したが、必ずしも温調する必要があるわけではない。温調を実施しない場合、処理装置の構造が単純になりコストも低減する効果が期待できる。しかし、その場合、処理枚数の増加に伴いベルジャの温度も上昇していき、ベルジャへの輻射による排熱の効果が低減し、前述した制御可能なウエハバイアス電力が低下する。また、反応性生物がベルジャ内壁に付着するような条件では、デポ状態が変化するためエッチング特性が変化するなどの問題もあり、温調を実施するかしないかは実施者の判断にゆだねられるべきものである。
【0045】
以上、本発明の実施例ではウエハを固定するための静電チャックは内部電極が単極のいわゆるモノポール方式と呼ばれるものであったが、必ずしもこれだけに限定されるべきものではない。すなわち、静電チャック用の内部電極として独立した2個の電極を有するいわゆる双極方式と呼ばれる方式であってもよい。この方式では、内部に2個の電極を必要とするので構造が複雑となる、電源が2個必要となるなどの欠点はあるものの、プラズマが無くともウエハを吸着することができ、プラズマ処理を開始する前からウエハ裏面に冷却ガスを導入することができるため温度制御性に優れるという利点がある。
【0046】
以上、本発明の実施例の処理装置ではプラズマ源は誘導結合方式のプラズマであるが、必ずしもこの方式に限定されるべきものではない。例えば、平行平板方式のプラズマ源であってもよいし、UHF帯電磁波放射放電方式やマイクロ波方式、あるいは数10MHzから300MHz程度までのVHF帯を用いたプラズマ方式でもよい。これ以外にも、たとえば磁場を用いたマグネトロン型のプラズマ処理装置などであってもよい。これの方式の内、いずれのプラズマ現を採用するかは、実際に処理すべき材料の特性にあったものを採用すべきものであり、適宜選択すればよい。以上のように上記実施例によれば、非常に単純な構成で200℃から500℃といった高温までの広い範囲にわたりウエハを均一な温度分布で、処理中も温度変動を少なく保持することができる。したがって、通常のプロセスではエッチングすることができないような不揮発性材料もエッチング処理することができるようになる。
【0047】
【発明の効果】
以上のように本発明によれば、広い温度範囲でウエハの温度分布を均一に保つことのできるウエハ処理装置を提供できる。
【図面の簡単な説明】
【図1】本発明の第一の実施例のウエハ処理装置。
【図2】本発明の第一の実施例のウエハステージを示す図。
【図3】本発明の実施例のヒートバランスのモデルを示す図。
【図4】本発明の第一のヒートバランスを示す図。
【図5】本発明の第一の実施例の冷却ジャケット表面に黒色塗料を塗布した場合のヒートバランスを示す図。
【図6】本発明の第二の実施例を示す図。
【図7】本発明の第二の実施例の冷却ジャケットの斜視図。
【図8】本発明の第二の実施例の熱伝導部材を示す図。
【図9】本発明の第二の実施例の熱伝導部材の断面図。
【図10】本発明の第二の実施例のヒートバランスを示す図。
【図11】本発明の第二の実施例のウエハ温度分布を示す図。
【図12】本発明の第三の実施例の冷却ジャケットの斜視図。
【図13】本発明の第三の実施例のヒートバランスを示す図。
【符号の説明】
1…ウエハ、2…ウエハステージ、3…流量制御器、4…ゲートバルブ、5…高周波電源、6…プラズマ、7…コイル、8…高周波電源、9…真空チャンバ、10…ベルジャ、11…エッチングガス、12…バルブ、13…ターボ分子ポンプ、14…冷却ジャケット、15…セラミックス板、16…ヒータ、17…内部電極、、19…給電ライン、20…シャフト、21…コイル、22…直流電源、23…スペーサ、24…熱伝導部材、25…溝、26…溝、27…ファン、28…ヒータ出力、29…貫通穴、30…フレキシブルな水配管、31…冷却ジャケットへの輻射伝熱、32…プッシャピン、33…ベルジャへの輻射伝熱、34…プラズマ入熱、35…ベローズ、36…ジルコニアセラミックス製ボルト、38…輻射断熱材、39…貫通穴、41…ソケット、42…電気プラグ、46…溝、52…シール、53…くぼみ、54…貫通穴、55…シース熱電対、56…鞘、57…コイルバネ、58…おさえ
[0001]
BACKGROUND OF THE INVENTION
The present invention belongs to semiconductor manufacturing technology. In particular, the present invention relates to a processing apparatus for processing a wafer at a high temperature and a wafer stage provided in the processing apparatus.
[0002]
[Prior art]
Known examples (patent publication or literature)
"Japanese Patent Laid-Open No. 11-87245"
Ruthenium, its oxide, platinum and the like are promising candidates for materials used for capacitor electrodes of next-generation semiconductor devices because of their compatibility with capacitor dielectric films having a high dielectric constant. In addition, zirconium oxide, hafnium oxide, etc. have been studied as gate insulating films in place of silicon oxide, and PZT (compound of platinum, zirconium, and titanium), BST (compound of barium, strontium, and titanium) have been studied as capacitor films. Yes. In this way, it is considered to use various new materials in the future semiconductor devices, but these new materials are thermally and chemically stable, extremely low in volatility, and are called non-volatile materials. Yes.
[0003]
In etching processing of these nonvolatile materials, it is essential to keep the temperature of the wafer being processed at a high temperature. That is, in the conventional etching processing apparatus, the temperature of the wafer is generally from a low temperature of about −50 ° C. to about 100 ° C. However, at such a temperature, the wafer is not etched because it is chemically very stable. Non-volatile materials need to be processed at a high temperature of 200 ° C. to 500 ° C.
[0004]
Therefore, recently, a processing apparatus capable of processing a wafer at a high temperature has become necessary, and in order to realize such a processing apparatus, not only the wafer can be heated to a high temperature but also when there is heat input from plasma. There is a need for a wafer stage that can control the temperature with high responsiveness without deteriorating the temperature distribution of the wafer.
[0005]
A method for managing the temperature of the wafer being processed to a high temperature with good controllability is disclosed in Japanese Patent Laid-Open No. 11-87245. In this example, a substrate holder for holding a wafer joins a plurality of blocks made of the same or different kinds of metal including a heating block containing a heater for heating the wafer with diffusion bonding with good thermal conductivity and confidentiality.
[0006]
[Problems to be solved by the invention]
However, in the disclosed example of “Japanese Patent Laid-Open No. 11-87245”, the electrostatic adsorption block that holds the wafer, the heat conducting block, the heating block, and the cooling block are integrated by diffusion bonding. Although it is small and excellent in controllability, for example, when the electrostatic chuck block reaches the end of its life and needs to be replaced, it is necessary to replace the entire substrate holder, and there is a problem that the work becomes large and the replacement cost is high.
[0007]
In addition, since the heat from the heater flows directly into the cooling block, there is also a problem that the power supplied to the heater during operation at a high temperature is large.
[0008]
Furthermore, the heat distribution due to radiation that affects the temperature distribution of the wafer being processed is not taken into account, and thus the temperature distribution of the wafer tends to deteriorate. Even in the embodiment, the heater is divided into the center and the outer periphery in order to improve the distribution. A method for supplying power independently is disclosed. However, in this case, there is a problem that the cost of the apparatus increases.
[0009]
An object of the present invention is to maintain a uniform temperature distribution of a wafer in a wide temperature range from 200 ° C. to about 500 ° C., and to remove the heat input to the wafer when processing with plasma. It is an object to provide a wafer stage and a wafer processing apparatus that can suppress a temperature rise.
[0010]
[Means for Solving the Problems]
The above object is a wafer processing apparatus for processing a semiconductor wafer held on a wafer stage disposed in a vacuum chamber whose inside is evacuated by using plasma formed in the vacuum chamber. The stage is placed with a metal cooling jacket in which a flow path for refrigerant flows is placed, and placed above the cooling jacket at a predetermined distance from the upper surface of the cooling jacket, and high-frequency power is supplied to the inside. and ceramic plate-like member and the electrode and the heater is arranged to be, a spacer for forming a space at a the distance between the cooling jacket top the plate-like member is placed on the said cooling jacket And a hole for supplying heat transfer gas between the wafer and the back surface of the wafer in a state where the wafer is held in a state where the wafer is held, Wherein the serial space communicates with the vacuum chamber, heat transfer between the plate-like member and the cooling jacket by radiation heat transfer through the space is achieved by being carried out.
[0011]
DETAILED DESCRIPTION OF THE INVENTION
Embodiments of the present invention will be described below with reference to the drawings.
[0012]
1 and 2 show a first embodiment of the present invention. FIG. 1 is an example in which the wafer stage of the present invention is actually applied to a plasma processing apparatus, and FIG. 2 is an enlarged view of the wafer stage of the present invention.
[0013]
As shown in FIG. 1, an etching gas 11 is introduced into the vacuum chamber 9, and the inside of the chamber is maintained at an appropriate pressure by adjusting the opening of a valve 12 installed upstream of the turbo molecular pump 13. A bell jar 10 made of alumina is loaded on the top of the vacuum chamber, and a coil 7 is installed around the bell jar. The coil 7 is connected to a high frequency power source 8 and a high frequency voltage, for example, 13.56 MHz, is applied to both ends of the coil to generate inductively coupled plasma 6. A plurality of fans 27 are attached around the bell jar, and the temperature of the bell jar is kept constant (about 70 ° C. to 120 ° C.). Etching is performed by exposing the wafer 1 to this plasma. During processing, the wafer is loaded on the wafer stage 2 and the temperature is controlled.
[0014]
A high frequency power source 5 is connected to the wafer stage in order to apply a bias voltage to the wafer. A DC power supply 22 is connected to the high-frequency voltage power supply line 19 in order to give an electrostatic chuck function to the wafer stage. In the figure, the other numbers, 3 is a flow controller for controlling the flow rate of the etching gas, and 4 is a gate valve, which is opened when the wafer is transferred, and allows the transfer arm (not shown) to move forward and backward.
[0015]
In this embodiment, the space is constituted by a gap 37 formed on the surface of the cooling jacket 14 facing the ceramic plate 15 in a state where the cooling jacket 14 and the ceramic plate 15 are attached to form a layer structure. Further, the cooling jacket 14 and the ceramic plate 15 have a configuration in which a zirconia spacer 23 is sandwiched between the cooling jacket 14 and the ceramic plate 15 and the zirconia bolts 36 are fixed between them. The distance formed by the space formed by the gap 37 needs to be adjusted precisely in order to adjust the temperature of the wafer stage 2 with good responsiveness or to reproduce it with high accuracy. The shape of is important.
[0016]
In the cooling jacket 14 of the present embodiment, the projection provided around the hole connected to a through hole 29 (described later) provided in the central portion of the cooling jacket 14 in a state where it is combined with the ceramic plate 15 has a ceramic plate 15. The spacer 23 contacts the upper surface of the cooling jacket 14 and the lower surface of the ceramic plate 15 at the outer periphery of the cooling jacket 14. The convex portion is provided with a seal member for maintaining a hole continuous with the through hole 29 in an airtight manner with the gap 37. Further, the space formed by the gap 37 is evacuated and depressurized in the vacuum chamber 9 for processing, and the vacuum chamber 9 is maintained at the same high degree of vacuum while being maintained at a high degree of vacuum. A communication path (not shown) communicating with the inner space is provided. In this way, heat transfer due to radiation between the cooling jacket 14 and the ceramic plate 15 is suppressed by the fluid in the space, or the cooling jacket 14 and the ceramic plate 15 are more than necessary through the fluid in the space. It suppresses the transmission of heat. Further, the air may be exhausted by exhaust means that is in direct communication with the space defined by the gap 37. When the amount of heat conduction is increased, a fluid for heat conduction may be allowed to flow through this space and discharged as necessary.
[0017]
The reason why the material of the bolt for fixing the ceramic plate is zirconia is that the thermal conductivity is as low as about 3 W / mK, and the fracture toughness value is large and the mechanical strength is excellent. is there. Accordingly, there is an advantage that the amount of heat that escapes to the cooling jacket via the bolt can be suppressed, and the temperature distribution of the wafer can be prevented from being locally deteriorated. However, it is not necessarily limited to zirconia, and other ceramic bolts or metallic bolts can be used as long as they can achieve the required performance.
[0018]
The material of the ceramic plate 15 is aluminum nitride having a high thermal conductivity, and a heater 16 is embedded therein. Therefore, the ceramic plate can be heated by supplying electric power to the heater 16. The reason why the ceramic plate is made of aluminum nitride is that since the thermal conductivity is high, it is difficult to cause a temperature difference in the surface and the temperature distribution of the wafer can be prevented from deteriorating. However, it is not always necessary to use aluminum nitride, and other materials may be used.
[0019]
An internal electrode 17 for embedding an electrostatic chuck function and an RF bias on the wafer stage is embedded on the heater inside the ceramic plate. When a DC voltage is applied to the internal electrode, a potential difference is generated between the internal electrode and the wafer 1 (the wafer is exposed to plasma and is almost at ground potential), and electric charges are stored between the internal electrode and the back surface of the wafer. The wafer is fixed to the ceramic plate by suction. In addition to the DC voltage, a high-frequency voltage for applying bias power to the wafer is applied to the internal electrode. The high frequency power source 5 of FIG. 1 plays this role. In terms of electric circuit, a DC power supply 22 for electrostatic chuck is connected to the power supply line 19 via a coil 21. In this embodiment, the hollow shaft 20 provided in the support member corresponds to the power supply line. If a high frequency voltage is applied to the internal electrode, a bias voltage can be applied to the wafer, so that ions in the plasma can be effectively drawn, and the etching rate is increased and the etching shape is improved. You can expect.
[0020]
55 is a sheath thermocouple for measuring the temperature of the ceramic plate. A through hole 54 is provided in a part of the cooling jacket, and a recess 53 is provided on the back surface of the ceramic plate corresponding to the through hole position. The sheath thermocouple 55 is inserted so that the tip comes into contact with the bottom surface of the recess. Since the temperature to be measured changes when the contact state of the tip changes, in this embodiment, a sheath 56 is provided on the thermocouple, and a coil spring 57 is placed on the sheath, and the whole is fixed to the cooling jacket by the presser 58. is doing. Therefore, even if the attachment state of the ceramic plate changes somewhat, the contact pressure between the tip of the sheath thermocouple and the ceramic plate is always substantially constant, and a temperature measurement method with good reproducibility can be provided. The power supplied to the heater is controlled based on this temperature information.
[0021]
Electric power is supplied to the heater through a through hole 39 provided in the cooling jacket 14. A socket 41 that is electrically connected to the heater is built in the ceramic plate, and an electrical plug 42 that is connected to an external power source is disposed in this socket. In the present embodiment, only one heater power supply unit is described, but in actuality, connectors having opposite polarities are necessary, so there are two power supply units.
[0022]
Reference numeral 38 denotes a radiation heat insulating material for reducing heat escape from the outer periphery of the ceramic plate. The surface is chrome plated. If a radiation heat insulating material is provided, the radiation heat to the inner wall of the vacuum chamber can be suppressed to less than half, and the in-plane temperature distribution of the wafer stage can be prevented from deteriorating.
[0023]
Also, in order to effectively transfer the heat incident on the wafer being processed to the wafer stage and improve the controllability of the wafer temperature, it is necessary to introduce a heat transfer gas such as helium gas between the wafer back surface and the ceramic plate. is there. In this embodiment, the internal electrode is supplied through a hollow shaft built in a support member that applies a high frequency voltage and a direct current voltage. That is, helium gas is introduced into the back surface of the wafer from a through hole 29 provided at the center of the ceramic plate.
[0024]
A groove 46 for circulating the refrigerant is provided inside the cooling jacket. In this embodiment, the coolant uses cooling water installed in a clean room. The flexible piping 30 is used for pouring and draining the cooling water into the groove. This is because the entire wafer stage moves up and down as described later. In the figure, only the inlet of the cooling water is shown, and the return side is omitted. In the present embodiment, water is used as the refrigerant, but it is not necessarily limited to water. For example, it is possible to use a fluorocarbon refrigerant such as Fluorinert or Galden. However, when water is used, the heat transfer rate between the parts that circulate the refrigerant (in this example, the cooling jacket) is large, so the amount of heat transfer between the ceramic plates when the pressure between the gaps is the same. There is a merit that can be taken greatly. Conversely, the pressure required to secure the same amount of heat transfer is low. This is a great advantage in designing the apparatus because the sealing conditions for helium are relaxed.
[0025]
The wafer is transferred by moving the wafer stage 2 up and down by an expansion / contraction operation of the bellows 35 by an up / down mechanism (not shown), and peeling the wafer with the fixed pusher pins 32.
[0026]
When the wafer is processed by applying a bias voltage in this way, the temperature of the wafer rises due to heat input from the plasma. If the amount of heat input is small, it may not be a problem. However, in the semiconductor manufacturing process, the etching characteristics deteriorate if the wafer temperature is not properly controlled. Therefore, in order to keep the temperature of the wafer high, the ceramic plate is heated by the heater when there is no heat input from the plasma, and the electric power supplied to the heater is supplied when the processing starts and there is heat input from the plasma. It is necessary to reduce the heat incident on the wafer. As a method for this, in this embodiment, heat exchange is performed between the ceramic plate and the cooling jacket by radiant heat transfer. First, the heat balance of the Example which becomes the basis of the idea of a present Example is demonstrated based on FIG.
[0027]
In FIG. 3, 28 is the output of the heater, 31 is the radiant heat transfer to the cooling jacket, and 33 is the radiant heat transfer to the bell jar which is the opposite surface of the wafer stage. Although there is heat conduction through a zirconia screw for fixing the wafer stage to the cooling jacket, as described above, the heat transfer coefficient is small and can be ignored in this embodiment, so it is omitted here. The heat input from the plasma being processed is 34. First, in order to hold the wafer at a high temperature such as 200 ° C. to 500 ° C., it is necessary to heat the wafer stage from 200 ° C. to 500 ° C. In this case, it is necessary to satisfy the expression (1) in the steady state.
Heater output = radiant heat transfer to the cooling jacket + radiant heat transfer to the bell jar (1)
[0028]
On the other hand, when there is heat input to the wafer stage during plasma processing, it is necessary to satisfy the equation (2) in order to keep the temperature of the wafer stage constant.
Heater output + plasma heat input =
Radiant heat transfer to the cooling jacket + radiant heat transfer to the bell jar (2)
[0029]
That is, the temperature of the wafer stage can be kept constant by operating with the heater output of (1) before the start of processing and reducing the heater output by the amount of plasma heat input after the start of processing. In other words, if the amount of plasma heat input is greater than the amount of radiant heat transferred to the cooling jacket and bell jar, it means that even if the heater power is 0 W, the wafer temperature rises and cannot be controlled. FIG. 4 shows the calculation result of the heat balance of this example. From this figure, it can be seen that when operating at 400 ° C., the heater output without plasma heat input is 501 W, so that the allowable plasma heat input is 501 W. In the case of 300 ° C. and 200 ° C., the amount of heat transfer due to radiation is reduced, so that it becomes 246 W and 101 W, respectively.
[0030]
Therefore, according to the present embodiment, the wafer stage is fixed to the cooling jacket with zirconia bolts, and heat is exchanged with the cooling jacket and the bell jar by radiant heat transfer. Therefore, if the heat input to the wafer is 101 W or less, the structure is simple. Thus, a wafer stage capable of realizing a uniform temperature distribution over a wide temperature range at a high temperature of 200 to 400 ° C. can be supplied.
[0031]
Next, a method for increasing the heat input to the controllable wafer more than the above example will be described. In the first embodiment, the material of the cooling jacket was stainless steel, and the surface facing the ceramic plate was simply a machined surface. The emissivity of the surface was 0.3. The emissivity can be measured by a direct method in which the sample is heated to measure the emissivity, or by an indirect method in which calculation is performed based on the spectral reflectance obtained by measuring the reflection spectrum using FTIR. If the surface is coated with a black paint for the purpose of increasing this emissivity and increasing the amount of radiant heat transfer to the cooling jacket, the amount of radiant heat transfer of the ceramic plate can be increased. FIG. 5 shows the heat balance when the emissivity is 0.9. From this figure, it can be seen that when the temperature of the ceramic plate is 400 ° C., 300 ° C., and 200 ° C., the power that can be applied is increased to 818 W, 403 W, and 157 W.
[0032]
In addition, a method of increasing the surface area by providing irregularities on the surface of the cooling jacket, and a method of forming black alumite when the material of the cooling jacket is aluminum are also conceivable. However, what is important is to increase the emissivity of the surface of the cooling jacket, and means for doing so do not limit the scope of the invention.
[0033]
FIG. 6 shows a second embodiment of the present invention.
In this embodiment, the ring-shaped heat conducting member 24 using Inconel is adjusted so that the temperature of the wafer 1 can be adjusted even if the amount of heat input to the wafer 1 is increased as compared with the first embodiment. The structure is sandwiched between a ceramic plate and a cooling jacket. The cooling jacket is provided with a groove 25 for positioning the heat conducting member as shown in FIG. FIG. 8 is a perspective view of the heat conducting member, and FIG. 9 is a sectional view thereof.
[0034]
The heat conductive member of the present embodiment has a height larger than the height of the spacer 23 in a state before being attached, assuming that the vertical direction in FIGS. Thereby, in a state where the ceramic plate 15 and the cooling jacket 14 are attached, the upper end of the ceramic plate 15 and the lower end of the ceramic plate 15 and the cooling jacket 14 are in contact with each other. Further, when the ceramic plate 15 and the cooling jacket 14 are attached or removed, an elastic portion is provided which can be elastically expanded and contracted, and the force due to the expansion and contraction of the elastic portion causes the ceramic plate 15 and the cooling plate to cool the upper and lower ends. It is pressed against the jacket 14 for good contact and keeps the heat conduction resistance low. This elastic portion is connected so that heat conduction with the end portion is good, and in particular, in this embodiment, the elastic portion is integrally formed of the same member.
[0035]
Further, the elastic member is configured by bending or bending a plate material having a thickness sufficient to exhibit elasticity in a direction crossing the extending and contracting direction of the end portion. With such a configuration, when the ceramic plate is disposed in the groove of the cooling jacket and fixed to the cooling jacket, both ends of the heat conductive member come into contact with the ceramic plate and the cooling jacket with good reproducibility due to the elasticity in the thickness direction of the heat conducting member.
[0036]
In this embodiment, the cross-sectional shape of the heat conducting member is W-shaped as shown in FIG. 9, but other U-shaped and C-shaped cross-sectional shapes are also conceivable, but the height (thickness) is important. ) In the direction of elasticity. Since the purpose of the heat conducting member is to control the amount of heat transfer from the ceramic plate to the cooling jacket, the material characteristics are heat resistance, easy to process into thin walls, and the elasticity in the thickness direction after processing into thin walls is It is conceivable that the heat transfer amount expected by the heat resistance of the heat conducting member after processing can be ensured and the cost is low. Inconel is adopted as a material satisfying these conditions in this embodiment, but stainless steel is considered as a candidate.
[0037]
When there is elasticity in this way, reproducibility of the contact state of both ends of the heat conducting member is ensured, and the thermal resistance is determined by the length in the thickness direction and the thickness. However, in actual application, it is necessary to clarify by experiment. An estimate is made by calculation of the heat conducting member of this embodiment. Inconel has a thermal conductivity of 12 W / mK, a thickness of 0.3 mm, a length in the thickness direction of 16 mm, a diameter of 210 mm, and a thermal resistance of 6.7 K / W. When actually evaluated, the thermal resistance is 6.4 K / W, and it can be seen that they almost coincide. This indicates that the contact thermal resistance is so small as to be negligible due to the elasticity of the heat conducting member. If the thickness, length, etc. are managed, a substantially desired thermal resistance can be realized.
[0038]
FIG. 10 shows the heat balance of the second embodiment in which a heat conducting member having a thermal conductance of 0.3 W / mK is applied. From this figure, it can be seen that when the temperature of the ceramic plate is 400 ° C., 300 ° C., and 200 ° C., the controllable heat input amounts are increased to 921 W, 477 W, and 202 W, respectively.
[0039]
Therefore, according to the present embodiment, since the conductive member is sandwiched between the ceramic plate and the cooling jacket by heat, if the heat input is 202 W or less with a simple structure as in the first embodiment, the temperature is 200 ° C. to 500 ° C. A uniform temperature distribution can be realized over a wide temperature range at a high temperature such as ° C.
[0040]
In addition, the shape of the heat conducting member of the present embodiment was a ring shape and was arranged coaxially with respect to the central axis of the cooling jacket. This is because the heat transfer distribution was taken into consideration so as to be an axial object. However, it is not necessarily limited to this structure. For example, a plurality of small ring-shaped heat conducting members may be arranged. What is important is that the heat conducting member has elasticity in the thickness direction and the thermal resistance is controlled. If the heat conducting member is arranged near the outer periphery as in the embodiment, the entrapment of reaction products and deposition gas is reduced, so that the effect of reducing the number of cleaning of the apparatus and extending the life can be expected.
[0041]
FIG. 11 shows the measurement result of the temperature distribution in the wafer surface when the wafer is held using the wafer processing apparatus of this embodiment. The wafer temperature was measured using a thermocouple embedded wafer manufactured by Sensory Japan. From this figure, it can be seen that a substantially uniform temperature distribution can be realized, with the wafer average temperature being within ± 7 ° C. from 283 ° C. to 414 ° C.
[0042]
FIG. 12 shows the surface of the cooling jacket of the third embodiment of the present invention. In this embodiment, in order to introduce the two heat conducting members of the second embodiment, the groove 26 is added inside the groove 25. This example is an effective means for further improving the heat transfer capability of the heat conducting member when the heat input to the wafer is even greater than in the second embodiment. FIG. 13 shows the heat balance when the thickness and shape of the heat conducting member are all considered, two heat conducting members are provided, and the thermal conductance is 1 W / K. From this figure, it can be seen that when the temperature of the ceramic plate is 400 ° C., 300 ° C., and 200 ° C., the controllable heat input amounts are increased to 1168 W, 652 W, and 307 W, respectively.
[0043]
As described above, the surface of the heat conducting member is not particularly treated in the embodiment, but if the surface is plated with a soft metal such as Ni plating or gold plating, the reproducibility of the contact state between the ceramic plate and the cooling jacket is further increased. .
[0044]
As described above, in the embodiment of the present invention, the case where the temperature of the bell jar, which is the opposite surface of the wafer stage, is adjusted from 70 ° C. to 120 ° C. is described, but it is not always necessary to adjust the temperature. When temperature control is not performed, the structure of the processing apparatus is simplified and the effect of reducing costs can be expected. However, in that case, the temperature of the bell jar also rises as the number of processed sheets increases, the effect of exhaust heat due to radiation to the bell jar is reduced, and the controllable wafer bias power is reduced. In addition, under conditions where reactive organisms adhere to the inner wall of the bell jar, there are also problems such as changes in etching characteristics due to changes in the deposit state, and it is up to the operator to decide whether or not to adjust the temperature. Is.
[0045]
As described above, in the embodiments of the present invention, the electrostatic chuck for fixing the wafer is a so-called monopole system in which the internal electrode is a single electrode. However, the electrostatic chuck is not necessarily limited to this. That is, a so-called bipolar system having two independent electrodes as internal electrodes for the electrostatic chuck may be used. Although this method requires two electrodes inside, the structure is complicated, and there are drawbacks such as the need for two power supplies. However, even without plasma, the wafer can be adsorbed and plasma processing can be performed. Since the cooling gas can be introduced to the back surface of the wafer before the start, there is an advantage of excellent temperature controllability.
[0046]
As described above, in the processing apparatus according to the embodiment of the present invention, the plasma source is inductively coupled plasma, but it is not necessarily limited to this method. For example, a parallel plate type plasma source may be used, a UHF band electromagnetic wave radiation discharge system, a microwave system, or a plasma system using a VHF band from several tens of MHz to about 300 MHz may be used. Other than this, for example, a magnetron type plasma processing apparatus using a magnetic field may be used. Of these methods, which plasma method to use is selected according to the characteristics of the material to be actually processed, and may be appropriately selected. As described above, according to the above-described embodiment, the wafer can be maintained at a uniform temperature distribution over a wide range from 200 ° C. to 500 ° C. with a very simple configuration, and the temperature fluctuation can be maintained even during processing. Therefore, a nonvolatile material that cannot be etched by a normal process can be etched.
[0047]
【The invention's effect】
As described above, according to the present invention, it is possible to provide a wafer processing apparatus capable of maintaining a uniform temperature distribution of a wafer in a wide temperature range.
[Brief description of the drawings]
FIG. 1 shows a wafer processing apparatus according to a first embodiment of the present invention.
FIG. 2 is a view showing a wafer stage according to a first embodiment of the present invention.
FIG. 3 is a diagram showing a heat balance model of an embodiment of the present invention.
FIG. 4 is a view showing a first heat balance of the present invention.
FIG. 5 is a diagram showing heat balance when a black paint is applied to the surface of the cooling jacket of the first embodiment of the present invention.
FIG. 6 is a diagram showing a second embodiment of the present invention.
FIG. 7 is a perspective view of a cooling jacket according to a second embodiment of the present invention.
FIG. 8 is a view showing a heat conducting member according to a second embodiment of the present invention.
FIG. 9 is a cross-sectional view of a heat conducting member according to a second embodiment of the present invention.
FIG. 10 is a diagram showing the heat balance of the second embodiment of the present invention.
FIG. 11 is a view showing a wafer temperature distribution according to the second embodiment of the present invention.
FIG. 12 is a perspective view of a cooling jacket according to a third embodiment of the present invention.
FIG. 13 is a diagram showing the heat balance of the third embodiment of the present invention.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 1 ... Wafer, 2 ... Wafer stage, 3 ... Flow controller, 4 ... Gate valve, 5 ... High frequency power supply, 6 ... Plasma, 7 ... Coil, 8 ... High frequency power supply, 9 ... Vacuum chamber, 10 ... Berja, 11 ... Etching Gas, 12 ... Valve, 13 ... Turbo molecular pump, 14 ... Cooling jacket, 15 ... Ceramic plate, 16 ... Heater, 17 ... Internal electrode, 19 ... Feed line, 20 ... Shaft, 21 ... Coil, 22 ... DC power supply, 23 ... Spacer, 24 ... Heat conducting member, 25 ... Groove, 26 ... Groove, 27 ... Fan, 28 ... Heater output, 29 ... Through hole, 30 ... Flexible water piping, 31 ... Radiation heat transfer to cooling jacket, 32 ... pusher pins, 33 ... radiation heat transfer to the bell jar, 34 ... plasma heat input, 35 ... bellows, 36 ... zirconia ceramic bolts, 38 ... radiation insulation, 39 ... Throughbore, 41 ... socket 42 ... electric plug, 46 ... groove, 52 ... seal, 53 ... depression, 54 ... through hole, 55 ... sheath thermocouple, 56 ... sheath, 57 ... coil spring, 58 ... presser

Claims (4)

内部が排気される真空チャンバ内に配置されたウエハステージ上に保持された半導体ウエハをこの真空チャンバ内に形成されたプラズマを用いて処理するウエハ処理装置であって、
前記ウエハステージは、その内部に冷媒が流れる流路が配置された金属製の冷却ジャケットと、この冷却ジャケットの上方でこの冷却ジャケット上面と所定の距離をあけて載せられて配置され内部に高周波電力が供給される電極とヒータとが配置されたセラミックス製の板状部材と、前記冷却ジャケット上面に載せられて前記板状部材と前記冷却ジャケットとの間に前記距離をあけて空間を形成するためのスペーサーと、前記ウエハが保持される面上に配置されこのウエハが保持された状態でウエハ裏面との間に伝熱ガスを供給する穴とを備え、前記空間が前記真空チャンバ内と連通され、前記空間を介した輻射の伝熱により前記冷却ジャケットと前記板状部材との間の熱の移動が行われるウエハ処理装置。
A wafer processing apparatus for processing a semiconductor wafer held on a wafer stage disposed in a vacuum chamber whose inside is evacuated by using plasma formed in the vacuum chamber,
The wafer stage has a metal cooling jacket in which a flow path through which a refrigerant flows is disposed, and is placed on the cooling jacket with a predetermined distance above the cooling jacket and disposed at a predetermined distance. A ceramic plate-like member in which an electrode and a heater are disposed, and a space is formed between the plate-like member and the cooling jacket placed on the upper surface of the cooling jacket with the distance therebetween And a hole for supplying a heat transfer gas between the spacer and a back surface of the wafer in a state where the wafer is held, and the space communicates with the inside of the vacuum chamber. A wafer processing apparatus in which heat is transferred between the cooling jacket and the plate-like member by heat transfer of radiation through the space.
請求項1に記載のウエハ処理装置であって、前記空間を構成する前記冷却ジャケットの表面に凹凸が形成されたウエハ処理装置。2. The wafer processing apparatus according to claim 1, wherein unevenness is formed on a surface of the cooling jacket constituting the space. 真空チャンバ内に配置され処理対象のウエハをその上に保持するウエハステージであって、その内部に冷媒が流れる流路が配置された金属製の冷却ジャケットと、この冷却ジャケットの上方でこの冷却ジャケット上面と所定の距離をあけて載せられて配置され内部に高周波電力が供給される電極とヒータとが配置されたセラミクス製の板状部材と、この板状部材と前記冷却ジャケットとの間に前記距離をあけて空間を形成するための手段と、前記ウエハが保持される面上に配置されこのウエハが保持された状態でウエハ裏面との間に伝熱ガスを供給する穴とを備え、前記空間が前記真空チャンバ内と連通され、前記空間を介した輻射の伝熱により前記冷却ジャケットと前記板状部材との間の熱の移動が行われるウエハステージ。A wafer stage which is disposed in a vacuum chamber and holds a wafer to be processed thereon, a metal cooling jacket having a flow path through which a coolant flows, and a cooling jacket above the cooling jacket A ceramic plate-like member in which an electrode and a heater, which are placed with a predetermined distance from the upper surface and are supplied with high-frequency power, and a heater are disposed between the plate-like member and the cooling jacket. Means for forming a space at a distance, and a hole for supplying heat transfer gas between the wafer rear surface and the wafer disposed on the surface on which the wafer is held, A wafer stage in which a space communicates with the inside of the vacuum chamber, and heat is transferred between the cooling jacket and the plate-like member by heat transfer of radiation through the space. 請求項3に記載のウエハステージであって、前記空間を構成する前記冷却ジャケットの表面に凹凸を形成したウエハステージ。 4. The wafer stage according to claim 3, wherein irregularities are formed on a surface of the cooling jacket constituting the space .
JP2002247998A 2002-08-28 2002-08-28 Wafer processing apparatus and wafer stage Expired - Fee Related JP3913643B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002247998A JP3913643B2 (en) 2002-08-28 2002-08-28 Wafer processing apparatus and wafer stage

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002247998A JP3913643B2 (en) 2002-08-28 2002-08-28 Wafer processing apparatus and wafer stage

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2003052576A Division JP3908678B2 (en) 2003-02-28 2003-02-28 Wafer processing method

Publications (2)

Publication Number Publication Date
JP2004087869A JP2004087869A (en) 2004-03-18
JP3913643B2 true JP3913643B2 (en) 2007-05-09

Family

ID=32055483

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002247998A Expired - Fee Related JP3913643B2 (en) 2002-08-28 2002-08-28 Wafer processing apparatus and wafer stage

Country Status (1)

Country Link
JP (1) JP3913643B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008218738A (en) * 2007-03-05 2008-09-18 Espec Corp Thermal plate and test device
JP2016082216A (en) * 2014-10-09 2016-05-16 東京エレクトロン株式会社 Temperature control mechanism for workpiece, and method for selectively etching nitride film from multilayer film
KR102019573B1 (en) * 2014-10-30 2019-09-06 도쿄엘렉트론가부시키가이샤 Substrate placing table
KR20210060042A (en) 2019-11-18 2021-05-26 캐논 톡키 가부시키가이샤 Film forming apparatus, film forming method and electronic device manufacturing method using the same
KR20210061125A (en) 2019-11-19 2021-05-27 캐논 톡키 가부시키가이샤 Cooling jacket, film forming apparatus, film forming method and electronic device manufacturing method using the same
KR102607844B1 (en) * 2020-07-10 2023-11-30 세메스 주식회사 Apparatus for treating substrate and unit for supporting substrate
JP7462580B2 (en) * 2021-01-21 2024-04-05 日本特殊陶業株式会社 Composite member and holding device
CN115287745B (en) * 2022-08-12 2023-11-24 曲靖晶澳光伏科技有限公司 Single crystal furnace

Also Published As

Publication number Publication date
JP2004087869A (en) 2004-03-18

Similar Documents

Publication Publication Date Title
JP3881908B2 (en) Plasma processing equipment
US7138606B2 (en) Wafer processing method
TWI702685B (en) Extreme uniformity heated substrate support assembly
TWI673823B (en) Ceramic heater and esc with enhanced wafer edge performance
US8282769B2 (en) Shower head and plasma processing apparatus having same
JP6345030B2 (en) Plasma processing apparatus and focus ring
JP6879915B2 (en) Gas Cooled Minimum Contact Area (MCA) Electrostatic Chuck (ESC) for Aluminum Nitride (ALN) PVD Process
KR100757545B1 (en) Upper electrode and plasma processing apparatus
TWI358785B (en)
KR100728312B1 (en) Electrostatic adsorber, wafer processing apparatus and plasma processing method
KR100939594B1 (en) Apparatus and method for substrate clamping in a plasma chamber
TWI488236B (en) Focusing ring and plasma processing device
TWI415213B (en) High temperature electrostatic chuck and method of using
TWI694750B (en) Plasma treatment device
JP4067858B2 (en) ALD film forming apparatus and ALD film forming method
US20040045813A1 (en) Wafer processing apparatus, wafer stage, and wafer processing method
US6022418A (en) Vacuum processing method
JP3908678B2 (en) Wafer processing method
JP4777790B2 (en) Structure for plasma processing chamber, plasma processing chamber, and plasma processing apparatus
JP3913643B2 (en) Wafer processing apparatus and wafer stage
WO2019236275A1 (en) Apparatus for suppressing parasitic plasma in plasma enhanced chemical vapor deposition chamber
TW202141681A (en) Substrate support
JP3446772B2 (en) Mounting table and decompression device
CN115315798A (en) High-temperature micro-area electrostatic chuck
KR20230085179A (en) Systems and methods for seasoning electrostatic chucks using dielectric seasoning films

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060425

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060829

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061024

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070131

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100209

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110209

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120209

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120209

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130209

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees