JP3722692B2 - Layout design change device - Google Patents

Layout design change device Download PDF

Info

Publication number
JP3722692B2
JP3722692B2 JP2000357137A JP2000357137A JP3722692B2 JP 3722692 B2 JP3722692 B2 JP 3722692B2 JP 2000357137 A JP2000357137 A JP 2000357137A JP 2000357137 A JP2000357137 A JP 2000357137A JP 3722692 B2 JP3722692 B2 JP 3722692B2
Authority
JP
Japan
Prior art keywords
circuit
delay
path
recalculation
timing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000357137A
Other languages
Japanese (ja)
Other versions
JP2002163313A (en
Inventor
大進 板垣
Original Assignee
Necマイクロシステム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Necマイクロシステム株式会社 filed Critical Necマイクロシステム株式会社
Priority to JP2000357137A priority Critical patent/JP3722692B2/en
Publication of JP2002163313A publication Critical patent/JP2002163313A/en
Application granted granted Critical
Publication of JP3722692B2 publication Critical patent/JP3722692B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置のレイアウト設計変更技術に係り、特に再配置配線の回数を極力減らすとともに、短TATにてタイミング調整を行えるようにしたレイアウト設計変更装置に関する。
【0002】
【従来の技術】
従来のタイミング調整のための再配置配線フローを図10を参照して説明する。図10を参照すると、従来技術では、まず、配置配線を行い(ステップS101)、その結果、タイミングエラーがある場合は(ステップS102のY)、または回路修正が完了していない場合(ステップS108のN)、ブロックの置き換えの判定を実行し(ステップS103)、ブロックの置き換えが必要と判断した場合(ステップS103のY)、タイミングエラーを起こしている通過パス上のブロックに対して回路修正を行うために、動作スピードや駆動能力の異なるブロックへの置き換えの処理(ステップS104)、タイミングエラーを起こしている通過パス上のブロックの削除(例えば、無駄なバッファの削除)の処理(ステップS107)を実行し、ステップS108の判定に戻ることで、回路を修正し、タイミング調整を行う。
【0003】
ブロックの置き換えが不要と判断した場合(ステップS103のN)、ブロックの追加の判定を実行し(ステップS105)、ブロックの追加が必要と判断した場合(ステップS105のY)、ブロックの追加(例えば、高駆動バッファの挿入やディレイブロックの挿入等)の処理(ステップS106)を実行し、タイミングエラーを起こしている通過パス上のブロックの削除(例えば、無駄なバッファの削除)の処理(ステップS107)を実行し、ステップS108の判定に戻ることで、回路を修正し、タイミング調整を行う。
【0004】
また、ブロックの追加が不要と判断した場合(ステップS105のN)、タイミングエラーを起こしている通過パス上のブロックの削除(例えば、無駄なバッファの削除)の処理(ステップS107)を実行し、ステップS108の判定に戻ることで、回路を修正し、タイミング調整を行う。
【0005】
回路修正が完了した場合(ステップS108のY)は、ステップS101→ステップS102の処理を行う。タイミングエラーがない場合は(ステップS102のN)、処理は終了となる。
【0006】
例えば、まず、配置配線の結果(ステップS101)、ステップS102にてタイミングエラーがあると判断された場合は、ステップS103及びステップS104にて動作スピードや駆動能力の異なるブロックへの置き換え、またはステップS105及びステップS106にて高駆動バッファの挿入を行い、タイミングエラーを起こしている通過パス上のブロックの削除(例えば、無駄なバッファの削除)の処理(ステップS107)を実行し、ステップS101に戻り変更箇所のみの再配置配線を行う。それでもタイミングエラーが残る場合は、ステップS105、ステップS106にてさらに高駆動バッファの挿入を行い、タイミングエラーを起こしている通過パス上のブロックの削除(例えば、無駄なバッファの削除)の処理(ステップS107)を実行し、再び、ステップS101に戻り、再配置配線を行う。その結果、バッファを挿入してもタイミング的に良い効果を得ることができず、反対に悪影響を及ぼすような場合は、ステップS107にて無駄なバッファの削除を行い、再びステップS101にて再配置配線を行う。以降、タイミングエラーが無くなるまでステップS103〜ステップS107での回路修正とステップS101の配置配線を繰り返す。
【0007】
【発明が解決しようとする課題】
しかしながら、上記従来のタイミング調整のための再配置配線の処理は、タイミング調整の妥当性判断が、配置配線を行わないとできないため、回路修正の精度が悪いと何度も配置配線を繰り返すことになり、多大なTAT(Turn Around Time:LSIの仕様決定から製品化までに要する時間)がかかってしまうという問題点があった。
【0008】
本発明は斯かる問題点を鑑みてなされたものであり、その目的とするところは、再配置配線の回数を極力減らすとともに、短TATにてタイミング調整を行えるようにしたレイアウト設計変更装置を提供する点にある。
【0009】
【課題を解決するための手段】
本発明のレイアウト設計変更装置は、配置配線手段と、パス遅延制約値を入力し、配置配線後の半導体装置の回路のパス遅延値を計算し前記パス遅延制約値を満足するかを検証するタイミング検証を行うとともにパス遅延を含むタイミング検証結果を出力するタイミング検証手段と、前記タイミング検証結果にタイミングエラーとなったパスが存在する場合には、半導体装置の回路を構成する各ブロックの入力容量、ゲート遅延値及び遅延係数の情報を少なくとも備えたライブラリと、前記タイミング検証結果と、前記タイミングエラーとなったパスに対する回路修正情報とを基に、前記タイミング検証結果から得られた回路修正前のパス遅延と、前記タイミングエラーとなったパスに対する回路修正情報に含まれる回路修正前後のブロックと、前記ライブラリから得られた当該ブロックのゲート遅延時間、遅延係数、及び入力容量の情報とから、回路修正後のブロックの負荷容量を見積もって、前記タイミングエラーとなったパスのパス遅延値の再計算を行う再計算手段と、前記再計算手段により再計算された結果、タイミング調整のための前記回路修正情報が妥当である場合には、当該回路修正情報にしたがって、実際の回路を修正する回路修正手段とを備え、前記回路修正手段によって修正を行った修正部分のみに対して前記配置配線手段によって配置配線を行うことを特徴とする。
また、前記再計算手段の再計算の結果が前記パス遅延制約値を満足しない場合は、新たな回路修正情報を前記再計算手段に入力し、前記タイミング検証結果に対してパス遅延値の再計算を行い、当該パス遅延制約値を満足するまで繰り返す繰り返し手段を有するようにすることができる。
また、前記再計算手段は、再計算結果を前記タイミング検証結果の出力書式にあわせて出力するようにすることができる。
また、設計者が回路修正案を作成する前に実際の回路を修正してしまった場合、修正前の回路情報及び修正後の回路情報の差分を抽出する抽出手段と、を有し、前記抽出手段により前記回路修正情報を作成するようにすることができる。
また、前記回路修正情報は、動作スピードや駆動能力の異なるブロックへの置き換え、バッファの追加及び削除のデータを含むようにすることができる。
【0010】
【発明の実施の形態】
本発明は、ASIC(特定顧客向けの専用LSI)の特にタイミングエラー発生時の再配置配線処理フローにおいて、タイミング調整のための回路修正内容を元のタイミング検証結果に直接反映させる手段を設けた点に特徴を有している。
【0011】
これにより、タイミング調整のための回路修正情報と元のタイミング検証結果からパス遅延を再計算し、再配置配線前にタイミング調整のための回路修正案の妥当性を確認することができるようになるので、再配置配線の回数を極力減らすことができるとともに、TAT(Turn Around Time:LSIの仕様決定から製品化までに要する時間)を短縮することができるようになるといった効果を奏する。以下、本発明の実施の形態を図面に基づいて詳細に説明する。
【0012】
(第1の実施の形態)
以下、本発明の第1の実施の形態を図面に基づいて詳細に説明する。図1は、本発明の第1の実施の形態に係るレイアウト設計変更方法のタイミング調整のための再配置配線フローの説明図である。
【0013】
図1を参照すると、本実施の形態では、まず、ステップS11において配置配線を行う。ステップS12を実行し、入力するパス遅延制約値を基にタイミング検証を行う。その後に、タイミングエラーが発生しているかどうかを判定する(ステップS13)。
【0014】
ステップS13において、タイミングエラーが発生したと判定した場合(ステップS13のY)、ステップS14(回路修正案入力の処理)を実行し、ステップS12において得られたタイミング検証結果とタイミング調整のための回路修正案を入力し、ステップS12から入力されるパス遅延制約値を記憶する。ステップS13において、タイミングエラーが発生していないと判定した場合(ステップS13のN)は処理を終了する。
【0015】
ここで、回路修正案は、動作スピードや駆動能力の異なるブロックへの置き換え、バッファの追加及び削除のデータを含み、設計者側にて作成するものとする。
【0016】
続いて、ステップS15(回路修正案に基づきライブラリを参照してパス遅延値を再計算する処理)を実行し、上記回路修正案を基に、ステップS12から得られたタイミング検証結果に対してパス遅延値の再計算を行う。このとき、ステップS15では各ブロックに関するライブラリを参照する。このライブラリには、各ブロックの入力容量、ゲート遅延値及び遅延係数が記されている。ステップS15に関しての詳細は図2を用いて後述することにして説明を進める。
【0017】
ステップS15の再計算の結果がパス遅延制約値を満足しない、すなわち、タイミング調整のための回路修正案が妥当でない場合は(ステップS16のN)、再びステップS14に戻って、パス遅延制約値を満足するまでステップS14→ステップS16の一連のループ処理を繰り返す。
【0018】
そして、パス遅延制約値を満足したところで、タイミング調整のための回路修正案が妥当であると判断し(ステップS16のY)、上記回路修正案にしたがって、実際の回路を修正し(ステップS17:再配置配線用回路修正の処理)、その後に、修正部分のみの配置配線(ステップS11)を再び行い、ステップS12、ステップS13の処理を経て本動作が完了となる(ステップS11→ステップS12→ステップS13のN→終わり)。
【0019】
図2は、図1に示すステップS15の詳細なフローの説明図である。また、図3乃至図6は、ゲート間遅延の具体例である。図2を参照すると、本実施の形態のステップS15(回路修正案に基づきライブラリを参照してパス遅延値を再計算する処理)では、遅延値の再計算は、動作スピードや駆動能力の異なるブロックへの置き換え、ブロックの追加及び削除等の各処理によって多少異なるが、基本的に元の配線経路(配線容量)は変わらないことを前提とする。また、ステップS15の処理にて行う計算は、すべて以下の一般的な簡易遅延計算式を用いている。
【0020】
例えば、図3のゲートAとゲートBとの間の遅延値tABは以下のようになる。
【0021】
遅延値tAB=ゲートAのゲート遅延値+ゲートAの負荷容量×ゲートAの遅延係数
ゲートAの付加容量=配線容量+ゲートAがドライブする全てのブロックの入力容量(=ゲートBの入力容量)
【0022】
ステップS21でブロックの置き換えであると判定された場合(ステップS21のY)に実行されるステップS22(置換遅延値再計算の処理)はブロックの置き換え時の再計算処理である。例えば図4(a)に示すゲートBを図4(b)に示すゲートB’に置き換える場合は、上記簡易計算式よりゲートBの入力容量をゲートB’の入力容量に置き換え、ゲートBの遅延値tAB、遅延値tBC、配線長LAB、配線長LBC、遅延係数を、ゲートB’の遅延値tAB’、遅延値tB’C、配線長LAB’、配線長LB’C、遅延係数と置き換えて再計算する。
【0023】
ステップS21でブロックの置き換えでなく(ステップS21のN)、かつステップS23でブロックの削除であると判定された場合(ステップS23のY)に実行されるステップS24(削除遅延値再計算の処理)は、ブロックの削除時の再計算処理である。例えば図5に示すゲートBを削除する際は、図5(a)に示すように、遅延値tABを基に、配線容量+ゲートBの入力容量の値を抽出し、遅延値tBCを基に、配線容量+Cの入力容量の値を抽出し、両者の和からゲートBの入力容量を引いた値を用いて、図5(b)に示す遅延値tACを再計算する。
【0024】
ステップS23でブロックの削除でないと判定され(ステップS23のN)、かつ前段直後にゲートが追加されたと判断された場合(ステップS25のY)に実行されるステップS26(1回目の追加遅延値再計算の処理)、ステップS23でブロックの削除でないと判定され(ステップS23のN)、かつ後段直前にゲートが追加されたと判断された場合(ステップS27のY)に実行されるステップS28(2回目の追加遅延値再計算の処理)、ステップS23でブロックの削除でないと判定され(ステップS23のN)、前段直後にゲートが追加されていないと判断され(ステップS25のN)、かつ後段直前にゲートが追加されていないと判断された場合(ステップS27のN)に実行されるステップS29(3回目の追加遅延値再計算の処理)は、それぞれ、ブロックの追加時の再計算処理である。例えば図6(a)に示すゲートAとゲートBの間に、図6(b)に示すゲートXを追加する際は、ゲートXを追加する位置によって遅延値が異なる。
【0025】
具体的には、ゲートXをゲートAの直後に追加する場合は、配線長LAX=0、配線長LXB=配線長LABとして再計算する。また、ゲートBの直前に追加するならば、配線長LAX=配線長LAB、配線長LXB=0として再計算する。また、図6(b)に示すように、ゲートA、ゲートBの中間に追加するならば、配線長LAX=配線長LXB=配線長LAB/2として再計算する。
【0026】
ステップS30で全ての回路修正案について再計算したと判定された場合(ステップS30のY)に実行されるステップS31(パス遅延再計算の処理)では、上記回路修正案に含まれる全てのブロックの遅延値再計算が終了したところで、パスの再計算を行う。全ての回路修正案について再計算していないと判断された場合(ステップS30のN)は、ステップS21に戻る。
【0027】
次に、図2のパス遅延再計算の動作を図7に示すタイミング検証結果と図8に示す回路修正案を使用して説明する。図7に示すタイミング検証結果の各パラメータを説明すると、STEPはFF(フリップフロップ)間のパスに存在するブロックの段数、TOTALは始点から各ブロックまでの遅延値、IPIN(例えば、H03F)はブロックの入力ピン、OPIN(例えば、N01F)はブロックの出力ピン、INTRCON,IOPATHはブロック間遅延成分、BLK(例えば、SEL611NWやF434NC)はブロック名、INSTANCE(例えば、A)はブロックのインスタンス名である。
【0028】
本実施の形態では、ブロック間遅延は、ブロック間遅延成分INTRCON、ブロック間遅延成分IOPATHという成分に分けて記述してあり、両者の和をブロック間遅延として再計算を行う。なお、ここで示したタイミング検証結果はあくまでも一例であり、この書式に限定するものではない。
【0029】
いま、図1に示すステップS14において、図7に示すタイミング検証結果が入力され、パス遅延制約値が12nsであると仮定する。また、上記パス遅延制約値を満たすため、図8に示すインバータF101を高駆動インバータF145に置き換えるという回路修正案(図8)が設計者によって作成され、同様に図1に示すステップS14に入力されたと仮定する。上記回路修正案はどのブロックをどのように変更するかという内容を知るためのものであり、ここで示した書式に限定するものではない。
【0030】
次に、図1に示すステップS15を実行し、上記入力された回路修正案にしたがって上記タイミング検証結果に対してブロック間及びパスの遅延値を再計算する。ステップS15では、上記回路修正案はブロックの置き換えであることから、ステップS22にてブロック遅延の再計算を行う。
【0031】
上記回路修正案に示すインスタンス名E(INSTANCE E)のブロックタイプを置き換えることで、インスタンス名D(INSTANCE D)からインスタンス名E(INSTANCE E)の遅延値とインスタンス名E(INSTANCE E)からインスタンス名F(INSTANCE F)の遅延値に影響を与えるため、各々のブロック間の遅延値を再計算する必要がある。
【0032】
インスタンス名D(INSTANCE D)からインスタンス名E(INSTANCE E)の遅延値を再計算する場合、まず、ライブラリよりインスタンス名D(INSTANCE D)のゲート遅延値(=0.2ns)、遅延係数(=0.6)を抽出するとともに、上記タイミング検証結果よりインスタンス名D(INSTANCE D)からEの遅延値(=0.22ns)を抽出し、上記一般的な簡易遅延計算式よりインスタンス名D(INSTANCE D)の負荷容量(=0.03pF)を抽出する。
【0033】
インスタンス名E(INSTANCE E)を置き換える際に、インスタンス名D(INSTANCE D)からインスタンス名E(INSTANCE E)の遅延値に対して影響を及ぼすのは、インスタンス名E(INSTANCE E)の入力容量のみであるので、次に、上記ライブラリからインスタンス名E(INSTANCE E)の置き換え前後の入力容量(=インバータF101:0.02pF、高駆動インバータF145:0.10pF)を抽出し、インスタンス名D(INSTANCE D)の負荷容量(=0.11pF)に対して差し替えを行う。ここで得られた負荷容量を用いて上記簡易遅延計算式を用いて再計算を行うと、0.27nsという遅延値が得られる。
【0034】
また、インスタンス名E(INSTANCE E)からインスタンス名F(INSTANCE F)の遅延値を再計算する場合、まず、ライブラリより変更前のインスタンス名E(INSTANCE E)のゲート遅延値(=0.04ns)、遅延係数(=1.5)を抽出するとともに、上記タイミング検証結果よりインスタンス名E(INSTANCE E)からインスタンス名F(INSTANCE F)の遅延値(=3.29ns)を抽出し、上記簡易遅延計算式を用いてインスタンス名E(INSTANCE E)の負荷容量(=2.17pF)を抽出する。
【0035】
インスタンス名E(INSTANCE E)を置き換える際に、インスタンス名E(INSTANCE E)からインスタンス名F(INSTANCE F)の遅延値に対して影響を及ぼすのは、インスタンス名E(INSTANCE E)のゲート遅延値と遅延係数であるので、次に上記ライブラリよりインスタンス名E(INSTANCE E)を置き換えるブロック高駆動インバータF145のゲート遅延値(=0.05ns)と遅延係数(=0.10)を抽出し、これらを用いて上記簡易遅延計算式を用いて再計算を行うと、0.27nsという遅延値が得られる。
【0036】
再計算を行った遅延値について、実際に再配置配線を行って得られる遅延値との間には当然のことながら多少の誤差を生じる。しかしながら、元の配線経路は変わらないという前提のもと、実配線結果の配線容量を用いて再計算を行うことにより、比較的精度の高い計算結果を得ることが可能となる。
【0037】
図9は、図2のステップS31によりパス遅延の再計算を行った結果を示す図表である。上記回路修正案に記述されている全てのブロックの遅延値再計算が終了したことにより、ステップS11によりパス遅延の再計算を行う。その結果を図9に示す。図9において、総遅延値(=TOTAL遅延)が11.53nsとなり、パス遅延制約値(=12ns)を満足していることから、上記回路修正案は妥当と判断される。
【0038】
以上説明したように、本実施の形態は、タイミング調整のための再配置配線処理に関して、再配置配線実行前に、タイミングエラーが発生したタイミング検証結果と、実配線容量を基に、設計者が作成する回路修正案に準じた遅延値を比較的高い精度で再計算し、あらかじめ回路修正案の妥当性を確認することによって、極力、再配置配線の回数を削減することができ、大幅なTAT短縮が可能となるといった効果を奏する。
【0039】
(第2の実施の形態)
以下、本発明の第2の実施の形態を図面に基づいて詳細に説明する。なお、上記実施の形態において既に記述したものと同一の部分については、同一符号を付し、重複した説明は省略する。図11は、本発明の第2の実施の形態に係るレイアウト設計変更方法のタイミング調整のための再配置配線フローの説明図である。
【0040】
本発明の第2の実施の形態は、その基本的構成は上記第1の実施の形態の通りであるが、図1に示すステップS14(回路修正案入力の処理)についてさらに工夫している。
【0041】
すなわち、本実施の形態では、図1に示すステップS14と同様に設計者側にて作成する上記回路修正案を入力するが、もし、設計者が回路修正案を作成する前に実際の回路を修正してしまった場合(ステップS114:回路修正の処理)、修正前の回路情報(ネットリスト)及び修正後の回路情報(ネットリスト)の差分を抽出し(ステップS115)、これを基に前述のステップS14乃至ステップS17の各処理を実行し、上記回路修正案を自動作成することを可能としている。
【0042】
(第3の実施の形態)
以下、本発明の第3の実施の形態を図面に基づいて詳細に説明する。なお、上記実施の形態において既に記述したものと同一の部分については、同一符号を付し、重複した説明は省略する。図12乃至図14は、ゲート間遅延の具体例である。
【0043】
図12に示すように、ゲートAのピンペアが複数で、かつ、ゲートAが駆動している後段のブロックの配置位置がお互いに離れている場合がある。このような場合、一般的に、図13に示すように、ゲートAの負荷を軽減するため、複数ピンペアのパスごとにバッファを追加してアイソレーションすることで遅延の改善を行う。しかしながら、図14に示すように、ゲートAとゲートCが極めて近傍に配置されている場合、アイソレーション手法によって両者(ゲートAとゲートC)の間にバッファを追加しても、ゲートAからゲートCのパス遅延値は必ずしも改善できるとは限らず、無駄なバッファが挿入されてしまう場合がある。
【0044】
本実施の形態では、このようなアイソレーション手法にも対応でき、図14に示すようにゲートAがドライブする後段のブロック群の配置位置までは判断できず、図14に示すゲートAとゲートCの間に無駄なバッファを挿入した際の遅延値再計算の結果に大きな誤差を生じる場合に、図14に示すようにゲートA−ゲートB間の遅延、ゲートA−ゲートC間の遅延を分岐点Pで分ける手段を設けている点に特徴を有している。
【0045】
これにより、精度の高い遅延見積もりが可能となり、その結果、回路修正妥当性判断の精度も向上するといった効果を奏する。
【0046】
なお、本発明が上記各実施の形態に限定されず、本発明の技術思想の範囲内において、上記各実施の形態は適宜変更され得ることは明らかである。また上記構成部材の数、位置、形状等は上記各実施の形態に限定されず、本発明を実施する上で好適な数、位置、形状等にすることができる。また、各図において、同一構成要素には同一符号を付している。
【0047】
【発明の効果】
本発明は、以上説明したように、タイミング調整のための再配置配線処理に関して、再配置配線実行前に、タイミングエラーが発生したタイミング検証結果と、実配線容量を基に、設計者が作成する回路修正案に準じた遅延値を比較的高い精度で再計算し、あらかじめ回路修正案の妥当性を確認することによって、極力再配置配線の回数を削減することができ、大幅なTAT短縮が可能となるといった効果を奏する。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態に係るレイアウト設計変更方法のタイミング調整のための再配置配線フローの説明図である。
【図2】図1に示すステップS15の詳細なフローの説明図である。
【図3】ゲート間遅延の具体例である。
【図4】ゲート間遅延の具体例である。
【図5】ゲート間遅延の具体例である。
【図6】ゲート間遅延の具体例である。
【図7】タイミング検証結果例を示す図表である。
【図8】回路修正案を示す図表である。
【図9】図2のステップS31によりパス遅延の再計算を行った結果を示す図表である。
【図10】従来のタイミング調整のための再配置配線フロー図である。
【図11】本発明の第2の実施の形態に係るレイアウト設計変更方法のタイミング調整のための再配置配線フローの説明図である。
【図12】ゲート間遅延の具体例である。
【図13】ゲート間遅延の具体例である。
【図14】ゲート間遅延の具体例である。
【符号の説明】
A,B,B’,C,X…ゲート
BLK…ブロック名
E…インスタンス名
F101…インバータ
F145…高駆動インバータ
INSTANCE…ブロックのインスタンス名
INTRCON…ブロック間遅延成分
IOPATH…ブロック間遅延成分
IPIN…ブロックの入力ピン
AB,LAB’,LAX,LBC,LB’C,LXB…配線長
OPIN…ブロックの出力ピン
P…分岐点
STEP…FF間のパスに存在するブロックの段数
AB,tAB’,tAC,tBC,tB’C…遅延値
TOTAL…始点から各ブロックまでの遅延値
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a layout design change technology for a semiconductor device, and more particularly to a layout design change device that can reduce the number of relocation wirings as much as possible and can perform timing adjustment with a short TAT.
[0002]
[Prior art]
A conventional rearrangement wiring flow for timing adjustment will be described with reference to FIG. Referring to FIG. 10, in the prior art, first, placement and routing are performed (step S101). As a result, if there is a timing error (Y in step S102), or if circuit correction has not been completed (in step S108). N) The block replacement determination is executed (step S103), and if it is determined that the block replacement is necessary (Y in step S103), circuit correction is performed on the block on the passing path causing the timing error. Therefore, a process of replacing with a block having a different operation speed or driving capability (step S104) and a process of deleting a block on a passing path causing a timing error (for example, deleting a useless buffer) (step S107) are performed. Execute and return to the determination in step S108 to correct the circuit and adjust the timing. Cormorant.
[0003]
When it is determined that the block replacement is not necessary (N in step S103), the block addition determination is executed (step S105). When it is determined that the block needs to be added (Y in step S105), the block is added (for example, , High drive buffer insertion, delay block insertion, etc.) processing (step S106) and block deletion on the passing path causing the timing error (for example, useless buffer deletion) processing (step S107). ) And return to the determination in step S108 to correct the circuit and perform timing adjustment.
[0004]
Further, when it is determined that the addition of the block is unnecessary (N in Step S105), a process (Step S107) of deleting a block on the passing path causing the timing error (for example, deleting a useless buffer) is executed. By returning to the determination in step S108, the circuit is corrected and the timing is adjusted.
[0005]
When the circuit correction is completed (Y in step S108), the process from step S101 to step S102 is performed. If there is no timing error (N in step S102), the process ends.
[0006]
For example, first, when it is determined that there is a timing error in step S102 as a result of the placement and routing (step S101), in step S103 and step S104, the block is replaced with a block having a different operation speed or driving capability, or step S105. In step S106, the high drive buffer is inserted, and the process (step S107) for deleting the block on the passing path causing the timing error (for example, deleting the useless buffer) is executed, and the process returns to step S101 and changed. Perform relocation wiring for only the location. If the timing error still remains, the high drive buffer is further inserted in step S105 and step S106, and the process of deleting the block on the passing path causing the timing error (for example, deleting the useless buffer) (step S107) is executed, and the process returns to step S101 again to perform rearrangement wiring. As a result, even if a buffer is inserted, a good effect in terms of timing cannot be obtained, and if the adverse effect is adversely affected, a useless buffer is deleted in step S107, and rearrangement is performed again in step S101. Perform wiring. Thereafter, the circuit correction in step S103 to step S107 and the placement and routing in step S101 are repeated until there is no timing error.
[0007]
[Problems to be solved by the invention]
However, in the conventional relocation wiring process for timing adjustment, the appropriateness of timing adjustment cannot be determined without performing layout wiring. Therefore, if the circuit correction accuracy is poor, the layout wiring is repeated many times. Therefore, there is a problem that it takes a lot of TAT (Turn Around Time: time required from LSI specification determination to commercialization).
[0008]
The present invention has been made in view of such a problem, and an object of the present invention is to provide a layout design change device capable of reducing the number of relocation wirings as much as possible and performing timing adjustment with a short TAT. There is in point to do.
[0009]
[Means for Solving the Problems]
The layout design change device of the present invention inputs a placement and routing means, a path delay constraint value, calculates a path delay value of the circuit of the semiconductor device after placement and routing, and verifies whether the path delay constraint value is satisfied Timing verification means for performing a verification and outputting a timing verification result including a path delay, and when there is a path having a timing error in the timing verification result, an input capacity of each block constituting a circuit of the semiconductor device, The path before the circuit correction obtained from the timing verification result based on the library including at least information on the gate delay value and the delay coefficient, the timing verification result, and the circuit correction information for the path having the timing error. delay and, before and after circuit modification included in the circuit correction information for the path which becomes the timing error block , Re-gate delay time of the blocks obtained from the library, the information of the delay factor, and the input capacitance, estimate the load capacity of the block after circuit modification, the path delay value of the path becomes and the timing error A recalculating means for performing calculation, and a circuit for correcting an actual circuit according to the circuit correction information when the circuit correction information for timing adjustment is valid as a result of recalculation by the recalculating means Correction means, and the placement and routing is performed by the placement and routing means only on the corrected portion corrected by the circuit correction means.
If the recalculation result of the recalculation means does not satisfy the path delay constraint value, new circuit correction information is input to the recalculation means, and the path delay value is recalculated for the timing verification result. And repeating means until the path delay constraint value is satisfied.
The recalculation means may output the recalculation result in accordance with the output format of the timing verification result.
In addition, when the designer corrects the actual circuit before creating the circuit correction proposal, the designer includes an extraction unit that extracts a difference between the circuit information before the correction and the circuit information after the correction. The circuit correction information can be created by means.
The circuit correction information may include data for replacement with a block having a different operation speed and driving capability, and data for addition and deletion of a buffer.
[0010]
DETAILED DESCRIPTION OF THE INVENTION
The present invention provides means for directly reflecting the contents of circuit correction for timing adjustment in the original timing verification result in the relocation wiring processing flow particularly when a timing error occurs in an ASIC (dedicated LSI for a specific customer). It has the characteristics.
[0011]
As a result, the path delay can be recalculated from the circuit correction information for timing adjustment and the original timing verification result, and the validity of the circuit correction plan for timing adjustment can be confirmed before relocation wiring. As a result, the number of relocation wirings can be reduced as much as possible, and TAT (Turn Around Time: time required from LSI specification determination to commercialization) can be shortened. Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.
[0012]
(First embodiment)
Hereinafter, a first embodiment of the present invention will be described in detail with reference to the drawings. FIG. 1 is an explanatory diagram of a rearrangement wiring flow for timing adjustment in the layout design changing method according to the first embodiment of the present invention.
[0013]
Referring to FIG. 1, in the present embodiment, placement and routing are first performed in step S11. Step S12 is executed, and timing verification is performed based on the input path delay constraint value. Thereafter, it is determined whether or not a timing error has occurred (step S13).
[0014]
If it is determined in step S13 that a timing error has occurred (Y in step S13), step S14 (circuit correction plan input processing) is executed, and the timing verification result obtained in step S12 and the circuit for timing adjustment are executed. The correction plan is input, and the path delay constraint value input from step S12 is stored. If it is determined in step S13 that a timing error has not occurred (N in step S13), the process ends.
[0015]
Here, the circuit modification proposal includes data for replacement with blocks having different operation speeds and driving capabilities, and addition and deletion of buffers, and is created on the designer side.
[0016]
Subsequently, step S15 (processing for recalculating the path delay value with reference to the library based on the circuit correction plan) is executed, and the path verification is performed on the timing verification result obtained from step S12 based on the circuit correction plan. Recalculate the delay value. At this time, in step S15, a library related to each block is referred to. This library describes the input capacity, gate delay value, and delay coefficient of each block. Details regarding step S15 will be described later with reference to FIG.
[0017]
If the result of the recalculation in step S15 does not satisfy the path delay constraint value, that is, if the circuit correction proposal for timing adjustment is not valid (N in step S16), the process returns to step S14 again, and the path delay constraint value is set. A series of loop processing from step S14 to step S16 is repeated until satisfied.
[0018]
When the path delay constraint value is satisfied, it is determined that the circuit correction proposal for timing adjustment is appropriate (Y in step S16), and the actual circuit is corrected according to the circuit correction proposal (step S17: Thereafter, the placement and routing of only the corrected portion (step S11) is performed again, and this operation is completed through the processing of step S12 and step S13 (step S11 → step S12 → step). N → End of S13).
[0019]
FIG. 2 is an explanatory diagram of a detailed flow of step S15 shown in FIG. 3 to 6 are specific examples of the inter-gate delay. Referring to FIG. 2, in step S15 (processing for recalculating the path delay value by referring to the library based on the circuit modification proposal) in this embodiment, the delay value is recalculated in blocks having different operation speeds and driving capabilities. Basically, it is assumed that the original wiring path (wiring capacity) does not change, although it differs somewhat depending on each process such as replacement with, addition and deletion of blocks. The calculations performed in step S15 all use the following general simple delay calculation formula.
[0020]
For example, the delay value t AB between the gate A and the gate B in FIG. 3 is as follows.
[0021]
Delay value t AB = gate delay value of gate A + load capacity of gate A × additional capacity of delay coefficient gate A of gate A = wiring capacity + input capacity of all blocks driven by gate A (= input capacity of gate B) )
[0022]
Step S22 (replacement delay value recalculation process) executed when it is determined in step S21 that the block is replaced (Y in step S21) is a recalculation process at the time of block replacement. For example, when the gate B shown in FIG. 4A is replaced with the gate B ′ shown in FIG. 4B, the input capacitance of the gate B is replaced with the input capacitance of the gate B ′ according to the above simple calculation formula, and the delay of the gate B The value t AB , the delay value t BC , the wiring length L AB , the wiring length L BC , the delay coefficient, the delay value t AB ′ of the gate B , the delay value t B′C , the wiring length L AB ′ , and the wiring length L B 'C , replace with delay factor and recalculate.
[0023]
Step S24 (deletion delay value recalculation process) executed when it is determined in step S21 that the block is not replaced (N in step S21) and it is determined in step S23 that the block is deleted (Y in step S23). Is a recalculation process when a block is deleted. For example, when the gate B shown in FIG. 5 is deleted, as shown in FIG. 5A, the value of the wiring capacitance + the input capacitance of the gate B is extracted based on the delay value t AB , and the delay value t BC is calculated . Based on this, the value of the input capacitance of the wiring capacitance + C is extracted, and the delay value t AC shown in FIG. 5B is recalculated using the value obtained by subtracting the input capacitance of the gate B from the sum of the two.
[0024]
If it is determined in step S23 that the block is not deleted (N in step S23), and it is determined that a gate has been added immediately after the previous stage (Y in step S25), step S26 (first additional delay value re-estimation) is executed. Step S28 (second time calculation) is executed when it is determined that the block is not deleted in Step S23 (N in Step S23) and it is determined that a gate is added immediately before the subsequent stage (Y in Step S27). In step S23, it is determined that the block is not deleted (N in step S23), it is determined that no gate is added immediately after the previous stage (N in step S25), and immediately before the subsequent stage. If it is determined that no gate has been added (N in Step S27), Step S29 is executed (the third additional delay value is reset). Processing of calculation), respectively, a re-calculation process when additional blocks. For example, when adding the gate X shown in FIG. 6B between the gate A and the gate B shown in FIG. 6A, the delay value differs depending on the position where the gate X is added.
[0025]
Specifically, when the gate X is added immediately after the gate A, recalculation is performed assuming that the wiring length L AX = 0 and the wiring length L XB = the wiring length L AB . If it is added immediately before the gate B, recalculation is performed assuming that the wiring length L AX = wiring length L AB and the wiring length L XB = 0. Further, as shown in FIG. 6B, if added between the gate A and the gate B, recalculation is performed assuming that the wiring length L AX = wiring length L XB = wiring length L AB / 2.
[0026]
In step S31 (path delay recalculation process) executed when it is determined in step S30 that all circuit correction proposals have been recalculated (Y in step S30), all blocks included in the circuit correction proposal are processed. When the delay value recalculation is completed, the path is recalculated. If it is determined that all circuit correction proposals have not been recalculated (N in step S30), the process returns to step S21.
[0027]
Next, the path delay recalculation operation of FIG. 2 will be described using the timing verification result shown in FIG. 7 and the circuit correction proposal shown in FIG. The parameters of the timing verification result shown in FIG. 7 will be described. STEP is the number of blocks existing in the path between FFs (flip-flops), TOTAL is a delay value from the start point to each block, and IPIN (for example, H03F) is a block. Input pin, OPIN (eg, N01F) is an output pin of the block, INTRCON, IOPATH are inter-block delay components, BLK (eg, SEL611NW or F434NC) is a block name, and INSTANCE (eg, A) is an instance name of the block. .
[0028]
In this embodiment, the inter-block delay is described separately as components such as an inter-block delay component INTRCON and an inter-block delay component IOPATH, and recalculation is performed using the sum of the two as the inter-block delay. The timing verification result shown here is merely an example, and the present invention is not limited to this format.
[0029]
Now, in step S14 shown in FIG. 1, it is assumed that the timing verification result shown in FIG. 7 is input and the path delay constraint value is 12 ns. Further, in order to satisfy the above-described path delay constraint value, a circuit correction plan (FIG. 8) for replacing the inverter F101 shown in FIG. 8 with the high drive inverter F145 is created by the designer and is similarly input to step S14 shown in FIG. Assuming that The above circuit correction proposal is for knowing which block is to be changed and how to change it, and is not limited to the format shown here.
[0030]
Next, step S15 shown in FIG. 1 is executed, and inter-block and path delay values are recalculated for the timing verification result in accordance with the input circuit correction plan. In step S15, since the circuit correction plan is block replacement, block delay is recalculated in step S22.
[0031]
By replacing the block type of instance name E (INSTANCE E) shown in the above circuit modification proposal, the delay value of instance name D (INSTANCE D) to instance name E (INSTANCE E) and the instance name E (INSTANCE E) to instance name Since the delay value of F (INSTANCE F) is affected, it is necessary to recalculate the delay value between each block.
[0032]
When recalculating the delay value of the instance name E (INSTANCE D) from the instance name D (INSTANCE D), first, the gate delay value (= 0.2 ns) of the instance name D (INSTANCE D) and the delay coefficient (= 0.6) and the delay value of E (= 0.22 ns) is extracted from the instance name D (INSTANCE D) from the timing verification result, and the instance name D (INSTANCE C) is calculated from the above general simple delay calculation formula. D) The load capacitance (= 0.03 pF) is extracted.
[0033]
When the instance name E (INSTANCE E) is replaced, only the input capacity of the instance name E (INSTANCE E) affects the delay value from the instance name D (INSTANCE D) to the instance name E (INSTANCE E). Therefore, the input capacity (= inverter F101: 0.02 pF, high drive inverter F145: 0.10 pF) before and after replacement of the instance name E (INSTANCE E) is extracted from the library, and the instance name D (INSTANCE E) is extracted. Replacement is performed for the load capacity (= 0.11 pF) of D). When the recalculation is performed using the simple delay calculation formula using the load capacity obtained here, a delay value of 0.27 ns is obtained.
[0034]
When the delay value of the instance name F (INSTANCE F) is recalculated from the instance name E (INSTANCE E), first, the gate delay value (= 0.04 ns) of the instance name E (INSTANCE E) before the change from the library. The delay coefficient (= 1.5) is extracted, and the delay value (= 3.29 ns) of the instance name F (INSTANCE F) is extracted from the instance name E (INSTANCE E) from the timing verification result, and the simple delay is extracted. The load capacity (= 2.17 pF) of the instance name E (INSTANCE E) is extracted using the calculation formula.
[0035]
When the instance name E (INSTANCE E) is replaced, the gate delay value of the instance name E (INSTANCE E) affects the delay value of the instance name F (INSTANCE E) to the instance name F (INSTANCE F). Therefore, the gate delay value (= 0.05 ns) and the delay coefficient (= 0.10) of the block high drive inverter F145 that replaces the instance name E (INSTANCE E) are extracted from the library, and these are extracted. When recalculation is performed using the above simple delay calculation formula, a delay value of 0.27 ns is obtained.
[0036]
As a matter of course, a slight error occurs between the delay value obtained by recalculation and the delay value obtained by actually performing the relocation wiring. However, it is possible to obtain a calculation result with relatively high accuracy by performing recalculation using the wiring capacity of the actual wiring result on the premise that the original wiring route does not change.
[0037]
FIG. 9 is a chart showing the result of recalculating the path delay in step S31 of FIG. When the delay value recalculation of all the blocks described in the circuit correction proposal is completed, the path delay is recalculated in step S11. The result is shown in FIG. In FIG. 9, since the total delay value (= TOTAL delay) is 11.53 ns, which satisfies the path delay constraint value (= 12 ns), it is determined that the circuit correction plan is appropriate.
[0038]
As described above, the present embodiment relates to the relocation and wiring process for timing adjustment, based on the timing verification result in which the timing error has occurred and the actual wiring capacity before the relocation and wiring are executed. By recalculating the delay value according to the circuit correction proposal to be created with relatively high accuracy and confirming the validity of the circuit correction proposal in advance, the number of relocation wirings can be reduced as much as possible, and a large TAT There is an effect that shortening is possible.
[0039]
(Second Embodiment)
Hereinafter, a second embodiment of the present invention will be described in detail with reference to the drawings. Note that the same parts as those already described in the above embodiment are denoted by the same reference numerals, and redundant description is omitted. FIG. 11 is an explanatory diagram of a rearrangement wiring flow for timing adjustment in the layout design changing method according to the second embodiment of the present invention.
[0040]
The basic configuration of the second embodiment of the present invention is the same as that of the first embodiment, but step S14 (circuit correction plan input processing) shown in FIG. 1 is further devised.
[0041]
That is, in the present embodiment, the circuit correction plan created on the designer side is input as in step S14 shown in FIG. 1. However, if the designer creates an actual circuit before creating the circuit correction plan, If it has been corrected (step S114: circuit correction processing), the difference between the circuit information before correction (net list) and the circuit information after correction (net list) is extracted (step S115), and based on this, the above-described difference is extracted. Steps S14 to S17 are executed, and the circuit correction plan can be automatically created.
[0042]
(Third embodiment)
Hereinafter, a third embodiment of the present invention will be described in detail with reference to the drawings. Note that the same parts as those already described in the above embodiment are denoted by the same reference numerals, and redundant description is omitted. 12 to 14 are specific examples of the inter-gate delay.
[0043]
As shown in FIG. 12, there are cases where there are a plurality of pin pairs of the gate A, and the arrangement positions of the subsequent blocks driven by the gate A are separated from each other. In such a case, generally, as shown in FIG. 13, in order to reduce the load on the gate A, a delay is improved by adding a buffer for each path of a plurality of pin pairs and performing isolation. However, as shown in FIG. 14, when the gate A and the gate C are arranged very close to each other, even if a buffer is added between the two (gate A and gate C) by the isolation method, the gate A to the gate The path delay value of C is not always improved, and a useless buffer may be inserted.
[0044]
In this embodiment, it is possible to cope with such an isolation method, and as shown in FIG. 14, it is impossible to determine the arrangement position of the subsequent block group driven by the gate A, and the gate A and the gate C shown in FIG. When a large error occurs in the result of delay value recalculation when a useless buffer is inserted between the gate A and the gate A, the delay between the gate A and the gate B and the delay between the gate A and the gate C are branched as shown in FIG. It is characterized in that a means for dividing the point P is provided.
[0045]
As a result, it is possible to estimate the delay with high accuracy, and as a result, it is possible to improve the accuracy of the circuit correction validity determination.
[0046]
Note that the present invention is not limited to the above-described embodiments, and it is obvious that the above-described embodiments can be appropriately changed within the scope of the technical idea of the present invention. In addition, the number, position, shape, and the like of the constituent members are not limited to the above embodiments, and can be set to a number, position, shape, and the like that are suitable for carrying out the present invention. Moreover, in each figure, the same code | symbol is attached | subjected to the same component.
[0047]
【The invention's effect】
As described above, according to the present invention, a designer creates a rearrangement wiring process for timing adjustment based on a timing verification result in which a timing error has occurred and an actual wiring capacity before executing the rearrangement wiring. By recalculating the delay value according to the circuit correction proposal with relatively high accuracy and confirming the validity of the circuit correction proposal in advance, the number of relocation wiring can be reduced as much as possible, and TAT can be greatly shortened. The effect that becomes.
[Brief description of the drawings]
FIG. 1 is an explanatory diagram of a rearrangement wiring flow for timing adjustment in a layout design changing method according to a first embodiment of the present invention;
FIG. 2 is an explanatory diagram of a detailed flow of step S15 shown in FIG.
FIG. 3 is a specific example of an inter-gate delay.
FIG. 4 is a specific example of an inter-gate delay.
FIG. 5 is a specific example of an inter-gate delay.
FIG. 6 is a specific example of an inter-gate delay.
FIG. 7 is a chart showing an example of a timing verification result.
FIG. 8 is a chart showing a circuit correction proposal.
FIG. 9 is a chart showing a result of recalculation of path delay in step S31 of FIG.
FIG. 10 is a rearrangement wiring flowchart for conventional timing adjustment.
FIG. 11 is an explanatory diagram of a rearrangement wiring flow for timing adjustment in the layout design changing method according to the second embodiment of the present invention;
FIG. 12 is a specific example of an inter-gate delay.
FIG. 13 is a specific example of an inter-gate delay.
FIG. 14 is a specific example of an inter-gate delay.
[Explanation of symbols]
A, B, B ', C, X ... Gate BLK ... Block name E ... Instance name F101 ... Inverter F145 ... High drive inverter INSTANCE ... Block instance name INTRCON ... Interblock delay component IOPATH ... Interblock delay component IPIN ... Block input pins L AB, L AB ', L AX, L BC, L B'C, L XB ... number t AB output pins P ... block in the path between the branch point STEP ... FF wiring lengths OPIN ... block, t AB ' , t AC , t BC , t B'C ... Delay value TOTAL ... Delay value from the start point to each block

Claims (5)

配置配線手段と、
パス遅延制約値を入力し、配置配線後の半導体装置の回路のパス遅延値を計算し前記パス遅延制約値を満足するかを検証するタイミング検証を行うとともにパス遅延を含むタイミング検証結果を出力するタイミング検証手段と、
前記タイミング検証結果にタイミングエラーとなったパスが存在する場合には、半導体装置の回路を構成する各ブロックの入力容量、ゲート遅延値及び遅延係数の情報を少なくとも備えたライブラリと、前記タイミング検証結果と、前記タイミングエラーとなったパスに対する回路修正情報とを基に、
前記タイミング検証結果から得られた回路修正前のパス遅延と、
前記タイミングエラーとなったパスに対する回路修正情報に含まれる回路修正前後のブロックと、
前記ライブラリから得られた当該ブロックのゲート遅延時間、遅延係数、及び入力容量の情報
から、回路修正後のブロックの負荷容量を見積もって、前記タイミングエラーとなったパスのパス遅延値の再計算を行う再計算手段と、
前記再計算手段により再計算された結果、タイミング調整のための前記回路修正情報が妥当である場合には、当該回路修正情報にしたがって、実際の回路を修正する回路修正手段とを備え、
前記回路修正手段によって修正を行った修正部分のみに対して前記配置配線手段によって配置配線を行う
ことを特徴とするレイアウト設計変更装置。
Placement and routing means;
The path delay constraint value is input, the path delay value of the circuit of the semiconductor device after placement and routing is calculated, the timing verification is performed to verify whether the path delay constraint value is satisfied, and the timing verification result including the path delay is output. Timing verification means;
If there is a path that has a timing error in the timing verification result, a library including at least information on input capacitance, gate delay value, and delay coefficient of each block constituting a circuit of the semiconductor device, and the timing verification result And the circuit correction information for the path having the timing error,
A path delay before circuit correction obtained from the timing verification result;
Blocks before and after circuit correction included in the circuit correction information for the path having the timing error ,
Recalculate the path delay value of the path that caused the timing error by estimating the load capacity of the block after circuit correction from the gate delay time, delay coefficient, and input capacity information of the block obtained from the library Recalculation means for performing
As a result of recalculation by the recalculation means, when the circuit correction information for timing adjustment is appropriate, circuit correction means for correcting an actual circuit according to the circuit correction information, and
The layout design changing device, wherein the placement and routing unit performs placement and routing only on the corrected portion corrected by the circuit modification unit.
前記再計算手段の再計算の結果が前記パス遅延制約値を満足しない場合は、新たな回路修正情報を前記再計算手段に入力し、前記タイミング検証結果に対してパス遅延値の再計算を行い、当該パス遅延制約値を満足するまで繰り返す繰り返し手段を有することを特徴とする請求項1に記載のレイアウト設計変更装置。If the recalculation result of the recalculation means does not satisfy the path delay constraint value, new circuit correction information is input to the recalculation means, and the path delay value is recalculated for the timing verification result. The layout design changing apparatus according to claim 1, further comprising a repeating unit that repeats until the path delay constraint value is satisfied. 前記再計算手段は、再計算結果を前記タイミング検証結果の出力書式にあわせて出力することを特徴とする請求項1又は2に記載のレイアウト設計変更装置。The layout design changing device according to claim 1, wherein the recalculation unit outputs a recalculation result in accordance with an output format of the timing verification result. 設計者が回路修正案を作成する前に実際の回路を修正してしまった場合、修正前の回路情報及び修正後の回路情報の差分を抽出する抽出手段と、を有し、
前記抽出手段により前記回路修正情報を作成することを特徴とする請求項1乃至3のいずれか一項に記載のレイアウト設計変更装置。
An extraction means for extracting a difference between the circuit information before correction and the circuit information after correction when the designer has corrected the actual circuit before creating the circuit correction proposal ;
4. The layout design change device according to claim 1, wherein the circuit correction information is created by the extraction unit.
前記回路修正情報は、動作スピードや駆動能力の異なるブロックへの置き換え、バッファの追加及び削除のデータを含むことを特徴とする請求項1乃至4のいずれか一項に記載のレイアウト設計変更装置。5. The layout design change device according to claim 1 , wherein the circuit correction information includes data for replacement with a block having a different operation speed and driving capability, and data for addition and deletion of a buffer. 6.
JP2000357137A 2000-11-24 2000-11-24 Layout design change device Expired - Fee Related JP3722692B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000357137A JP3722692B2 (en) 2000-11-24 2000-11-24 Layout design change device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000357137A JP3722692B2 (en) 2000-11-24 2000-11-24 Layout design change device

Publications (2)

Publication Number Publication Date
JP2002163313A JP2002163313A (en) 2002-06-07
JP3722692B2 true JP3722692B2 (en) 2005-11-30

Family

ID=18829250

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000357137A Expired - Fee Related JP3722692B2 (en) 2000-11-24 2000-11-24 Layout design change device

Country Status (1)

Country Link
JP (1) JP3722692B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014021573A (en) 2012-07-13 2014-02-03 International Business Maschines Corporation Method for optimizing netlist used in logic circuit design for semiconductor integrated circuit; device for assisting in logic circuit design using the same method; and computer program that can be executed on the same

Also Published As

Publication number Publication date
JP2002163313A (en) 2002-06-07

Similar Documents

Publication Publication Date Title
US6772401B2 (en) Correction of spacing violations between design geometries and wide class objects of dummy geometries
US6892363B2 (en) Correction of width violations of dummy geometries
JP2012027630A (en) Integrated circuit design device, integrated circuit design method, and integrated circuit design program
JPH09293094A (en) Layout design device
US20040019861A1 (en) Automated design rule violation correction when adding dummy geometries to a design layout
US6792586B2 (en) Correction of spacing violations between wide class objects of dummy geometries
US20040019867A1 (en) Correction of spacing violations between dummy geometries and wide class objects of design geometries
JP3722692B2 (en) Layout design change device
US7480886B2 (en) VLSI timing optimization with interleaved buffer insertion and wire sizing stages
JP4037944B2 (en) Wiring route determination method and delay estimation method
US10970455B1 (en) Apportionment aware hierarchical timing optimization
JP3033675B2 (en) Sequential circuit optimization device
JP2001308186A (en) Layout method in which flip-flop can be added or modified and medium recorded with layout program
JP2001267429A (en) Layout design device and method
JP3116915B2 (en) Clock net layout design change method
JP3368859B2 (en) Scan path connection device
JPH09218888A (en) Hold time error eliminating system
US11113446B2 (en) Yield improving leaf cells optimization for semiconductor netlists
JP3251250B2 (en) Clock skew reduction method and computer-readable recording medium recording clock skew reduction method
JP3852335B2 (en) Scan path processing system, scan path processing method, and scan path processing program
JP2938061B1 (en) Layout correction method and recording medium
JP2000150659A (en) Method for designing layout of semiconductor integrated circuit device
WO2010100830A1 (en) Semiconductor device, circuit correction method, design support device, and recording medium having design support program stored thereupon
JP2908447B1 (en) Layout method of semiconductor integrated circuit
JP2002043425A (en) Optimum position determining method of block pin and computer readable recording medium with recorded program for carrying out the method by computer

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050118

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050419

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050823

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050913

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees