JP3611226B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP3611226B2
JP3611226B2 JP24444596A JP24444596A JP3611226B2 JP 3611226 B2 JP3611226 B2 JP 3611226B2 JP 24444596 A JP24444596 A JP 24444596A JP 24444596 A JP24444596 A JP 24444596A JP 3611226 B2 JP3611226 B2 JP 3611226B2
Authority
JP
Japan
Prior art keywords
film
groove
oxide film
semiconductor substrate
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP24444596A
Other languages
Japanese (ja)
Other versions
JPH1092919A (en
Inventor
英生 三浦
誠 北野
修二 池田
範夫 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP24444596A priority Critical patent/JP3611226B2/en
Priority to TW086113393A priority patent/TW360945B/en
Priority to PCT/JP1997/003267 priority patent/WO1998012742A1/en
Priority to CNB971980063A priority patent/CN1161837C/en
Priority to KR10-1999-7002156A priority patent/KR100425064B1/en
Priority to MYPI97004289A priority patent/MY129438A/en
Publication of JPH1092919A publication Critical patent/JPH1092919A/en
Application granted granted Critical
Publication of JP3611226B2 publication Critical patent/JP3611226B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • H01L21/76235Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls trench shape altered by a local oxidation of silicon process step, e.g. trench corner rounding by LOCOS

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、信頼性の高い溝分離構造を有する半導体装置及びその製造方法に関する。
【0002】
【従来の技術】
半導体基板上で隣接した素子間を電気的に絶縁分離する構造としてLOCOS(Local Oxidation of Silicon)構造がある。この構造は基板表面を選択的に酸化して厚い熱酸化膜を形成したものであり、多くの半導体装置に採用されている。しかしながらこのLOCOS構造は加工精度が低く、ディープサブミクロンデバイスのように熱酸化膜の加工寸法精度を要求される高集積化半導体装置の絶縁分離構造には適していない。高集積化を要求される半導体装置の絶縁分離構造としてLOCOS構造に代わり例えば特開昭63−143835号公報に開示されているような基板表面に浅溝を形成しその溝部分を選択的に酸化して熱酸化膜を形成する、いわゆる選択酸化法の溝分離構造が採用され始めている。
【0003】
この溝分離構造はLOCOS構造と比較して平面寸法の小さな素子分離酸化膜が形成できるという利点があることから0.5μm以下の加工寸法精度が要求されるディープサブミクロンデバイス製造に好適である。
【0004】
【発明が解決しようとする課題】
例えば半導体基板であるシリコン基板表面を酸化してシリコン熱酸化膜を形成する場合、形成された熱酸化膜とシリコン基板との界面に大きな機械的応力が発生する。これは、シリコン基板(Si)の一部が酸化されて熱酸化膜(SiO2)に変化する際に約2倍の体積膨張をするためである。この機械的応力が増加するとシリコン基板内に転位や積層欠陥等の結晶欠陥が発生しやすくなり半導体装置の信頼性を劣化させる。また、酸化反応自体(酸化種の拡散挙動や酸化界面での反応率等)が応力の影響を受けて成長する酸化膜の形状が変化することが明らかになっている。発生する応力は、二次元あるいは三次元形状の端点(角点)近傍で集中して発生するため、この応力集中場では特に結晶欠陥や形状変化に注意しなければならない。
【0005】
図1は、従来の選択酸化法における溝分離構造の製造工程の模式図である。図1に示したように従来の方法では、シリコン基板1の表面にパッド酸化膜(シリコン熱酸化膜)2を介して酸化防止膜3を堆積した後、素子分離酸化膜を形成したい領域の酸化防止膜3、パッド酸化膜2及びシリコン基板1を部分的に除去して溝を形成(図1(b))し、その溝部分を酸化してシリコン熱酸化膜5を形成する。この溝分離構造においては、必ず基板の溝上端あるいは下端近傍に端点(角点)が存在するため、この端点(角点)近傍に応力集中場が形成される。この応力集中場の形成により、特に溝上端近傍の基板形状が図1(c)に示したように鋭角にとがった形状4に酸化される場合がある。素子分離用酸化膜形成後、図1(d)に示すように酸化保護膜3に覆われていた素子形成領域にトランジスタ、容量等の電子回路を形成するが、このような鋭角部4が基板表面に残留すると例えばA.Bryant等が「Technical Digest of IEDM ’94, pp.671−674」に公表しているように、回路動作中にこの部分に電界集中が発生し回路を構成するトランジスタや容量の耐圧特性を劣化させる場合がある。
【0006】
本発明の目的は、溝分離構造を有する半導体装置において、回路を構成するトランジスタや容量の耐圧特性を劣化させることのない信頼性の高い半導体装置及びその製造方法を提供することにある。
【0007】
【課題を解決するための手段】
上記目的は、半導体基板表面の素子分離用溝上端近傍の基板形状の鋭角化を防止することにより達成される。◆
上記目的を達成するために本発明に係る半導体装置の製造方法は次の工程を含んでいる。◆
(1)半導体基板の回路形成面に酸化防止膜を形成する工程。◆
半導体基板としてはシリコン基板等が考えられる。◆
酸化防止膜の膜厚は後工程(4)(7)等での酸化工程で全ての酸化防止膜が酸化されない膜厚とする必要がある。◆
酸化防止膜としては、多結晶シリコン薄膜、窒化ケイ素膜等が考えられる。多結晶シリコン薄膜等の酸化されやすい材料は、シリコン基板の酸化に伴う体積膨張に対する拘束力が低く、溝上端部の応力集中が低減される。また、窒化ケイ素膜等の酸化されにくい材料は、酸化工程での酸化量が少ないため膜厚を薄くすることができる。
【0008】
また、酸化防止膜を形成する前にパッド酸化膜をシリコン基板に形成することも有効である。パッド酸化膜が存在すると、パッド酸化膜に接している酸化防止膜の下端及び半導体基板の上端近傍は溝端から順に酸化されていき、いわゆるバーズビークが形成され、結果として半導体基板の上端近傍の曲率化が促進される。
【0009】
(2)前記半導体基板の回路形成面の所望の位置に前記半導体基板に溝が形成されるような所定の深さの溝を形成する工程。◆
この溝は、例えばフォトレジストを用いた通常の露光法とエッチングにより形成することができる。
【0010】
(3)前記溝によって前記半導体基板の回路形成面に形成される角部を除去する工程。◆
この工程は必ずしも必要ではないが、この工程により角部を除去すれば後工程(7)の酸化が不要となる場合が多い。
【0011】
(4)前記半導体基板に形成した溝部分を酸化する工程。◆
この酸化により溝部分を厚さ数nm〜数10nm程度酸化する。この酸化により溝部分にバーズビークが成長して溝上端部に曲率が形成される。
【0012】
(5)前記酸化させた溝内部に埋め込み絶縁膜を埋め込む工程。◆
埋め込み絶縁膜として使用する材料は基本的に絶縁性の材料でかつ誘電率が低いことが望ましい。これは、誘電率が大きい材料を使用すると、後工程において配線材料をこの上部に堆積した場合に形成される結合容量が大きくなるためである。この観点からは埋め込み材料としてはシリコン酸化膜等が好ましく、多結晶シリコン等は好ましくない。
【0013】
(6)前記酸化防止膜の上に形成された前記埋め込み絶縁膜を除去する工程。◆
埋め込み絶縁膜を、化学機械研磨(CMP)法あるいはドライエッチング法等を使用してエッチバックする。この場合、酸化防止膜はエッチングストッパーとなり、酸化防止膜の下の半導体基板のエッチングを防止する働きも持つ。
【0014】
(7)前記酸化防止膜の上に形成された前記埋め込み絶縁膜を除去した前記半導体基板を酸化する工程。◆
この工程により半導体基板の溝上端部の曲率が成長してリーク電流増加防止に十分な曲率とする。また、この酸化により埋め込み絶縁膜が緻密化されるという効果もある。◆
前工程(4)の酸化によって半導体基板の溝上端部の曲率がリーク電流増加防止に十分となっている場合には、この工程は不要である。◆
この工程は前工程(6)の前または次工程(8)の後に実施しても構わない。なお、次工程(8)の後に実施する場合は、半導体基板の表面も同時に酸化されることになるがこの半導体基板の表面に形成された酸化膜は追酸化終了後に除去することで素子分離酸化膜形成工程は完了する。
【0015】
(8)前記半導体基板の回路形成面の上に形成された前記酸化防止膜を除去する工程。◆
この工程により素子分離酸化膜の形成工程は終了するので、この素子分離酸化膜が形成された半導体基板にトランジスタ等の回路を形成して半導体装置が形成される。
【0016】
上記目的を達成するために本発明に係る半導体装置は、半導体基板の回路形成面に形成された素子分離酸化膜構造が溝分離構造である半導体装置であって、前記半導体基板の回路形成面と前記溝分離構造を構成する溝の深さ方向の前記半導体基板の側面とのなす角度θを90度<θ<180度の範囲となるように構成した。そしてこの構成により溝上端部での電界集中を防止することができるので、半導体基板上に構成するトランジスタや容量等の回路の耐圧特性の劣化に伴うリ−ク電流増加を防止することができる。
【0017】
また、溝の内部をシリコン酸化物等の誘電率の低い絶縁性材料で埋め込むことにより、半導体基板上に構成する配線の結合容量を小さくすることができ、半導体装置の信頼性をさらに高めることができる。
【0018】
【発明の実施の形態】
以下、本発明の実施形態を図面に示した実施例を参照して説明する。
【0019】
【実施例】
本発明の第1実施例であるMOS型トランジスタの製造工程を図2及び図3を用いて説明する。図2は第1実施例のMOS型トランジスタの製造工程の模式図、図3は第1実施例のMOS型トランジスタの製造工程のフローチャートである。
【0020】
第1実施例のMOS型トランジスタの製造工程は次のようになる。◆
(1) シリコン基板1の表面を熱酸化して厚さ10〜数10nmのパッド酸化膜2を形成する〔図3(101)〜(102)〕。
【0021】
(2) パッド酸化膜2の上に多結晶シリコン薄膜18を厚さ10〜200nm程度堆積する〔図3(103)〕。この多結晶シリコン薄膜18は、素子分離熱酸化膜5を形成した時の酸化防止膜として使用する。なお、パッド酸化膜2の形成を省略して、シリコン基板1の上に直接多結晶シリコン膜18を堆積しても構わない。◆
なお、以下の記載はパッド酸化膜2を形成したことを前提としている。従って、パッド酸化膜2の形成を省略した場合、パッド酸化膜2に関する工程は不要である。
【0022】
(3) 多結晶シリコン膜18の上にホトレジスト19を形成する〔図2(b)、図3(104)〕。
【0023】
(4) 通常の露光法を使用して、素子分離膜を形成する領域のホトレジスト19を除去した後、多結晶シリコン薄膜18、パッド酸化膜2及びシリコン基板1の一部をエッチング除去し、シリコン基板1の表面に側壁が所定の角度(実質的には60〜90度程度)を有する浅溝を形成する〔図2(c)〜(d)、図3(105)〜(107)〕。
【0024】
(5) ホトレジスト19を除去した後、熱酸化を行い、シリコン基板1の表面に形成した溝部分を厚さ数nm〜数10nm程度酸化する〔図2(e)〜(f)、図3(108)〜(109)〕。なお、酸化防止膜として堆積する多結晶シリコン薄膜18の膜厚さは、この熱酸化時に多結晶シリコン薄膜18が全て酸化されて多結晶シリコン薄膜18の下のシリコン基板1の全体が酸化されないよう酸化防止膜として機能するに十分な膜厚を確保しなければならない。この時、多結晶シリコン薄膜18の表面も酸化される。パッド酸化膜2が存在すると、パッド酸化膜2に接している多結晶シリコン薄膜18の下端及びシリコン基板1の上端近傍のシリコンは溝端から順に酸化されていき、いわゆるバーズビークが形成され、結果としてシリコン基板1の上端近傍の曲率化は促進される。この観点からは、パッド酸化膜2は形成することが好ましい。
【0025】
(6) この溝酸化では溝内部が完全に熱酸化膜で埋め尽くされないので、この溝内部を完全に熱酸化膜で埋め尽くすために、例えば化学気相蒸着法、スパッタ法等でシリコン酸化膜等の絶縁膜9を堆積し溝内部を埋め込む(以下、溝内部を埋め込む絶縁膜9を埋め込み絶縁膜9という)〔図2(g)、図3(110)〕。埋め込み絶縁膜9として使用する材料は基本的に絶縁性の材料でかつ誘電率が低いことが望ましい。これは、誘電率が大きい材料を使用すると、後工程において配線材料をこの上部に堆積した場合に形成される結合容量が大きくなるためである。この観点からは埋め込み材料として多結晶シリコンを使用することは好ましくない。
【0026】
(7) 埋め込み絶縁膜9を化学機械研磨(CMP)法あるいはドライエッチング法等を使用してエッチバックする〔図2(g)、図3(111)〕。この場合、酸化防止膜として使用した多結晶シリコン薄膜18はエッチングストッパーとなり、多結晶シリコン薄膜18の下のシリコン基板1がエッチングされることを防止する働きも持つ。
【0027】
(8) シリコン基板1の溝部分の酸化で成長したバーズビークによる溝上端部12の曲率がリーク電流増加防止に十分である場合には、多結晶シリコン薄膜18及びパッド酸化膜2を除去することで素子分離酸化膜の形成工程は完了する〔図2(h)(i)、図3(113)〕。
【0028】
シリコン基板1の溝部分の酸化で成長したバーズビークによる溝上端部12の曲率がリーク電流増加防止に十分でない場合には、埋め込み絶縁膜9をエッチバックした後で再び熱酸化(以下、追酸化という)を実施する〔図2(l)、図3(112)〕。
【0029】
この場合、シリコン基板1の溝内部には既に埋め込み絶縁膜9が形成されているので、次の理由により酸化は溝上端部12の近傍から進行し、溝内部はほとんど酸化されない。すなわち、溝内部は埋め込み絶縁膜9を介して熱酸化を行うことになるがこの場合、シリコン基板を直接酸化する場合と比較して酸化種が埋め込み絶縁膜9を拡散してシリコン基板1に到達する分だけ時間を要するので、数分程度の短い時間では実質的には酸化はほとんど進行しない。一方、溝上端部12には化学気相蒸着法またはスパッタ法で溝側壁と溝上面に堆積された埋め込み酸化膜9の接合部の弱い境界層が存在するため、この弱い境界層に沿って酸化種が相対的に高速で拡散することが可能となり、結果として溝上端部12には酸化種が短時間(酸化温度850℃で10分以上)で供給されることになり、溝上端部12の近傍のみが酸化され、溝上端部12の曲率形成を促進することになる。
【0030】
さらに、この追酸化により埋め込み絶縁膜9が緻密化されるという効果もある。そして追酸化終了後多結晶シリコン薄膜18及びパッド酸化膜2を除去することで素子分離酸化膜形成工程は完了する〔図2(m)、図3(113)〕。
【0031】
この追酸化は、多結晶シリコン薄膜18を除去してから行ってもよい。この場合、シリコン基板1の表面も同時に酸化されることになるがこのシリコン基板1の表面に形成された酸化膜は追酸化終了後に除去することで素子分離酸化膜形成工程は完了する。
【0032】
(9) シリコン基板1の上にトランジスタ構造等を形成する〔図2(j)、(h)、図3(114)〜(122)〕。◆
トランジスタ構造等の製造工程は従来の製造技術であれば良く特に限定されるものではないが、以下にMOS型トランジスタ構造の代表的な製造工程を説明する。
【0033】
(a) ゲート酸化膜6として、シリコン酸化膜、窒化ケイ素膜、酸窒化膜、強誘電体薄膜等のいずれか、あるいはこれらの積層体をシリコン基板1の上に形成する。◆
これらの薄膜は例えばCVD等により形成することができる。また、シリコン酸化膜はシリコン基板1の熱酸化で形成しても良い。
【0034】
(b) 多結晶シリコン薄膜、タングステン等の金属薄膜、シリサイド薄膜のいずれか、あるいはこれらの積層体を形成した後、不要箇所をエッチング加工等で除去してゲート電極7を形成する。
【0035】
(c) 不純物の導入、一層目配線10の形成、層間絶縁膜11等を形成する。さらに必要に応じて二層目以降の配線及び絶縁膜を形成する。◆
上記のMOS型トランジスタはDRAM(Dynamic Random Access Memory)、SRAM(Static Random Access Memory)等のメモリ回路あるいは演算回路等に使用することができる。
【0036】
第1実施例によれば、MOS型トランジスタの製造工程において、素子分離酸化膜構造として溝分離構造を形成する際にシリコン基板の溝上端部近傍に鋭角部が残留することを防止し、シリコン基板の溝上端部近傍に曲率部あるいは鈍角部を形成することでゲート電極膜端部近傍の電界集中に起因したMOS型トランジスタのリーク電流増加あるいは耐圧特性の低下を防止できトランジスタの電気的信頼性を向上できるという効果がある。
【0037】
なお、第1実施例は、熱酸化する前のシリコン基板の溝上端部がほぼ直角であることからシリコン基板の溝上端部近傍の曲率が十分なものにならない場合があるが、酸化防止膜である多結晶シリコンが酸化されやすいため、酸化防止膜が酸化されにくい材料に較べれば、シリコン基板の体積膨張に対する拘束力は低く、追酸化を必要としない場合がある。また、溝の加工が容易であり生産性点でも優れている。
【0038】
次に、本発明の第2実施例であるMOS型トランジスタの製造工程を図4及び図5に示す。図4は第2実施例のMOS型トランジスタの製造工程の模式図、図5は第2実施例のMOS型トランジスタの製造工程のフローチャートである。
【0039】
第2実施例のMOS型トランジスタの製造工程は第1実施の製造工程の(4)を次のように変更したものである。製造工程の(4)以外は第1実施例と同じなので詳細説明は省略する。
【0040】
(4) 通常の露光法を使用して、素子分離膜を形成する領域のホトレジスト19を除去した後、多結晶シリコン薄膜18、パッド酸化膜2及びシリコン基板1の一部をエッチング除去し、シリコン基板1の表面に浅溝を形成する。このシリコン基板表面の溝形成においては、溝上端近傍では等方性のエッチングを施し、溝上端近傍に曲率を形成し、その後異方性エッチングを施し等方性エッチング部13のような傾斜部を有する溝形状を形成する。なお、溝下端近傍の溝側壁の角度は必ずしも90度である必要はなく、所定の傾斜(実質的には60〜90度の範囲)が形成されていても構わない〔図4(c)〜(e)、図5(205)〜(207)〕。
【0041】
なお、第2実施例は第1実施例に較べ、浅溝形成時のエッチング工程が複雑になるが上記のように浅溝形成時にシリコン基板1の溝上端部に等方性エッチング部13を設けることにより、初回の熱酸化でのシリコン基板1の溝上端部の酸化が促進されさらに追酸化の必要性が低くなる。
【0042】
次に、本発明の第3実施例であるMOS型トランジスタの製造工程を図6及び図7を用いて説明する。図6は第3実施例のMOS型トランジスタの製造工程の模式図、図7は第3実施例のMOS型トランジスタの製造工程のフローチャートである。
【0043】
第3実施例のMOS型トランジスタの製造工程は次のようになる。◆
(1) シリコン基板1の表面を熱酸化して厚さ10〜数10nmのパッド酸化膜2を形成する〔図7(301)〜(302)〕。
【0044】
(2) パッド酸化膜2の上に耐酸化性の高い窒化ケイ素膜17を厚さ10〜200nm程度堆積する〔図7(103)〕。この窒化ケイ素膜17は、素子分離熱酸化膜5を形成した時の酸化防止膜として使用する。なお、パッド酸化膜2の形成を省略して、シリコン基板1の上に直接耐酸化性の高い窒化ケイ素膜17を堆積しても構わない。あるいは、パッド酸化膜2と多結晶シリコン薄膜を介して、または多結晶シリコン薄膜のみを介して窒化ケイ素膜17を堆積する。いずれの場合も窒化ケイ素膜17が最表面に存在する構造とする。
【0045】
なお、以下の記載は多結晶シリコン薄膜およびパッド酸化膜2を形成したことを前提としている。従って、多結晶シリコン薄膜およびパッド酸化膜2の形成を省略した場合、多結晶シリコン薄膜およびパッド酸化膜2に関する工程は不要である。
【0046】
(3) 窒化ケイ素膜17上にホトレジスト19を形成する〔図6(b)、図7(304)〕。
【0047】
(4) 通常の露光法を使用して、素子分離膜を形成する領域のホトレジスト19を除去した後、窒化ケイ素膜17、パッド酸化膜2及び多結晶シリコン薄膜をエッチング除去する。次にフォトレジスを除去して、シリコン基板1の表面にドライエッチング法を使用して浅溝を形成する。このシリコン基板表面の溝形成においては、溝上端近傍では等方性のエッチングを施し、溝上端近傍に曲率を形成し、その後異方性エッチングを施し等方性エッチング部13のような傾斜部を有する溝形状を形成する。なお、溝下端近傍の溝側壁の角度は必ずしも90度である必要はなく、所定の傾斜(実質的には60〜90度の範囲)が形成されていても構わない〔図6(c)〜(e)、図7(305)〜(308)〕。
【0048】
(5) ホトレジスト19を除去した後、熱酸化を行い、シリコン基板1の表面に形成した溝部分を厚さ数nm〜数10nm程度酸化する〔図6(e)〜(f)、図7(309)〕。なお、酸化防止膜として窒化ケイ素膜17の膜厚さは、この熱酸化時に窒化ケイ素膜17が全て酸化されて窒化ケイ素膜17の下のシリコン基板1の全体が酸化されないよう酸化防止膜として機能するに十分な膜厚を確保しなければならない。この窒化ケイ素膜17は耐酸化性が高いので第1実施例および第2実施例の多結晶シリコン薄膜18よりは膜厚を薄くすることができる。パッド酸化膜2が存在すると、パッド酸化膜2に接しているシリコン基板1の上端部近傍のシリコンおよび多結晶シリコン薄膜下端は溝端から順に酸化されていき、いわゆるバーズビークが形成され、結果としてシリコン基板1の上端近傍の曲率化は促進される。この観点からは、パッド酸化膜2は形成することが好ましい。
【0049】
(6) この溝酸化では溝内部が完全に熱酸化膜で埋め尽くされないので、この溝内部を完全に熱酸化膜で埋め尽くすために、例えば化学気相蒸着法、スパッタ法等でシリコン酸化膜等の絶縁膜9を堆積し溝内部を埋め込む(以下、溝内部を埋め込む絶縁膜9を埋め込み絶縁膜9という)〔図6(g)、図7(310)〕。埋め込み絶縁膜9として使用する材料は基本的に絶縁性の材料でかつ誘電率が低いことが望ましい。これは、誘電率が大きい材料を使用すると、後工程において配線材料をこの上部に堆積した場合に形成される結合容量が大きくなるためである。この観点からは埋め込み材料として多結晶シリコンを使用することは好ましくない。
【0050】
(7) シリコン基板1の溝部分の酸化で成長したバーズビークによる溝上端部12の曲率がリーク電流増加防止に十分である場合には、埋め込み絶縁膜9をエッチバックした後、残存した窒化ケイ素膜17、多結晶シリコン及びパッド酸化膜2を除去することで素子分離酸化膜の形成工程は完了する〔図6(h)(i)、図7(313)〕。
【0051】
シリコン基板1の溝部分の酸化で成長したバーズビークによる溝上端部12の曲率がリーク電流増加防止に十分でない場合には、埋め込み絶縁膜9をエッチバックする前に再び熱酸化(以下、追酸化という)を実施する〔図6(l)、図7(312)〕。
【0052】
この場合、シリコン基板1の溝内部には既に埋め込み絶縁膜9が形成されているので、次の理由により酸化は溝上端部12の近傍から進行し、溝内部はほとんど酸化されない。
【0053】
すなわち、溝内部は埋め込み絶縁膜9を介して熱酸化を行うことになるがこの場合、シリコン基板を直接酸化する場合と比較して酸化種が埋め込み絶縁膜9を拡散してシリコン基板1に到達する分だけ時間を要するので、数分程度の短い時間では実質的には酸化はほとんど進行しない。一方、溝上端部12には化学気相蒸着法またはスパッタ法で溝側壁と溝上面に堆積された埋め込み酸化膜9の接合部の弱い境界層が存在するため、この弱い境界層に沿って酸化種が相対的に高速で拡散することが可能となり、結果として溝上端部12には酸化種が短時間(酸化温度850℃で10分以上)で供給されることになり、溝上端部12の近傍のみが酸化され、溝上端部12の曲率形成を促進することになる。
【0054】
この追酸化で成長したバーズビークによる溝上端部12の曲率がリーク電流増加防止に十分である場合には、埋め込み絶縁膜9をエッチバックした後、残存した窒化ケイ素膜17、多結晶シリコン及びパッド酸化膜2を除去することで素子分離酸化膜の形成工程は完了する〔図6(m)、図7(313)〕。◆
なお、この追酸化は、必ずしも埋め込み絶縁膜9のエッチバック前に行う必要はなく、第1実施例のように埋め込み絶縁膜9のエッチバック後に行ってもよい。
【0055】
(8) シリコン基板1の上にトランジスタ構造等を形成する〔図6(j)、(n)、図7(314)〜(322)〕。◆
トランジスタ構造等の製造工程は従来の製造技術であれば良く特に限定されるものではないが、以下にMOS型トランジスタ構造の代表的な製造工程を説明する。
【0056】
(a) ゲート酸化膜6として、シリコン酸化膜、窒化ケイ素膜、酸窒化膜、強誘電体薄膜等のいずれか、あるいはこれらの積層体をシリコン基板1の上に形成する。◆
これらの薄膜は例えばCVD等により形成することができる。また、シリコン酸化膜はシリコン基板1の熱酸化で形成しても良い。
【0057】
(b) 多結晶シリコン薄膜、タングステン等の金属薄膜、シリサイド薄膜のいずれか、あるいはこれらの積層体を形成した後、不要箇所をエッチング加工等で除去してゲート電極7を形成する。
【0058】
(c) 不純物の導入、一層目配線10の形成、層間絶縁膜11等を形成する。さらに必要に応じて二層目以降の配線及び絶縁膜を形成する。
【0059】
上記のMOS型トランジスタはDRAM(Dynamic Random Access Memory)、SRAM(Static Random Access Memory)等のメモリ回路あるいは演算回路等に使用することができる。
【0060】
第3実施例においては、MOS型トランジスタの製造工程において、素子分離酸化膜構造として溝分離構造を形成する際にシリコン基板の溝上端部近傍に鋭角部が残留することを防止し、シリコン基板の溝上端部近傍に曲率部あるいは鈍角部を形成することでゲート電極膜端部近傍の電界集中に起因したMOS型トランジスタのリーク電流増加あるいは耐圧特性の低下を防止できトランジスタの電気的信頼性を向上できるという効果がある。
【0061】
なお、実施例3によれば、酸化防止膜として耐酸化性の高い窒化ケイ素膜17を使用するため、酸化防止膜の膜厚を薄くすることができ、最終工程における酸化防止膜の除去が容易になる。
【0062】
また、第3実施例は第2実施例と同様に、浅溝形成時のエッチング工程が複雑になるが上記のように浅溝形成時にシリコン基板1の溝上端部に等方性エッチング部13を設けることにより、初回の熱酸化でのシリコン基板1の溝上端部の酸化が促進されさらに追酸化の必要性が低くなる。
【0063】
次に、本発明の第4実施例であるMOS型トランジスタの製造工程を図8及び図9を用いて説明する。図8は第4実施例のMOS型トランジスタの製造工程の模式図、図9は第3実施例のMOS型トランジスタの製造工程のフローチャートである。
【0064】
(4) 通常の露光法を使用して、素子分離膜を形成する領域のホトレジスト19を除去した後、窒化ケイ素膜17、パッド酸化膜2及び多結晶シリコン薄膜をエッチング除去する。次にフォトレジスを除去して、シリコン基板1の表面にドライエッチング法を使用して浅溝を形成する。なお、溝下端近傍の溝側壁の角度は必ずしも90度である必要はなく、所定の傾斜(実質的には60〜90度の範囲)が形成されていても構わない〔図8(c)〜(e)、図9(405)〜(408)〕。
【0065】
第4実施例によれば、第3実施例と同様に酸化防止膜として耐酸化性の高い窒化ケイ素膜17を使用するため、酸化防止膜の膜厚を薄くすることができ、最終工程における酸化防止膜の除去が容易になる。◆
また、第4実施例は溝の加工が容易であり生産性が優れている。
【0066】
【発明の効果】
本発明によれば、溝分離構造を有する半導体装置において、回路を構成するトランジスタや容量の耐圧特性を劣化させることのない半導体装置及びその製造方法を提供することができる。
【図面の簡単な説明】
【図1】従来の選択酸化法における溝分離構造の製造工程の模式図である。
【図2】本願に係る第1実施例のMOS型トランジスタの製造工程の模式図である。
【図3】本願に係る第1実施例のMOS型トランジスタの製造工程のフローチャートである。
【図4】本願に係る第2実施例のMOS型トランジスタの製造工程の模式図である。
【図5】本願に係る第2実施例のMOS型トランジスタの製造工程のフローチャートである。
【図6】本願に係る第3実施例のMOS型トランジスタの製造工程の模式図である。
【図7】本願に係る第3実施例のMOS型トランジスタの製造工程のフローチャートである。
【図8】本願に係る第4実施例のMOS型トランジスタの製造工程の模式図である。
【図9】本願に係る第4実施例のMOS型トランジスタの製造工程のフローチャートである。
【符号の説明】
1…シリコン基板、2…パッド酸化膜、6…ゲート酸化膜、9…埋め込み絶縁膜、12…溝上端部、13…等方性エッチ部、17…窒化ケイ素膜、18…多結晶シリコン膜、19…フォトレジスト。
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a semiconductor device having a highly reliable trench isolation structure and a method for manufacturing the same.
[0002]
[Prior art]
There is a LOCOS (Local Oxidation of Silicon) structure as a structure for electrically insulating and separating adjacent elements on a semiconductor substrate. This structure is formed by selectively oxidizing the substrate surface to form a thick thermal oxide film, and is used in many semiconductor devices. However, this LOCOS structure has low processing accuracy, and is not suitable for an insulating isolation structure of a highly integrated semiconductor device that requires processing dimensional accuracy of a thermal oxide film like a deep submicron device. As an insulating isolation structure of a semiconductor device that requires high integration, a shallow groove is formed on the substrate surface as disclosed in, for example, Japanese Patent Laid-Open No. 63-143835 instead of the LOCOS structure, and the groove portion is selectively oxidized. Thus, a so-called selective oxidation method trench isolation structure for forming a thermal oxide film has begun to be adopted.
[0003]
This groove isolation structure has an advantage that an element isolation oxide film having a smaller planar dimension can be formed as compared with the LOCOS structure, and is therefore suitable for manufacturing deep submicron devices that require a processing dimension accuracy of 0.5 μm or less.
[0004]
[Problems to be solved by the invention]
For example, when a silicon thermal oxide film is formed by oxidizing the surface of a silicon substrate that is a semiconductor substrate, a large mechanical stress is generated at the interface between the formed thermal oxide film and the silicon substrate. This is because when the silicon substrate (Si) is partially oxidized and changed into a thermal oxide film (SiO 2), the volume expansion is about twice. When this mechanical stress increases, crystal defects such as dislocations and stacking faults are likely to occur in the silicon substrate, thereby degrading the reliability of the semiconductor device. Further, it has been clarified that the oxidation reaction itself (diffusion behavior of oxidized species, reaction rate at the oxidation interface, etc.) changes the shape of the oxide film grown under the influence of stress. Since the generated stress is concentrated in the vicinity of the end point (corner point) of the two-dimensional or three-dimensional shape, special attention must be paid to crystal defects and shape changes in this stress concentration field.
[0005]
FIG. 1 is a schematic view of a manufacturing process of a groove separation structure in a conventional selective oxidation method. As shown in FIG. 1, in the conventional method, after an anti-oxidation film 3 is deposited on the surface of the silicon substrate 1 via a pad oxide film (silicon thermal oxide film) 2, oxidation of a region where an element isolation oxide film is to be formed is oxidized. The prevention film 3, the pad oxide film 2 and the silicon substrate 1 are partially removed to form a groove (FIG. 1B), and the groove portion is oxidized to form a silicon thermal oxide film 5. In this groove separation structure, an end point (corner point) always exists in the vicinity of the upper end or lower end of the groove of the substrate, so that a stress concentration field is formed in the vicinity of the end point (corner point). Due to the formation of this stress concentration field, the substrate shape particularly near the upper end of the groove may be oxidized to a shape 4 with a sharp angle as shown in FIG. After forming the oxide film for element isolation, as shown in FIG. 1D, electronic circuits such as transistors and capacitors are formed in the element formation region covered with the oxidation protection film 3. Such an acute angle portion 4 is formed on the substrate. If it remains on the surface, for example, A. As disclosed by Bryant et al. In “Technical Digest of IEDM '94, pp. 671-674”, electric field concentration occurs in this part during circuit operation, and the breakdown voltage characteristics of transistors and capacitors constituting the circuit are deteriorated. There is a case.
[0006]
An object of the present invention is to provide a semiconductor device having a trench isolation structure and a highly reliable semiconductor device that does not deteriorate the breakdown voltage characteristics of transistors and capacitors constituting a circuit and a method for manufacturing the same.
[0007]
[Means for Solving the Problems]
The object is achieved by preventing the substrate shape from being sharpened near the upper end of the element isolation groove on the surface of the semiconductor substrate. ◆
In order to achieve the above object, a method of manufacturing a semiconductor device according to the present invention includes the following steps. ◆
(1) A step of forming an antioxidant film on the circuit formation surface of the semiconductor substrate. ◆
A silicon substrate etc. can be considered as a semiconductor substrate. ◆
The film thickness of the anti-oxidation film needs to be a film thickness that does not oxidize all the anti-oxidation films in the oxidation process in the subsequent steps (4), (7) and the like. ◆
As the antioxidant film, a polycrystalline silicon thin film, a silicon nitride film or the like can be considered. A material that is easily oxidized, such as a polycrystalline silicon thin film, has a low restraining force against volume expansion accompanying the oxidation of the silicon substrate, and stress concentration at the upper end of the groove is reduced. In addition, a material that is not easily oxidized, such as a silicon nitride film, can be thinned because the amount of oxidation in the oxidation process is small.
[0008]
It is also effective to form a pad oxide film on the silicon substrate before forming the antioxidant film. When the pad oxide film is present, the lower end of the anti-oxidation film in contact with the pad oxide film and the vicinity of the upper end of the semiconductor substrate are sequentially oxidized from the groove end, so that a so-called bird's beak is formed, resulting in a curvature near the upper end of the semiconductor substrate. Is promoted.
[0009]
(2) A step of forming a groove having a predetermined depth such that a groove is formed in the semiconductor substrate at a desired position on the circuit formation surface of the semiconductor substrate. ◆
This groove can be formed by, for example, a normal exposure method using a photoresist and etching.
[0010]
(3) A step of removing corner portions formed on the circuit formation surface of the semiconductor substrate by the grooves. ◆
This step is not always necessary, but if the corners are removed by this step, the oxidation in the subsequent step (7) is often unnecessary.
[0011]
(4) A step of oxidizing the groove portion formed in the semiconductor substrate. ◆
By this oxidation, the groove portion is oxidized with a thickness of several nm to several tens of nm. By this oxidation, bird's beaks grow in the groove and a curvature is formed at the upper end of the groove.
[0012]
(5) A step of filling a buried insulating film inside the oxidized groove. ◆
It is desirable that the material used for the buried insulating film is basically an insulating material and has a low dielectric constant. This is because when a material having a high dielectric constant is used, a coupling capacitance formed when a wiring material is deposited on the upper portion in a later process is increased. From this point of view, a silicon oxide film or the like is preferable as the filling material, and polycrystalline silicon or the like is not preferable.
[0013]
(6) A step of removing the buried insulating film formed on the antioxidant film. ◆
The buried insulating film is etched back using a chemical mechanical polishing (CMP) method or a dry etching method. In this case, the antioxidant film serves as an etching stopper, and also has a function of preventing etching of the semiconductor substrate under the antioxidant film.
[0014]
(7) A step of oxidizing the semiconductor substrate from which the buried insulating film formed on the antioxidant film has been removed. ◆
By this step, the curvature of the upper end portion of the groove of the semiconductor substrate grows and the curvature is sufficient to prevent an increase in leakage current. This oxidation also has the effect of densifying the buried insulating film. ◆
If the curvature of the upper end of the groove of the semiconductor substrate is sufficient to prevent an increase in leakage current due to the oxidation in the previous step (4), this step is unnecessary. ◆
This step may be performed before the previous step (6) or after the next step (8). In the case where the process is performed after the next step (8), the surface of the semiconductor substrate is also oxidized at the same time. However, the oxide film formed on the surface of the semiconductor substrate is removed after the completion of the additional oxidation, thereby isolating elements. The film formation process is completed.
[0015]
(8) A step of removing the antioxidant film formed on the circuit formation surface of the semiconductor substrate. ◆
Since this step completes the step of forming the element isolation oxide film, a circuit such as a transistor is formed on the semiconductor substrate on which the element isolation oxide film is formed, thereby forming a semiconductor device.
[0016]
In order to achieve the above object, a semiconductor device according to the present invention is a semiconductor device in which an element isolation oxide film structure formed on a circuit formation surface of a semiconductor substrate is a groove isolation structure, and the circuit formation surface of the semiconductor substrate and The angle θ formed with the side surface of the semiconductor substrate in the depth direction of the grooves constituting the groove separation structure is configured to be in the range of 90 ° <θ <180 °. In addition, this configuration can prevent electric field concentration at the upper end of the groove, thereby preventing an increase in leak current due to deterioration in breakdown voltage characteristics of circuits such as transistors and capacitors formed on the semiconductor substrate.
[0017]
Further, by embedding the inside of the groove with an insulating material having a low dielectric constant such as silicon oxide, it is possible to reduce the coupling capacity of wirings formed on the semiconductor substrate, and to further improve the reliability of the semiconductor device. it can.
[0018]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, embodiments of the present invention will be described with reference to examples shown in the drawings.
[0019]
【Example】
A manufacturing process of the MOS transistor according to the first embodiment of the present invention will be described with reference to FIGS. FIG. 2 is a schematic diagram of the manufacturing process of the MOS transistor of the first embodiment, and FIG. 3 is a flowchart of the manufacturing process of the MOS transistor of the first embodiment.
[0020]
The manufacturing process of the MOS transistor of the first embodiment is as follows. ◆
(1) The surface of the silicon substrate 1 is thermally oxidized to form a pad oxide film 2 having a thickness of 10 to several tens of nm [FIGS. 3 (101) to (102)].
[0021]
(2) A polycrystalline silicon thin film 18 is deposited to a thickness of about 10 to 200 nm on the pad oxide film 2 (FIG. 3 (103)). This polycrystalline silicon thin film 18 is used as an antioxidant film when the element isolation thermal oxide film 5 is formed. Note that the formation of the pad oxide film 2 may be omitted, and the polycrystalline silicon film 18 may be deposited directly on the silicon substrate 1. ◆
The following description is based on the assumption that the pad oxide film 2 is formed. Therefore, when the formation of the pad oxide film 2 is omitted, the process relating to the pad oxide film 2 is not necessary.
[0022]
(3) A photoresist 19 is formed on the polycrystalline silicon film 18 (FIGS. 2B and 3104).
[0023]
(4) After removing the photoresist 19 in the region where the element isolation film is to be formed using a normal exposure method, the polycrystalline silicon thin film 18, the pad oxide film 2, and a part of the silicon substrate 1 are removed by etching. Shallow grooves having sidewalls having a predetermined angle (substantially about 60 to 90 degrees) are formed on the surface of the substrate 1 (FIGS. 2 (c) to (d) and FIGS. 3 (105) to (107)).
[0024]
(5) After removing the photoresist 19, thermal oxidation is performed to oxidize the groove formed on the surface of the silicon substrate 1 with a thickness of several nanometers to several tens of nanometers [FIGS. 2 (e) to (f) and FIG. 108) to (109)]. Note that the thickness of the polycrystalline silicon thin film 18 deposited as an antioxidant film is such that the polycrystalline silicon thin film 18 is entirely oxidized during the thermal oxidation and the entire silicon substrate 1 under the polycrystalline silicon thin film 18 is not oxidized. A film thickness sufficient to function as an antioxidant film must be ensured. At this time, the surface of the polycrystalline silicon thin film 18 is also oxidized. When the pad oxide film 2 is present, the lower end of the polycrystalline silicon thin film 18 in contact with the pad oxide film 2 and the silicon in the vicinity of the upper end of the silicon substrate 1 are oxidized in order from the groove end, so that a so-called bird's beak is formed. The curvature in the vicinity of the upper end of the substrate 1 is promoted. From this point of view, the pad oxide film 2 is preferably formed.
[0025]
(6) In this groove oxidation, the inside of the groove is not completely filled with the thermal oxide film. Therefore, in order to completely fill the inside of the groove with the thermal oxide film, the silicon oxide film is formed by, for example, chemical vapor deposition or sputtering. The insulating film 9 or the like is deposited to fill the trench interior (hereinafter, the insulating film 9 filling the trench interior is referred to as a buried insulating film 9) [FIG. 2 (g), FIG. 3 (110)]. It is desirable that the material used for the buried insulating film 9 is basically an insulating material and has a low dielectric constant. This is because when a material having a high dielectric constant is used, a coupling capacitance formed when a wiring material is deposited on the upper portion in a later process is increased. From this point of view, it is not preferable to use polycrystalline silicon as a filling material.
[0026]
(7) The buried insulating film 9 is etched back using a chemical mechanical polishing (CMP) method, a dry etching method, or the like [FIGS. 2 (g) and 3 (111)]. In this case, the polycrystalline silicon thin film 18 used as the antioxidant film serves as an etching stopper, and also has a function of preventing the silicon substrate 1 under the polycrystalline silicon thin film 18 from being etched.
[0027]
(8) When the curvature of the groove upper end portion 12 due to the bird's beak grown by oxidation of the groove portion of the silicon substrate 1 is sufficient to prevent increase in leakage current, the polycrystalline silicon thin film 18 and the pad oxide film 2 are removed. The formation process of the element isolation oxide film is completed [FIGS. 2 (h) (i), FIG. 3 (113)].
[0028]
In the case where the curvature of the groove upper end portion 12 due to bird's beak grown by oxidation of the groove portion of the silicon substrate 1 is not sufficient to prevent an increase in leakage current, the buried insulating film 9 is etched back and then thermally oxidized again (hereinafter referred to as additional oxidation). ) Is carried out [FIG. 2 (l), FIG. 3 (112)].
[0029]
In this case, since the buried insulating film 9 has already been formed inside the groove of the silicon substrate 1, the oxidation proceeds from the vicinity of the upper end portion 12 of the groove for the following reason, and the inside of the groove is hardly oxidized. That is, the inside of the trench is thermally oxidized through the buried insulating film 9, but in this case, compared with the case where the silicon substrate is directly oxidized, the oxidized species diffuses the buried insulating film 9 and reaches the silicon substrate 1. Therefore, the oxidation hardly proceeds in a short time such as several minutes. On the other hand, since there is a weak boundary layer at the junction of the buried oxide film 9 deposited on the groove sidewall and the upper surface of the groove by chemical vapor deposition or sputtering, the groove upper end portion 12 is oxidized along this weak boundary layer. The seeds can diffuse at a relatively high speed. As a result, the oxidized species are supplied to the groove upper end portion 12 in a short time (at an oxidation temperature of 850 ° C. for 10 minutes or more). Only the vicinity is oxidized, and the formation of the curvature of the groove upper end portion 12 is promoted.
[0030]
Further, this additional oxidation has an effect that the buried insulating film 9 is densified. Then, after the additional oxidation is completed, the polycrystalline silicon thin film 18 and the pad oxide film 2 are removed to complete the element isolation oxide film forming step [FIGS. 2 (m) and 3 (113)].
[0031]
This additional oxidation may be performed after removing the polycrystalline silicon thin film 18. In this case, the surface of the silicon substrate 1 is also oxidized at the same time, but the element isolation oxide film forming step is completed by removing the oxide film formed on the surface of the silicon substrate 1 after completion of the additional oxidation.
[0032]
(9) A transistor structure or the like is formed on the silicon substrate 1 [FIGS. 2 (j), (h), FIG. 3 (114) to (122)]. ◆
The manufacturing process of the transistor structure and the like is not particularly limited as long as it is a conventional manufacturing technique, but a typical manufacturing process of the MOS transistor structure will be described below.
[0033]
(A) As the gate oxide film 6, a silicon oxide film, a silicon nitride film, an oxynitride film, a ferroelectric thin film, or the like, or a laminate thereof is formed on the silicon substrate 1. ◆
These thin films can be formed by, for example, CVD. Further, the silicon oxide film may be formed by thermal oxidation of the silicon substrate 1.
[0034]
(B) After forming any one of a polycrystalline silicon thin film, a metal thin film such as tungsten, a silicide thin film, or a laminated body thereof, unnecessary portions are removed by etching or the like to form the gate electrode 7.
[0035]
(C) Impurity introduction, first-layer wiring 10 formation, interlayer insulating film 11 and the like are formed. Further, the second and subsequent wirings and insulating films are formed as necessary. ◆
The above MOS transistor can be used in a memory circuit such as a DRAM (Dynamic Random Access Memory) or SRAM (Static Random Access Memory) or an arithmetic circuit.
[0036]
According to the first embodiment, when forming a trench isolation structure as the element isolation oxide film structure in the manufacturing process of the MOS transistor, it is possible to prevent an acute angle portion from remaining in the vicinity of the trench upper end portion of the silicon substrate. By forming a curvature or obtuse angle near the top edge of the trench, it is possible to prevent an increase in leakage current or a decrease in breakdown voltage characteristics due to electric field concentration near the edge of the gate electrode film, thereby reducing the electrical reliability of the transistor. There is an effect that it can be improved.
[0037]
In the first embodiment, since the groove upper end portion of the silicon substrate before thermal oxidation is substantially perpendicular, the curvature near the groove upper end portion of the silicon substrate may not be sufficient. Since some polycrystalline silicon is easily oxidized, the restraint force on the volume expansion of the silicon substrate is lower than that of a material in which the antioxidant film is difficult to oxidize, and additional oxidation may not be required. Further, the grooves can be easily processed, and the productivity is excellent.
[0038]
Next, a manufacturing process of the MOS transistor according to the second embodiment of the present invention is shown in FIGS. FIG. 4 is a schematic diagram of the manufacturing process of the MOS transistor of the second embodiment, and FIG. 5 is a flowchart of the manufacturing process of the MOS transistor of the second embodiment.
[0039]
The manufacturing process of the MOS transistor of the second embodiment is obtained by changing (4) of the manufacturing process of the first embodiment as follows. Since the manufacturing process is the same as in the first embodiment except for (4), detailed description thereof is omitted.
[0040]
(4) After removing the photoresist 19 in the region where the element isolation film is to be formed using a normal exposure method, the polycrystalline silicon thin film 18, the pad oxide film 2, and a part of the silicon substrate 1 are removed by etching. A shallow groove is formed on the surface of the substrate 1. In this groove formation on the silicon substrate surface, isotropic etching is performed in the vicinity of the groove upper end, a curvature is formed in the vicinity of the groove upper end, and then anisotropic etching is performed to form an inclined portion such as the isotropic etching portion 13. A groove shape is formed. In addition, the angle of the groove side wall in the vicinity of the groove lower end is not necessarily 90 degrees, and a predetermined inclination (substantially in the range of 60 to 90 degrees) may be formed [FIG. (E), FIG. 5 (205)-(207)].
[0041]
In the second embodiment, the etching process at the time of forming the shallow groove is more complicated than the first embodiment, but the isotropic etching portion 13 is provided at the upper end of the groove of the silicon substrate 1 at the time of forming the shallow groove as described above. As a result, the oxidation of the upper end of the groove of the silicon substrate 1 in the first thermal oxidation is promoted, and the necessity for additional oxidation is further reduced.
[0042]
Next, a manufacturing process of the MOS transistor according to the third embodiment of the present invention will be described with reference to FIGS. FIG. 6 is a schematic diagram of the manufacturing process of the MOS transistor of the third embodiment, and FIG. 7 is a flowchart of the manufacturing process of the MOS transistor of the third embodiment.
[0043]
The manufacturing process of the MOS transistor of the third embodiment is as follows. ◆
(1) The surface of the silicon substrate 1 is thermally oxidized to form a pad oxide film 2 having a thickness of 10 to several tens of nm [FIGS. 7 (301) to (302)].
[0044]
(2) A silicon nitride film 17 having a high oxidation resistance is deposited on the pad oxide film 2 to a thickness of about 10 to 200 nm [FIG. 7 (103)]. This silicon nitride film 17 is used as an antioxidant film when the element isolation thermal oxide film 5 is formed. Alternatively, the formation of the pad oxide film 2 may be omitted, and the silicon nitride film 17 having high oxidation resistance may be deposited directly on the silicon substrate 1. Alternatively, the silicon nitride film 17 is deposited through the pad oxide film 2 and the polycrystalline silicon thin film or only through the polycrystalline silicon thin film. In either case, the silicon nitride film 17 is formed on the outermost surface.
[0045]
The following description is based on the assumption that the polycrystalline silicon thin film and the pad oxide film 2 are formed. Therefore, when the formation of the polycrystalline silicon thin film and the pad oxide film 2 is omitted, the steps relating to the polycrystalline silicon thin film and the pad oxide film 2 are not necessary.
[0046]
(3) A photoresist 19 is formed on the silicon nitride film 17 (FIGS. 6B and 7304).
[0047]
(4) Using a normal exposure method, after removing the photoresist 19 in the region where the element isolation film is to be formed, the silicon nitride film 17, the pad oxide film 2 and the polycrystalline silicon thin film are removed by etching. Next, the photoresist is removed, and a shallow groove is formed on the surface of the silicon substrate 1 using a dry etching method. In this groove formation on the silicon substrate surface, isotropic etching is performed in the vicinity of the groove upper end, a curvature is formed in the vicinity of the groove upper end, and then anisotropic etching is performed to form an inclined portion such as the isotropic etching portion 13. A groove shape is formed. In addition, the angle of the groove side wall in the vicinity of the groove lower end is not necessarily 90 degrees, and a predetermined inclination (substantially in the range of 60 to 90 degrees) may be formed [FIG. (E), FIG. 7 (305)-(308)].
[0048]
(5) After removing the photoresist 19, thermal oxidation is performed to oxidize the groove formed on the surface of the silicon substrate 1 to a thickness of several nanometers to several tens of nanometers [FIGS. 6 (e) to (f) and FIG. 309)]. The film thickness of the silicon nitride film 17 as an antioxidant film functions as an antioxidant film so that the silicon nitride film 17 is entirely oxidized during the thermal oxidation and the entire silicon substrate 1 under the silicon nitride film 17 is not oxidized. A sufficient film thickness must be ensured. Since the silicon nitride film 17 has high oxidation resistance, it can be made thinner than the polycrystalline silicon thin film 18 of the first and second embodiments. When the pad oxide film 2 is present, the silicon near the upper end portion of the silicon substrate 1 in contact with the pad oxide film 2 and the lower end of the polycrystalline silicon thin film are oxidized in order from the groove end to form a so-called bird's beak, resulting in the silicon substrate. Curvature in the vicinity of the upper end of 1 is promoted. From this point of view, the pad oxide film 2 is preferably formed.
[0049]
(6) In this groove oxidation, the inside of the groove is not completely filled with the thermal oxide film. Therefore, in order to completely fill the inside of the groove with the thermal oxide film, the silicon oxide film is formed by, for example, chemical vapor deposition or sputtering. The insulating film 9 is deposited to fill the inside of the groove (hereinafter, the insulating film 9 filling the inside of the groove is referred to as a buried insulating film 9) [FIG. 6 (g), FIG. 7 (310)]. It is desirable that the material used for the buried insulating film 9 is basically an insulating material and has a low dielectric constant. This is because when a material having a high dielectric constant is used, a coupling capacitance formed when a wiring material is deposited on the upper portion in a later process is increased. From this point of view, it is not preferable to use polycrystalline silicon as a filling material.
[0050]
(7) If the curvature of the groove upper end portion 12 by the bird's beak grown by oxidation of the groove portion of the silicon substrate 1 is sufficient to prevent increase in leakage current, the remaining silicon nitride film is etched back after the embedded insulating film 9 is etched back 17. The step of forming the element isolation oxide film is completed by removing the polycrystalline silicon and the pad oxide film 2 (FIGS. 6H, 7I, and 313).
[0051]
If the curvature of the groove upper end portion 12 due to bird's beak grown by oxidation of the groove portion of the silicon substrate 1 is not sufficient to prevent increase in leakage current, thermal oxidation (hereinafter referred to as additional oxidation hereinafter) is performed again before the buried insulating film 9 is etched back. (Fig. 6 (l), Fig. 7 (312)).
[0052]
In this case, since the buried insulating film 9 has already been formed inside the groove of the silicon substrate 1, the oxidation proceeds from the vicinity of the upper end portion 12 of the groove for the following reason, and the inside of the groove is hardly oxidized.
[0053]
That is, the inside of the trench is thermally oxidized through the buried insulating film 9, but in this case, compared with the case where the silicon substrate is directly oxidized, the oxidized species diffuses the buried insulating film 9 and reaches the silicon substrate 1. Therefore, the oxidation hardly proceeds in a short time such as several minutes. On the other hand, since there is a weak boundary layer at the junction of the buried oxide film 9 deposited on the groove side wall and the upper surface of the groove by chemical vapor deposition or sputtering, the groove upper end portion 12 is oxidized along this weak boundary layer. The seeds can diffuse at a relatively high speed. As a result, the oxidized species are supplied to the groove upper end portion 12 in a short time (at an oxidation temperature of 850 ° C. for 10 minutes or more). Only the vicinity is oxidized, and the formation of the curvature of the groove upper end portion 12 is promoted.
[0054]
In the case where the curvature of the groove upper end portion 12 due to the bird's beak grown by this additional oxidation is sufficient to prevent an increase in leakage current, the buried insulating film 9 is etched back, and then the remaining silicon nitride film 17, polycrystalline silicon, and pad oxidation By removing the film 2, the process for forming the element isolation oxide film is completed [FIG. 6 (m), FIG. 7 (313)]. ◆
This additional oxidation is not necessarily performed before the buried insulating film 9 is etched back, and may be performed after the buried insulating film 9 is etched back as in the first embodiment.
[0055]
(8) A transistor structure or the like is formed on the silicon substrate 1 (FIGS. 6 (j) and (n), FIGS. 7 (314) to (322)). ◆
The manufacturing process of the transistor structure and the like is not particularly limited as long as it is a conventional manufacturing technique, but a typical manufacturing process of the MOS transistor structure will be described below.
[0056]
(A) As the gate oxide film 6, a silicon oxide film, a silicon nitride film, an oxynitride film, a ferroelectric thin film, or the like, or a laminate thereof is formed on the silicon substrate 1. ◆
These thin films can be formed by, for example, CVD. Further, the silicon oxide film may be formed by thermal oxidation of the silicon substrate 1.
[0057]
(B) After forming any one of a polycrystalline silicon thin film, a metal thin film such as tungsten, a silicide thin film, or a laminated body thereof, unnecessary portions are removed by etching or the like to form the gate electrode 7.
[0058]
(C) Impurity introduction, first-layer wiring 10 formation, interlayer insulating film 11 and the like are formed. Further, the second and subsequent layers of wiring and insulating films are formed as necessary.
[0059]
The above MOS transistor can be used for a memory circuit such as a DRAM (Dynamic Random Access Memory) and an SRAM (Static Random Access Memory) or an arithmetic circuit.
[0060]
In the third embodiment, when forming the trench isolation structure as the element isolation oxide film structure in the manufacturing process of the MOS transistor, it is possible to prevent an acute angle portion from remaining in the vicinity of the upper end of the trench of the silicon substrate. By forming a curvature or obtuse angle near the top of the trench, it is possible to prevent an increase in leakage current or a decrease in breakdown voltage characteristics due to electric field concentration near the end of the gate electrode film, thereby improving the electrical reliability of the transistor. There is an effect that can be done.
[0061]
According to the third embodiment, since the silicon nitride film 17 having high oxidation resistance is used as the antioxidant film, the thickness of the antioxidant film can be reduced, and the antioxidant film can be easily removed in the final process. become.
[0062]
In addition, as in the second embodiment, the third embodiment complicates the etching process when forming the shallow groove. However, as described above, the isotropic etching portion 13 is formed at the upper end of the silicon substrate 1 when forming the shallow groove. By providing, the oxidation of the upper end of the groove of the silicon substrate 1 in the first thermal oxidation is promoted, and the necessity for additional oxidation is further reduced.
[0063]
Next, a manufacturing process of the MOS transistor according to the fourth embodiment of the present invention will be described with reference to FIGS. FIG. 8 is a schematic diagram of the manufacturing process of the MOS transistor of the fourth embodiment, and FIG. 9 is a flowchart of the manufacturing process of the MOS transistor of the third embodiment.
[0064]
(4) Using a normal exposure method, after removing the photoresist 19 in the region where the element isolation film is to be formed, the silicon nitride film 17, the pad oxide film 2 and the polycrystalline silicon thin film are removed by etching. Next, the photoresist is removed, and a shallow groove is formed on the surface of the silicon substrate 1 using a dry etching method. Note that the angle of the groove side wall in the vicinity of the groove lower end is not necessarily 90 degrees, and a predetermined inclination (substantially in the range of 60 to 90 degrees) may be formed [FIG. (E), FIG. 9 (405)-(408)].
[0065]
According to the fourth embodiment, since the silicon nitride film 17 having high oxidation resistance is used as the antioxidant film as in the third embodiment, the thickness of the antioxidant film can be reduced, and oxidation in the final process is performed. Removal of the prevention film is facilitated. ◆
Further, the fourth embodiment is easy to process the groove and is excellent in productivity.
[0066]
【The invention's effect】
According to the present invention, in a semiconductor device having a trench isolation structure, it is possible to provide a semiconductor device that does not deteriorate the breakdown voltage characteristics of transistors and capacitors constituting a circuit, and a method for manufacturing the same.
[Brief description of the drawings]
FIG. 1 is a schematic view of a manufacturing process of a groove separation structure in a conventional selective oxidation method.
FIG. 2 is a schematic view of a manufacturing process of the MOS transistor of the first embodiment according to the present application.
FIG. 3 is a flowchart of a manufacturing process of the MOS transistor according to the first embodiment of the present application.
FIG. 4 is a schematic diagram of a manufacturing process of a MOS transistor according to a second embodiment of the present application.
FIG. 5 is a flowchart of manufacturing steps of a MOS transistor according to a second embodiment of the present application.
FIG. 6 is a schematic diagram of a manufacturing process of a MOS transistor according to a third embodiment of the present application.
FIG. 7 is a flowchart of manufacturing steps of a MOS transistor according to a third embodiment of the present application.
FIG. 8 is a schematic view of a manufacturing process of the MOS transistor of the fourth embodiment according to the present application.
FIG. 9 is a flowchart of manufacturing steps of a MOS transistor according to a fourth embodiment of the present application.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 1 ... Silicon substrate, 2 ... Pad oxide film, 6 ... Gate oxide film, 9 ... Embedded insulating film, 12 ... Groove upper end part, 13 ... Isotropic etch part, 17 ... Silicon nitride film, 18 ... Polycrystalline silicon film, 19: Photoresist.

Claims (7)

次の工程を含む半導体装置の製造方法。
(1)パッド酸化膜が形成された半導体基板の回路形成面に酸化防止膜を形成する工程。
(2)前記半導体基板の回路形成面の所望の位置の前記酸化防止膜及びパッド酸化膜を除去する工程。
(3)前記パッド酸化膜が除去された領域に溝を形成して、前記形成した溝を酸化し、曲率が形成された前記半導体基板の前記溝上部と内壁との表面に前記酸化されて形成された酸化膜を有する溝を形成する工程。
(4)前記半導体基板の前記回路形成面に絶縁膜を堆積し、前記酸化膜を有する溝内部に前記絶縁膜を埋め込む工程。
(5)前記酸化防止膜の上及び前記絶縁膜が埋め込まれた溝の上にわたって形成された前記絶縁膜を前記酸化防止膜に到るまで除去する工程。
(6)前記除去により形成された前記絶縁膜および前記酸化防止膜表面を有する前記半導体基板を熱処理して酸化し、前記曲率より緩やかな曲率の前記溝上部が形成される工程。
(7)前記酸化防止膜及び前記パッド酸化膜を除去する工程。
(8)前記パッド酸化膜が除去された前記半導体基板上にトランジスタを形成する工程。
A method of manufacturing a semiconductor device including the following steps.
(1) A step of forming an antioxidant film on the circuit formation surface of the semiconductor substrate on which the pad oxide film is formed.
(2) A step of removing the antioxidant film and the pad oxide film at desired positions on the circuit formation surface of the semiconductor substrate.
(3) A groove is formed in the region from which the pad oxide film has been removed, the formed groove is oxidized, and the surface is formed by oxidation on the surface of the groove upper portion and the inner wall of the semiconductor substrate where the curvature is formed. Forming a groove having the oxidized film.
(4) A step of depositing an insulating film on the circuit forming surface of the semiconductor substrate and embedding the insulating film in the trench having the oxide film.
(5) A step of removing the insulating film formed over the antioxidant film and over the trench in which the insulating film is embedded until reaching the antioxidant film.
(6) A step of heat-treating and oxidizing the semiconductor substrate having the surface of the insulating film and the antioxidant film formed by the removal to form the upper part of the groove having a curvature gentler than the curvature.
(7) A step of removing the antioxidant film and the pad oxide film.
(8) A step of forming a transistor on the semiconductor substrate from which the pad oxide film has been removed.
次の工程を含む半導体装置の製造方法。
(1)パッド酸化膜が形成された半導体基板の回路形成面に酸化防止膜を形成する工程。
(2)前記半導体基板の回路形成面であって、素子形成領域間の素子分離領域が形成される領域に前記酸化防止膜及びパッド酸化膜を除去する工程。
(3)前記パッド酸化膜が除去された領域の前記半導体基板に等方性エッチング及び異方性エッチングを用いて所定の深さの溝を形成し、前記形成した溝を酸化して、曲率が形成された前記半導体基板の前記溝上部と内壁との表面に前記酸化されて形成された酸化膜を有する溝を形成する工程。
(4)前記半導体基板の前記回路形成面に絶縁膜を堆積し、前記酸化膜を有する溝内部に前記絶縁膜を埋め込む工程。
(5)前記酸化防止膜の上及び前記絶縁膜が埋め込まれた溝の上を覆って形成された前記絶縁膜を前記酸化防止膜に到るまで除去する工程。
(6)前記除去により形成された前記絶縁膜および前記酸化防止膜表面を有する前記半導体基板を熱処理して酸化する工程。
(7)前記酸化防止膜及び前記パッド酸化膜を除去する工程。
(8)前記パッド酸化膜が除去された前記半導体基板上にゲート絶縁膜と前記ゲート絶縁膜の上にゲート電極を形成する工程。
A method of manufacturing a semiconductor device including the following steps.
(1) A step of forming an antioxidant film on the circuit formation surface of the semiconductor substrate on which the pad oxide film is formed.
(2) A step of removing the anti-oxidation film and the pad oxide film on a circuit formation surface of the semiconductor substrate and in a region where an element isolation region between element formation regions is formed.
(3) A groove having a predetermined depth is formed on the semiconductor substrate in a region where the pad oxide film has been removed by using isotropic etching and anisotropic etching, and the formed groove is oxidized to obtain a curvature. Forming a groove having the oxidized oxide film on the surface of the groove upper portion and the inner wall of the formed semiconductor substrate ;
(4) A step of depositing an insulating film on the circuit forming surface of the semiconductor substrate and embedding the insulating film in the trench having the oxide film.
(5) A step of removing the insulating film formed on the antioxidant film and on the trench in which the insulating film is buried until the antioxidant film is reached.
(6) A step of oxidizing the semiconductor substrate having the surface of the insulating film and the antioxidant film formed by the removal by heat treatment.
(7) A step of removing the antioxidant film and the pad oxide film.
(8) A step of forming a gate insulating film on the semiconductor substrate from which the pad oxide film has been removed and a gate electrode on the gate insulating film.
次の工程を含む半導体装置の製造方法。
(1)パッド酸化膜が形成された半導体基板の回路形成面に酸化防止膜を形成する工程。
(2)前記半導体基板の回路形成面の所望の位置の前記酸化防止膜及びパッド酸化膜を除去し、前記パッド酸化膜が除去された領域の前記半導体基板に、上端部の角部が除去された溝を形成し、前記形成した溝を酸化して、曲率が形成された前記半導体基板の前記溝上部と内壁との表面に前記酸化されて形成された酸化膜を有する溝を形成する工程。
(4)前記半導体基板の前記回路形成面に絶縁膜を堆積し、前記酸化膜を有する溝内部に前記絶縁膜を埋め込む工程。
(5)前記酸化防止膜の上及び前記絶縁膜が埋め込まれた溝の上にわたって形成された前記絶縁膜を有する半導体基板を酸化して、前記曲率より増加した曲率を有する前記溝上部が形成される工程。
(6)前記酸化防止膜の上及び前記絶縁膜が埋め込まれた溝の上に形成された前記絶縁膜を除去する工程。
(7)前記酸化防止膜及び前記パッド酸化膜を除去する工程。
(8)前記パッド酸化膜が除去された前記半導体基板上にゲート絶縁膜と前記ゲート絶縁膜の上にゲート電極を形成する工程。
A method of manufacturing a semiconductor device including the following steps.
(1) A step of forming an antioxidant film on the circuit formation surface of the semiconductor substrate on which the pad oxide film is formed.
(2) The anti-oxidation film and the pad oxide film at a desired position on the circuit formation surface of the semiconductor substrate are removed, and the corner of the upper end is removed from the semiconductor substrate in the region where the pad oxide film is removed. Forming a groove having the oxide film formed by oxidation on the surface of the groove upper portion and the inner wall of the semiconductor substrate on which the curvature is formed.
(4) A step of depositing an insulating film on the circuit forming surface of the semiconductor substrate and embedding the insulating film in the trench having the oxide film.
(5) The semiconductor substrate having the insulating film formed over the antioxidant film and the groove in which the insulating film is embedded is oxidized to form the upper part of the groove having a curvature increased from the curvature. Process.
(6) A step of removing the insulating film formed on the antioxidant film and on the trench in which the insulating film is embedded.
(7) A step of removing the antioxidant film and the pad oxide film.
(8) A step of forming a gate insulating film on the semiconductor substrate from which the pad oxide film has been removed and a gate electrode on the gate insulating film.
請求項1乃至請求項3のうちのいずれか1項に記載の半導体装置の製造方法において、
前記酸化防止膜と前記パッド酸化膜との間に多結晶シリコン層が形成される工程を有することを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to any one of claims 1 to 3,
A method of manufacturing a semiconductor device, comprising a step of forming a polycrystalline silicon layer between the antioxidant film and the pad oxide film.
請求項1乃至請求項4のうちのいずれか1項に記載の半導体装置の製造方法において、
前記酸化防止膜は多結晶シリコンであることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to any one of claims 1 to 4,
The method of manufacturing a semiconductor device, wherein the antioxidant film is polycrystalline silicon.
請求項1乃至請求項5のうちのいずれか1項に記載の半導体装置の製造方法において、
前記ゲート電極は積層体であることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to any one of claims 1 to 5,
The method of manufacturing a semiconductor device, wherein the gate electrode is a stacked body.
請求項1乃至請求項6のうちのいずれか1項に記載の半導体装の製造方法において、
前記半導体基板の回路形成面と前記溝の深さ方向の前記半導体基板の側面とのなす角度θが90度<θ<180度の範囲であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to any one of claims 1 to 6,
A method of manufacturing a semiconductor device, characterized in that an angle θ formed by a circuit formation surface of the semiconductor substrate and a side surface of the semiconductor substrate in the depth direction of the groove is in a range of 90 ° <θ <180 °.
JP24444596A 1996-09-17 1996-09-17 Semiconductor device and manufacturing method thereof Expired - Fee Related JP3611226B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP24444596A JP3611226B2 (en) 1996-09-17 1996-09-17 Semiconductor device and manufacturing method thereof
TW086113393A TW360945B (en) 1996-09-17 1997-09-15 Semiconductor apparatus and manufacturing method
PCT/JP1997/003267 WO1998012742A1 (en) 1996-09-17 1997-09-16 Semiconductor device and method of fabricating the same
CNB971980063A CN1161837C (en) 1996-09-17 1997-09-16 Semiconductor device and method of fabricating the same
KR10-1999-7002156A KR100425064B1 (en) 1996-09-17 1997-09-16 Semiconductor device and method of fabricating the same
MYPI97004289A MY129438A (en) 1996-09-17 1997-09-16 Semiconductor device and method of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP24444596A JP3611226B2 (en) 1996-09-17 1996-09-17 Semiconductor device and manufacturing method thereof

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2001007145A Division JP2001237383A (en) 2001-01-16 2001-01-16 Semiconductor device and method of manufacturing the same
JP2004236456A Division JP2004320060A (en) 2004-08-16 2004-08-16 Semiconductor device and manufacture method thereof

Publications (2)

Publication Number Publication Date
JPH1092919A JPH1092919A (en) 1998-04-10
JP3611226B2 true JP3611226B2 (en) 2005-01-19

Family

ID=17118766

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24444596A Expired - Fee Related JP3611226B2 (en) 1996-09-17 1996-09-17 Semiconductor device and manufacturing method thereof

Country Status (6)

Country Link
JP (1) JP3611226B2 (en)
KR (1) KR100425064B1 (en)
CN (1) CN1161837C (en)
MY (1) MY129438A (en)
TW (1) TW360945B (en)
WO (1) WO1998012742A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW388100B (en) * 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5811346A (en) * 1997-04-14 1998-09-22 Vlsi Technology, Inc. Silicon corner rounding in shallow trench isolation process
WO1999044223A2 (en) * 1998-02-27 1999-09-02 Lsi Logic Corporation Process of shallow trench isolating active devices to avoid sub-threshold kinks arising from corner effects without additional processing
JP3917327B2 (en) * 1999-06-01 2007-05-23 株式会社ルネサステクノロジ Method and apparatus for manufacturing semiconductor device
JP2004095886A (en) 2002-08-30 2004-03-25 Fujitsu Ltd Semiconductor device and its manufacturing method
TWI253746B (en) * 2003-10-24 2006-04-21 Fujitsu Ltd Semiconductor device group and method for fabricating the same, and semiconductor device and method for fabricating the same
KR100584776B1 (en) * 2004-03-05 2006-05-29 삼성전자주식회사 Method of forming active structure, isolation and MOS transistor

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63234534A (en) * 1987-03-24 1988-09-29 Oki Electric Ind Co Ltd Manufacture of semiconductor element
KR960006714B1 (en) * 1990-05-28 1996-05-22 가부시끼가이샤 도시바 Semiconductor device fabrication process
JP3208575B2 (en) * 1991-08-16 2001-09-17 ソニー株式会社 Semiconductor device manufacturing method
US5316965A (en) * 1993-07-29 1994-05-31 Digital Equipment Corporation Method of decreasing the field oxide etch rate in isolation technology
JP2955459B2 (en) * 1993-12-20 1999-10-04 株式会社東芝 Method for manufacturing semiconductor device
US5536675A (en) * 1993-12-30 1996-07-16 Intel Corporation Isolation structure formation for semiconductor circuit fabrication

Also Published As

Publication number Publication date
CN1231064A (en) 1999-10-06
KR100425064B1 (en) 2004-03-30
TW360945B (en) 1999-06-11
MY129438A (en) 2007-04-30
KR20000036123A (en) 2000-06-26
JPH1092919A (en) 1998-04-10
WO1998012742A1 (en) 1998-03-26
CN1161837C (en) 2004-08-11

Similar Documents

Publication Publication Date Title
KR100295929B1 (en) Filling of high aspect ratio trench isolation
US6642125B2 (en) Integrated circuits having adjacent P-type doped regions having shallow trench isolation structures without liner layers therein therebetween and methods of forming same
US5885883A (en) Methods of forming trench-based isolation regions with reduced susceptibility to edge defects
JPH04250650A (en) Flattening of integrated circuit provided with completely recessed isolation insulator
JPH0513566A (en) Manufacture of semiconductor device
US6040232A (en) Method of manufacturing shallow trench isolation
US20020127818A1 (en) Recess-free trench isolation structure and method of forming the same
JP2010027904A (en) Method of manufacturing semiconductor device
TWI283481B (en) BiCMOS integration scheme with raised extrinsic base
JP3974286B2 (en) Shallow trench isolation method
JP3362588B2 (en) Method for manufacturing semiconductor device
US6221733B1 (en) Reduction of mechanical stress in shallow trench isolation process
US6358785B1 (en) Method for forming shallow trench isolation structures
KR100311708B1 (en) Semiconductor device having a shallow isolation trench
JP3611226B2 (en) Semiconductor device and manufacturing method thereof
US6433400B1 (en) Semiconductor fabrication employing barrier atoms incorporated at the edges of a trench isolation structure
US6335556B1 (en) Semiconductor device and method for manufacturing semiconductor device
JPH10321716A (en) Semiconductor device and manufacture therefor
KR100244847B1 (en) Methods to prevent divot formation in shallow trench isolation areas and integrated circuit chip formed thereby
JP4014738B2 (en) Manufacturing method of semiconductor wafer
US6271147B1 (en) Methods of forming trench isolation regions using spin-on material
EP1184902A1 (en) Method for forming an isolation trench in a SOI substrate
US6303465B1 (en) Method of forming low leakage current borderless contact
JP3547279B2 (en) Method for manufacturing semiconductor device
EP1104936A1 (en) Method of manufacturing a semiconductor device, and semiconductor device manufactured thereby

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040106

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040303

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20040308

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040303

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040615

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040816

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20041012

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20041015

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081029

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081029

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091029

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees