JP3453614B2 - Method of forming fine pattern gap in semiconductor device - Google Patents

Method of forming fine pattern gap in semiconductor device

Info

Publication number
JP3453614B2
JP3453614B2 JP31401698A JP31401698A JP3453614B2 JP 3453614 B2 JP3453614 B2 JP 3453614B2 JP 31401698 A JP31401698 A JP 31401698A JP 31401698 A JP31401698 A JP 31401698A JP 3453614 B2 JP3453614 B2 JP 3453614B2
Authority
JP
Japan
Prior art keywords
etching
polymer
forming
depositing
photoresist pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP31401698A
Other languages
Japanese (ja)
Other versions
JPH11219943A (en
Inventor
一 旭 金
宰 永 金
贊 東 朴
映 憲 ▲ベ▼
俊 東 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1019980039712A external-priority patent/KR100318462B1/en
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of JPH11219943A publication Critical patent/JPH11219943A/en
Application granted granted Critical
Publication of JP3453614B2 publication Critical patent/JP3453614B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、半導体技術に関
し、特に、半導体素子の微細パターン間隙の形成方法に
関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to semiconductor technology, and more particularly to a method of forming a fine pattern gap in a semiconductor device.

【0002】[0002]

【従来の技術】半導体素子の高集積化によって半導体素
子を構成するそれぞれのパターンは、微細化され、誤整
列の場合を考慮して工程マージンもまた減っている。一
般に、半導体素子のパターン形成は、フォトレジストを
使用するリソグラフィー工程を経て行われるが、現在商
業化されて安定した工程が可能な最小の大きさは、化学
増幅型遠紫外線(DUV)フォトレジストを、波長
(λ)が248nmのKrF光源を使用して露光する方
法により得られる0.25μmである。これは、KrF
光源の解像度の限界に該当し、KrF光源を使用して
0.25μm以下の微細パターンを安定的に形成するこ
とは、波長の限界のため、ほとんど不可能だといえる。
2. Description of the Related Art Due to high integration of semiconductor devices, respective patterns forming the semiconductor devices are miniaturized, and a process margin is also reduced in consideration of misalignment. Generally, patterning of a semiconductor device is performed through a lithography process using a photoresist. However, the smallest size that is commercially available and can be stably processed is a chemically amplified deep ultraviolet (DUV) photoresist. , 0.25 μm obtained by a method of exposing using a KrF light source having a wavelength (λ) of 248 nm. This is KrF
It corresponds to the limit of the resolution of the light source, and it can be said that it is almost impossible to stably form a fine pattern of 0.25 μm or less using the KrF light source due to the wavelength limit.

【0003】添付した図1及び図2は、従来技術にかか
るリソグラフィー工程を考察するための電子顕微鏡(S
EM)の写真である。まず、図1は、その下部に既に形
成されたポリシリコン膜をパタニーングするためのフォ
トレジストパターンを形成した状態を示し、図2は、フ
ォトレジストパターンをエッチング障壁としてパタニー
ングされたポリシリコン膜の断面を表した電子顕微鏡の
写真である。
1 and 2 attached herewith show an electron microscope (S) for examining a lithography process according to the prior art.
It is a photograph of EM). First, FIG. 1 shows a state in which a photoresist pattern for patterning the already formed polysilicon film is formed thereunder, and FIG. 2 shows a cross section of the polysilicon film patterned using the photoresist pattern as an etching barrier. 3 is a photograph of an electron microscope showing the above.

【0004】図から、フォトレジストパターンとエッチ
ング対象層であるポリシリコン膜とは、その線幅が22
00Åで、ほとんど同一に形成されることが分かる(こ
のような2200Åの線幅を持つパターンの形成は、実
験的には可能であるが、実際のプロセスで安定にかつ反
復再現性を持ったまま、これを得ることはほとんど不可
能である)。
From the figure, the line width of the photoresist pattern and that of the polysilicon film which is the etching target layer are 22.
It can be seen that almost the same pattern is formed at 00Å (the formation of such a pattern having a line width of 2200Å is experimentally possible, but remains stable and reproducible in the actual process. , It's almost impossible to get this).

【0005】0.25μmのDRAMプロセスの場合、
リフレッシュ(refresh)特性とコンタクトホール形成時
の工程マージンの不足とを克服するための一つの方法と
して、接合層に接続される自己整列パッドを形成して、
後続のコンタクトホール形成時の自己整列パッドを通じ
て接続する方法を使用している。
For a 0.25 μm DRAM process,
As one method for overcoming the refresh characteristic and the lack of a process margin when forming a contact hole, a self-aligned pad connected to a bonding layer is formed,
A method of connecting through a self-aligning pad during the subsequent contact hole formation is used.

【0006】添付した図3乃至図5は、従来技術にかか
る自己整列コンタクト形成工程を示す図である。
3 to 5 attached herewith are views showing a conventional self-aligned contact forming process.

【0007】まず、図3は、シリコン基板10上に一連
のトランジスター形成工程を通じて側壁スペーサ11及
びマスク酸化膜12を具備したゲート13が形成された
下部構造の全体に、自己整列パッド用ポリシリコン膜1
4及び反射防止用窒化膜15が蒸着されて、その上部に
自己整列パッド形成のためのフォトレジストパターン1
6が形成された状態を示す図である。
First, as shown in FIG. 3, a polysilicon film for a self-aligning pad is formed on the entire lower structure in which a gate 13 having a sidewall spacer 11 and a mask oxide film 12 is formed on a silicon substrate 10 through a series of transistor forming processes. 1
4 and an anti-reflective nitride film 15 are deposited, and a photoresist pattern 1 for forming a self-aligned pad is formed thereon.
It is a figure which shows the state in which 6 was formed.

【0008】この時、図の右側にはフォトレジストパタ
ーン16間の間隙が0.25μm以上確保された状態が
示されているが、左側は、フォトレジストパターン16
間の間隙が0.25μm以下であり、露光源の解像度の
限界によってフォトレジストパターン16が正しく形成
されていない(A部分)。
At this time, the right side of the figure shows a state in which a gap of 0.25 μm or more is secured between the photoresist patterns 16, but the left side shows the photoresist pattern 16.
The gap between them is 0.25 μm or less, and the photoresist pattern 16 is not correctly formed due to the limit of resolution of the exposure source (A portion).

【0009】次に、図4は、フォトレジストパターン1
6を使用して窒化膜15及びポリシリコン膜14を選択
エッチングして自己整列パッドを形成してフォトレジス
トパターン16を除去した後に、全体構造の上部に層間
絶縁膜17を形成した状態を示している。
Next, FIG. 4 shows a photoresist pattern 1.
6, the nitride film 15 and the polysilicon film 14 are selectively etched to form a self-aligning pad, the photoresist pattern 16 is removed, and then an interlayer insulating film 17 is formed on the entire structure. There is.

【0010】図5は、自己整列パッドにビットライン1
8及び電荷貯蔵電極19がコンタクトされた状態を示
し、図3のA部分でフォトレジストパターン16が正し
く形成されていないことによって自己整列パッド間のブ
リッジ(B部分)が誘発されることを図示している。な
お、図面の符号20は、層間絶縁膜を示す。
FIG. 5 shows bit line 1 on the self-aligning pad.
8 and the charge storage electrode 19 are shown in contact with each other, illustrating that the photoresist pattern 16 is not correctly formed in the portion A of FIG. 3 to induce a bridge between the self-aligned pads (portion B). ing. Reference numeral 20 in the drawing denotes an interlayer insulating film.

【0011】フォトレジストパターン16の間隙を十分
に確保する場合には、自己整列パッドの線幅が減って
(図6のSEM写真参照)、オーバーラップマージンが
落ち、これによってゲート13と自己整列パッドとのブ
リッジ(C部分)が誘発される可能性が大きくなるとい
う問題点があった。また、リソグラフィー工程の解像度
の限界にともなう問題点を克服するための次世代技術と
してX線を露光源として使用するリソグラフィー技術が
あるが、その工程を実施するための商業化された装置が
ない等まだ技術的に多くの制約がある。このように、こ
れまではフォトレジストを使用して0.25μm以下の
微細パターンを安定的に形成できる技術がなかった。
In order to secure a sufficient gap between the photoresist patterns 16, the line width of the self-aligning pad is reduced (see the SEM photograph of FIG. 6) and the overlap margin is reduced, which causes the gate 13 and the self-aligning pad. There was a problem that the possibility that the bridge (part C) would be induced increases. In addition, there is a lithography technology that uses X-rays as an exposure source as a next-generation technology for overcoming the problems associated with the resolution limit of the lithography process, but there is no commercialized device for performing the process. There are still many technical limitations. Thus, until now, there has been no technique capable of stably forming a fine pattern of 0.25 μm or less using a photoresist.

【0012】また、米国特許5,476,807号公報
には、CF4及びCHF3ガスを利用してフォトレジスト
パターンにポリマーを形成してエッチングマスクとして
使用することが開示されているが、該ポリマーは、以後
のエッチング工程で充分な耐久性を持つことができない
ため、エッチングマスクとしての役割を遂行することが
できないという問題点があった。
Further, US Pat. No. 5,476,807 discloses that a polymer is formed on a photoresist pattern by using CF 4 and CHF 3 gas to use it as an etching mask. Since the polymer cannot have sufficient durability in the subsequent etching process, there is a problem that it cannot perform the role of an etching mask.

【0013】[0013]

【発明が解決しようとする課題】本発明は、耐久性の高
いポリマーを提供することにより現在の露光技術を使用
して現在のリソグラフィー工程の解像限界(例えば、
0.25μm)以下の微細なパターン間隙を形成できる
半導体素子の微細パターン間隙の形成方法を提供しよう
とするものである。
SUMMARY OF THE INVENTION The present invention uses current exposure techniques to provide a durable polymer to resolve the resolution limits of current lithographic processes (eg,
It is an object of the present invention to provide a method of forming a fine pattern gap of a semiconductor device capable of forming a fine pattern gap of 0.25 μm or less.

【0014】[0014]

【課題を解決するための手段】上記の技術的課題を達成
するため、本発明の半導体素子の微細パターン間隙の形
成方法は、半導体基板上に所定のエッチング対象層を形
成する段階と、前記エッチング対象層上に窒化シリコン
からなるエッチングバリアー層を形成して、前記エッチ
ングバリアー層の一部領域を露出させるようにフォトレ
ジストパターンを形成する段階と、前記半導体基板にバ
イアス電源を印加する段階と、前記露出されたエッチン
グバリアー層をエッチングしながら前記エッチングバリ
アー層及び前記フォトレジストパターンの側壁にポリマ
ーを蒸着する段階と、前記フォトレジストパターン及び
記ポリマーをエッチング障壁として前記エッチング対
象層をエッチングし、前記エッチング対象層間の間隔を
前記フォトレジストパターンの対応する間隔よりも狭く
形成する段階とを含んでなる。
In order to achieve the above technical object, a method of forming a fine pattern gap of a semiconductor device according to the present invention comprises a step of forming a predetermined etching target layer on a semiconductor substrate, and the etching. Silicon nitride on target layer
And forming a photoresist pattern to expose a partial region of the etching barrier layer, applying a bias power to the semiconductor substrate, and exposing the exposed etching barrier layer. It said etching object and depositing a polymer - <br/> over a layer on a sidewall of the etch barrier layer and the photoresist pattern while etching, the photoresist pattern and <br/> before Kipo Rimmer as an etch barrier the layers were etched, the spacing of the etched interlayer
Narrower than the corresponding spacing of the photoresist pattern
Forming .

【0015】フォトレジスト内の炭素(carbon)成分と
反応して容易にポリマーを発生させるガス(例えば、H
Br、N2、O2、CF4などのC−F系列ガス、及びC
HF3などのC−H−F系列ガス)を単独または混合使
用して形成されたプラズマを構成する種々の物質中で帯
電イオン等がシリコン層、シリコン酸化膜、シリコン窒
化膜などの下地膜に入射されて散乱(scattering)を起
こし、この時入射されたイオン等が下地膜のSi、O、
Nなどの成分及びフォトレジストの炭素成分と反応し
リマーを発生させるようになる。
A gas (eg, H 2) that reacts with carbon components in the photoresist to easily generate a polymer.
C—F series gas such as Br, N 2 , O 2 , CF 4 and C
In a variety of substances forming plasma, which are formed by using C-H-F series gas such as HF 3 ) alone or in combination, charged ions and the like are formed on a base film such as a silicon layer, a silicon oxide film and a silicon nitride film. The incident ions cause scattering, and the ions and the like that are incident at this time are Si, O, and
Reacts with components and carbon components of the photoresist, such as N
So to generate a port Rimmer.

【0016】このようなポリマーは、フォトレジストパ
ターンの表面(主に側壁部分)に蒸着されてフォトレジス
トパターンの大きさを増加させて、これに伴いフォトレ
ジストパターン間の空間は相対的に減るようになる。
[0016] Such port Rimmer, increases the size of the photoresist pattern on the surface (mainly sidewall portion) photoresist pattern is deposited, it will decrease relatively spaces between the photoresist patterns Accordingly Like

【0017】リマーは、フォトレジストパターンの表
面だけでなく、露出されたエッチング対象層の表面にも
蒸着されるが、このようにエッチング対象層の表面に蒸
着されたポリマーは、後続のエッチング工程でエッチン
グ障壁として作用して工程を難しくするので、ポリマー
の蒸着時にフォトレジストパターンおよびエッチングバ
リアー層の側壁部分にだけ選択的に蒸着されるように工
程を進行することが重要である。
[0017] Po Rimmer, photoresist pattern not only on the surface of, but also is deposited on the exposed surface of the etched layer, the polymer deposited on the surface of the thus etched layer, subsequent etching step Since it acts as an etching barrier and complicates the process, it is important to proceed with the process such that the photoresist is selectively deposited only on the sidewall portion of the photoresist pattern and the etching barrier layer when the polymer is deposited.

【0018】ポリマーを選択的に蒸着するために、ポリ
マー蒸着のためのプラズマ形成時にウエハーが置かれる
電極にバイアス電源を加えて、入射されるイオンの直進
性を強化させることによって、すなわち、イオンのエネ
ルギーを増加させることによって、露出されたエッチン
グバリアー層の表面に強いエネルギーを持つイオンが当
ってその部分にはポリマーが蒸着されないようにし、フ
ォトレジストパターンの側壁部位にだけ集中的に蒸着さ
れるようにする。
To selectively deposit the polymer, a bias power supply is applied to the electrode on which the wafer is placed during plasma formation for polymer deposition to enhance the rectilinearity of the incident ions, ie By increasing the energy, the exposed surface of the etching barrier layer is bombarded with high-energy ions so that the polymer is not deposited on the exposed portion of the etching barrier layer, and the deposition is concentrated only on the sidewall portion of the photoresist pattern. To

【0019】実際には、エッチング対象層の表面にポリ
マーが蒸着されないのではなく、その蒸着と同時に入射
されるイオンにより分解される。したがって、このよう
な原理を実際のリソグラフィー工程に適用するために
は、エッチング装置の特性を考慮した選択的ポリマー蒸
着の条件に対する研究を要する。
In practice, the polymer is not deposited on the surface of the layer to be etched, but is decomposed by the ions that are incident at the same time as the deposition. Therefore, in order to apply such a principle to an actual lithographic process, it is necessary to study the conditions of selective polymer deposition in consideration of the characteristics of an etching apparatus.

【0020】[0020]

【発明の実施の形態】以下、添付図面を参照して本発明
の望ましい実施の形態を説明する。
Preferred embodiments of the present invention will be described below with reference to the accompanying drawings.

【0021】添付した図7及び図8は、HBr及びN2
ガスを使用したポリマー蒸着後の電子顕微鏡写真であ
る。
FIGS. 7 and 8 attached show HBr and N 2
It is an electron microscope photograph after polymer vapor deposition using gas.

【0022】図示した通り、フォトレジストパターン
(PR)の側壁にポリマー(polymer)が蒸着されて、
全体的なパターンの線幅が短軸の場合(図7参照)は、2
000Åから3450Åに、長軸の場合(図8参照)は、
6500Åから8100Åに増加することが明確に分か
る。また、ポリマー蒸着時間を調節することによってパ
ターンの線幅を容易に調節できる。
As shown in the figure, a polymer is deposited on the sidewall of the photoresist pattern (PR),
If the line width of the overall pattern is the minor axis (see Fig. 7), 2
From 000Å to 3450Å, in the case of the long axis (see Fig. 8),
It is clearly seen that it increases from 6500Å to 8100Å. Also, the line width of the pattern can be easily adjusted by adjusting the polymer deposition time.

【0023】添付した図9及び図10は、その側壁にポ
リマーが蒸着されたフォトレジストパターンをエッチン
グ障壁としてエッチングしたエッチングバリアー層の電
子顕微鏡写真であり、図示した通り、短軸の場合(図9
参照)及び長軸の場合(図10参照)二つとも純粋なフォ
トレジストパターンの線幅に比べてその線幅が大きく増
加し、これに伴いパターン間隙の線幅が減少することが
分かる。
FIGS. 9 and 10 attached hereto are electron micrographs of an etching barrier layer obtained by etching using a photoresist pattern having a polymer deposited on its side wall as an etching barrier. As shown in FIG.
It can be seen that both the line width of the pattern and the long axis (see FIG. 10) greatly increase the line width of the pure photoresist pattern and the line width of the pattern gap decreases accordingly.

【0024】ここで、エッチングされて形成されたパタ
ーンの線幅が図7及び図8に示した線幅(PR+polymer)に
比べて小さくなるように見えるのは、フォトレジストパ
ターン側壁のポリマーが皆エッチング障壁として作用で
きないためである。また、パターンの下部が上部に比べ
て大きく形成されるのは、乾式エッチング時に一般的に
発生するポリマーによる影響に起因したものである。
Here, the line width of the pattern formed by etching seems to be smaller than the line width (PR + polymer) shown in FIGS. 7 and 8 because the polymer on the sidewall of the photoresist pattern appears. This is because they cannot all act as etching barriers. Further, the lower part of the pattern is formed larger than the upper part is due to the influence of the polymer generally generated during dry etching.

【0025】図7及び図9に図示したフォトレジストパ
ターン及びエッチングされたパターンを図1及び図2と
比較すると、本発明を実施した場合、大略1000Å以
上の線幅利得を得ることができ、これは結局パターン間
隙の線幅を1000Å以上減少させることができること
を意味する。
Comparing the photoresist pattern and the etched pattern shown in FIGS. 7 and 9 with FIGS. 1 and 2, a line width gain of approximately 1000 Å or more can be obtained when the present invention is carried out. In the end, it means that the line width of the pattern gap can be reduced by 1000 Å or more.

【0026】添付した図11乃至図14は、本発明の一
実施の形態にかかる自己整列コンタクトの形成工程を図
示したものであり、以下これを参照してその工程を説明
する。
11 to 14 attached herewith show a process of forming a self-aligned contact according to an embodiment of the present invention, and the process will be described below with reference to this.

【0027】まず、図11に示すように、シリコン基板
30上に一連のトランジスター形成工程を通じて側壁ス
ペーサ31及びマスク酸化膜32を具備したゲート33
が形成された下部構造の全体に、自己整列パッド用のポ
リシリコン膜34及び反射防止膜(又はエッチングバリ
アー層)であるシリコン窒化膜35を順に蒸着する。
First, as shown in FIG. 11, a gate 33 having a sidewall spacer 31 and a mask oxide film 32 is formed on a silicon substrate 30 through a series of transistor forming processes.
A polysilicon film 34 for a self-aligning pad and a silicon nitride film 35 which is an antireflection film (or an etching barrier layer) are sequentially deposited on the entire lower structure in which the layers are formed.

【0028】次に、シリコン窒化膜35上部に自己整列
パッド形成のためのフォトレジストパターン36を形成
する。この時、フォトレジストパターン36間の間隙が
0.30μm程度になるように形成する。フォトレジス
トパターン36は、下部層の種類及びポリマー蒸着ター
ゲットを考慮して溶解抑制型i−ラインフォトレジスト
または化学増幅型遠紫外線(DUV)フォトレジストを
使用して形成できる。このようにフォトレジストパター
ン36が形成された構造を示す電子顕微鏡写真を図15
に示す。
Next, a photoresist pattern 36 for forming a self-aligned pad is formed on the silicon nitride film 35. At this time, the gap between the photoresist patterns 36 is formed to be about 0.30 μm. The photoresist pattern 36 may be formed using a dissolution suppressing i-line photoresist or a chemically amplified deep ultraviolet (DUV) photoresist in consideration of the type of the lower layer and a polymer deposition target. FIG. 15 is an electron micrograph showing the structure in which the photoresist pattern 36 is formed in this manner.
Shown in.

【0029】次に、図12に示すように、フォトレジス
トパターン36の側壁にポリマー37を蒸着する。ポ
マー37の蒸着は、反応性イオンエッチング(RIE)
方式のエッチング装置内で行われ、HBrガスを使用し
てプラズマを形成し、チャンバの圧力を1〜100mT
orr、電極及びチャンバ壁の温度を−30〜+80℃
の範囲に設定し、500〜2000Wのバイアス電源を
ウエハー側の電極に印加し、露出された下部層の表面に
はポリマー37が蒸着されずに、フォトレジストパター
ン36の側壁にだけ選択的に蒸着されるようにする。
Next, as shown in FIG. 12, depositing a port Rimmer 37 on the side wall of the photoresist pattern 36. Po deposition of Li <br/> mer 37, reactive ion etching (RIE)
Type etching apparatus, plasma is formed using HBr gas, and the chamber pressure is set to 1 to 100 mT.
orr , the temperature of the electrode and the chamber wall is -30 to + 80 ° C.
And a bias power of 500 to 2000 W is applied to the electrode on the wafer side to expose the surface of the lower layer.
Po Rimmer 37 without being deposited, to be only selectively deposited on the sidewalls of the photoresist pattern 36.

【0030】この時、ポリマー37の蒸着過程でシリコ
ン窒化膜35が消耗されて、ポリシリコン膜34が露出
される。そして、ポリシリコンパッド34の線幅制御
、ポリマー37の蒸着厚さを調節することによって可
能である。この時の電子顕微鏡写真を図16に示す。
[0030] At this time, the silicon nitride film 35 is consumed in the deposition process of Po Rimmer 37, the polysilicon film 34 is exposed. Then, the line width control of the polysilicon pad 34 is possible by adjusting the deposition thickness of the port Rimmer 37. An electron micrograph at this time is shown in FIG.

【0031】次に、図13に示すように、フォトレジス
トパターン36及びその側壁に蒸着されたポリマー37
をエッチング障壁として、エッチング対象層であるポリ
シリコン膜34を乾式エッチングすることによってポリ
シリコンパッド34を形成した後に、フォトレジストパ
ターン36を除去する。この時、乾式エッチングは、ポ
リマー37の蒸着時に使用された装置内でインシチュ
(in-situ)で行うことができる。この時の電子顕微鏡
写真を図17に示す。図からポリシリコンパッド34間
のブリッジは発生しないことが分かる。
Next, as shown in FIG. 13, Po Rimmer 37 deposited on the photoresist pattern 36 and the sidewall thereof
Is used as an etching barrier to dry-etch the polysilicon film 34, which is the etching target layer, to form the polysilicon pad 34, and then the photoresist pattern 36 is removed. At this time, dry etching can be performed in an apparatus that was used during the deposition of the port <br/> Rimmer 37 in situ (in-situ). An electron micrograph at this time is shown in FIG. From the figure, it can be seen that the bridge between the polysilicon pads 34 does not occur.

【0032】次に、図14は、ポリシリコンパッド34
にビットライン38及び電荷貯蔵電極39がコンタクト
された状態を示し、ポリシリコンパッド34間のブリッ
ジが発生しないことはもちろん、ポリシリコンパッド3
4の線幅が増加して充分なオーバーラップマージンを確
保することができ、ゲート33とポリシリコンパッド3
4間のブリッジもまた発生しなかった。なお、符号4
0、41は各々、層間絶縁膜を示す。
Next, FIG. 14 shows a polysilicon pad 34.
The state where the bit line 38 and the charge storage electrode 39 are in contact with each other is shown in FIG.
4 can be increased to ensure a sufficient overlap margin, and the gate 33 and the polysilicon pad 3 can be secured.
No bridge between four also occurred. Note that reference numeral 4
Reference numerals 0 and 41 respectively denote interlayer insulating films.

【0033】添付した図18は、本発明の他の実施の形
態によって形成された自己整列パッドの断面構造を示す
電子顕微鏡写真であり、図示された構造は、自己整列パ
ッド用ポリシリコン膜の上部にエッチングバリアー層と
して反射防止膜である窒化膜を形成して、その上部にフ
ォトレジストパターンを形成した後、本発明を適用して
フォトレジストパターン側壁にポリマーを蒸着してから
エッチングを進行した後の状態を示している。
FIG. 18 attached herewith is an electron micrograph showing a cross-sectional structure of a self-aligning pad formed according to another embodiment of the present invention. forming a nitride film is the antireflection film as an etching barrier layer, its after forming a photoresist pattern on top and proceeded etching after depositing port Rimmer photoresist pattern sidewalls by applying the present invention The latter state is shown.

【0034】この実施の形態では、ポリマーの蒸着及び
ポリシリコン膜のエッチングは、ICP(inductively
coupled plasma)方式のポリシリコンエッチング装置で
あるラム リサーチ(Lam Research)社のTCP−9
408を利用し、選択的なポリマー蒸着は、次のような
条件で遂行する。
[0034] In this embodiment, the etching of the deposition and polysilicon film Po Rimmer, ICP (inductively
TCP-9 manufactured by Lam Research, which is a coupled plasma type polysilicon etching device.
Using 408, selective polymer deposition is performed under the following conditions.

【0035】HBr及びN2ガスを1:10〜10:1
の割合で混合してプラズマを形成して、チャンバの圧力
を1〜50mTorr、電極温度を−30〜+80℃の
範囲に設定して、ソース電源を100〜600W、バイ
アス電源を300W以下の範囲に設定し、露出された下
部層の表面にはポリマーがほとんど蒸着されないよう
に、フォトレジストパターンの側壁にだけ集中して選択
的に蒸着されるようにする。図面からポリシリコンパッ
ドの線幅が上記図6と比較して1000Å以上増加され
ることが分かる。
HBr and N 2 gas were added at 1:10 to 10: 1.
To form plasma, the chamber pressure is set to 1 to 50 mTorr , the electrode temperature is set to −30 to + 80 ° C., the source power is 100 to 600 W, and the bias power is 300 W or less. set, as Po Rimmer the exposed surface of the lower layer is hardly deposited, to be selectively deposited to focus only on the sidewalls of the photoresist pattern. It can be seen from the drawing that the line width of the polysilicon pad is increased by 1000 Å or more as compared with FIG.

【0036】本発明は、添付された図19に示すように
特定範囲でポリマー蒸着時間(すなわち、プラズマ露出
時間)と線幅増加分が正比例関係にあるため、ポリマー
露出時間を調節することによって下部層パターンの線幅
を容易に制御でき、ポリマーの蒸着厚さを調節すること
によってパターンの線幅制御が可能になる。
In the present invention, as shown in FIG. 19 attached, since the polymer deposition time (ie, plasma exposure time) and the line width increase are directly proportional to each other in a specific range, the polymer exposure time can be adjusted by adjusting the polymer exposure time. The line width of the layer pattern can be easily controlled, and the line width of the pattern can be controlled by adjusting the deposition thickness of the polymer.

【0037】ここで、ポリマー蒸着時間が100秒の
時、線幅が急激に増加することが分かる。これはフォト
レジストパターンの下部層の窒化膜(エッチング防止
膜)がポリマー蒸着過程で皆エッチングされてその下部
に存在するポリシリコン膜が露出されてポリマー蒸着速
度が増加するためである。したがって、下部層の種類及
び厚さを調節すれば所望のパターン線幅を容易に制御で
きるようになる。
Here, it can be seen that the line width drastically increases when the polymer deposition time is 100 seconds. This is because the nitride film (etching prevention film) in the lower layer of the photoresist pattern is completely etched during the polymer deposition process to expose the polysilicon film existing thereunder, thereby increasing the polymer deposition rate. Therefore, the desired pattern line width can be easily controlled by adjusting the type and thickness of the lower layer.

【0038】以上に説明した本発明は、前述した実施の
形態及び添付された図面により限定されず、本発明の技
術的思想を逸脱しない範囲内で種々の置換、変形及び変
更が可能であるということは、本発明が属する技術分野
で通常の知識を持った者にとって明白である。
The present invention described above is not limited by the above-described embodiments and the accompanying drawings, and various substitutions, modifications and changes can be made without departing from the technical idea of the present invention. This is apparent to those of ordinary skill in the art to which the present invention pertains.

【0039】例えば、前述した実施の形態では、パター
ン形成のためにシリコン窒化膜を反射防止膜として使用
する場合を一例として説明したが、シリコン窒化膜が必
須ではない。また、前述した本発明の微細パターン間隙
の形成は、コンタクトホール、電荷貯蔵電極及び電極パ
ッド等のパターンの形態に特に限定されない。
For example, in the above-described embodiments, the case where the silicon nitride film is used as the antireflection film for forming the pattern has been described as an example, but the silicon nitride film is not essential. Further, the formation of the fine pattern gap of the present invention described above is not particularly limited to the form of the pattern of the contact hole, the charge storage electrode, the electrode pad and the like.

【0040】[0040]

【発明の効果】前述した本発明は、現在使用中の装置及
び技術を使用してリソグラフィー工程の限界(例えば、
0.25μm)以下の線幅を比較的容易に達成すること
ができ、その線幅の制御もまた容易であるという長所が
ある。また、本発明は応用方法によりパターン間の不必
要な空間を減らすことによってオーバーラップマージン
を確保して誤整列を防止する効果がある。
The present invention described above uses the equipment and techniques currently in use to limit the lithographic process (eg,
A line width of 0.25 μm or less can be achieved relatively easily, and the line width can be easily controlled. Also, the present invention has an effect of preventing the misalignment by securing an overlap margin by reducing an unnecessary space between patterns by an application method.

【図面の簡単な説明】[Brief description of drawings]

【図1】 従来技術にかかるリソグラフィー工程を考察
するための第一の電子顕微鏡写真である。
FIG. 1 is a first electron micrograph for considering a lithography process according to a conventional technique.

【図2】 従来技術にかかるリソグラフィー工程を考察
するための第二の電子顕微鏡写真である。
FIG. 2 is a second electron micrograph for considering a lithography process according to the related art.

【図3】 従来技術にかかる自己整列コンタクト形成工
程を説明するための素子の第一の断面図である。
FIG. 3 is a first cross-sectional view of an element for explaining a self-aligned contact forming process according to a conventional technique.

【図4】 従来技術にかかる自己整列コンタクト形成工
程を説明するための素子の第二の断面図である。
FIG. 4 is a second cross-sectional view of a device for explaining a self-aligned contact forming process according to a conventional technique.

【図5】 従来技術にかかる自己整列コンタクト形成工
程を説明するための素子の第三の断面図である。
FIG. 5 is a third cross-sectional view of the device for explaining the self-aligned contact forming process according to the conventional technique.

【図6】 従来技術にかかる自己整列パッドエッチング
後の電子顕微鏡写真である。
FIG. 6 is an electron micrograph after etching a self-aligned pad according to the prior art.

【図7】 本発明にかかるポリマー蒸着後の第一の電子
顕微鏡写真である。
FIG. 7 is a first electron microscopic photograph after polymer deposition according to the present invention.

【図8】 本発明にかかるポリマー蒸着後の第二の電子
顕微鏡写真である。
FIG. 8 is a second electron micrograph after vapor deposition of a polymer according to the present invention.

【図9】 本発明にかかるパターンエッチング後の第一
の電子顕微鏡写真である。
FIG. 9 is a first electron micrograph after pattern etching according to the present invention.

【図10】 本発明にかかるパターンエッチング後の第
二の電子顕微鏡写真である。
FIG. 10 is a second electron micrograph after pattern etching according to the present invention.

【図11】 本発明の一実施の形態にかかる自己整列コ
ンタクト形成工程を説明するための素子の第一の断面図
である。
FIG. 11 is a first cross-sectional view of a device for explaining a self-aligned contact forming process according to an embodiment of the present invention.

【図12】 本発明の一実施の形態にかかる自己整列コ
ンタクト形成工程を説明するための素子の第二の断面図
である。
FIG. 12 is a second cross-sectional view of the device for explaining the self-aligned contact forming process according to the embodiment of the present invention.

【図13】 本発明の一実施の形態にかかる自己整列コ
ンタクト形成工程を説明するための素子の第三の断面図
である。
FIG. 13 is a third cross-sectional view of the device for explaining the self-aligned contact forming step according to the embodiment of the present invention.

【図14】 本発明の一実施の形態にかかる自己整列コ
ンタクト形成工程を説明するための素子の第四の断面図
である。
FIG. 14 is a fourth cross-sectional view of the device for explaining the self-aligned contact forming step according to the embodiment of the present invention.

【図15】 図11を用いて説明した工程に対応する電
子顕微鏡写真である。
15 is an electron micrograph corresponding to the process described with reference to FIG.

【図16】 図12を用いて説明した工程に対応する電
子顕微鏡写真である。
16 is an electron micrograph corresponding to the process described with reference to FIG.

【図17】 図13を用いて説明した工程に対応する電
子顕微鏡写真である。
FIG. 17 is an electron micrograph corresponding to the process described with reference to FIG.

【図18】 本発明の他の実施の形態にかかるポリシリ
コンパッドエッチング後の電子顕微鏡写真である。
FIG. 18 is an electron micrograph after etching a polysilicon pad according to another embodiment of the present invention.

【図19】 ポリマー蒸着時間とパターン線幅の増加分
との関係を示すグラフである。
FIG. 19 is a graph showing the relationship between the polymer deposition time and the increase in the pattern line width.

【符号の説明】[Explanation of symbols]

30 シリコン基板 31 側壁スペーサ 31 マスク酸化膜 33 ゲート 34 ポリシリコン膜(ポリシリコンパッド) 35 シリコン窒化膜 36 フォトレジストパターン 37 ポリマー 38 ビットライン 39 電荷貯蔵電極 40、41 層間絶縁膜30 a silicon substrate 31 sidewall spacers 31 mask oxide film 33 gate 34 polysilicon film (polysilicon pads) 35 silicon nitride film 36 a photoresist pattern 3 7 Po Rimmer 38 bit lines 39 charge storage electrode 40, 41 interlayer insulating film

───────────────────────────────────────────────────── フロントページの続き (72)発明者 ▲ベ▼ 映 憲 大韓民国京畿道利川郡夫鉢邑牙美里山 136−1 現代電子産業株式会社内 (72)発明者 金 俊 東 大韓民国京畿道利川郡夫鉢邑牙美里山 136−1 現代電子産業株式会社内 (56)参考文献 特開 平7−130680(JP,A) 特開 平9−129595(JP,A) 特開 平9−270416(JP,A) 特開 平8−339986(JP,A) 特開 平9−237777(JP,A) 特開 平3−196623(JP,A) ’96最新半導体プロセス技術,日本, 株式会社プレスジャーナル,1995年 9 月 8日,P97−101,P123 (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 G03F 7/26 G03F 7/40 521 H01L 21/3213 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor ▲ Bei ▼ Kei Ken, 136-1, Fengsatoyama, Haba-eup, Icheon-gun, Gyeonggi-do, Republic of Korea (72) Inventor Kim Shun, East Icheon-gun, Gyeonggi-do, Republic of Korea 136-1 Hibasato Famisatoyama Hyundai Electronics Industry Co., Ltd. (56) Reference JP-A-7-130680 (JP, A) JP-A-9-129595 (JP, A) JP-A-9-270416 (JP , A) JP-A-8-339986 (JP, A) JP-A-9-237777 (JP, A) JP-A-3-196623 (JP, A) '96 Latest semiconductor process technology, Japan, Press Journal, Inc. September 8, 1995, P97-101, P123 (58) Fields investigated (Int.Cl. 7 , DB name) H01L 21/3065 G03F 7/26 G03F 7/40 521 H01L 21/3213

Claims (12)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 半導体基板上に所定のエッチング対象層
を形成する段階と、 前記エッチング対象層上に窒化シリコンからなる反射防
止膜としても機能するエッチングバリアー層を形成し
て、前記エッチングバリアー層の一部領域を露出させる
ようにフォトレジストパターンを形成する段階と、 前記半導体基板にバイアス電源を印加する段階と、 前記露出されたエッチングバリアー層をエッチングしな
がら前記エッチングバリアー層及び前記フォトレジスト
パターンの側壁にポリマーを蒸着する段階と、 前記フォトレジストパターン及び前記ポリマーをエッチ
ング障壁として前記エッチング対象層をエッチングし、
前記エッチング対象層間の間隔を前記フォトレジストパ
ターンの対応する間隔よりも狭く形成する段階とを含ん
でなる半導体素子の微細パターン間隙の形成方法。
1. A step of forming a predetermined etching target layer on a semiconductor substrate, and an antireflection coating made of silicon nitride on the etching target layer.
Forming an etching barrier layer that also functions as a stop film , forming a photoresist pattern so as to expose a partial region of the etching barrier layer, applying a bias power supply to the semiconductor substrate, and exposing the semiconductor substrate. Depositing a polymer on the sidewalls of the etching barrier layer and the photoresist pattern while etching the etched etching barrier layer, and etching the etching target layer using the photoresist pattern and the polymer as an etching barrier,
Forming a gap between the layers to be etched narrower than a corresponding gap of the photoresist pattern.
【請求項2】 前記ポリマーを蒸着する段階で、HBr
ガス、N2ガス、O2ガス、C−F系列ガス、C−H−F
系列ガスの中から少なくとも一つを使用してプラズマを
形成することを特徴とする請求項1に記載の半導体素子
の微細パターン間隙の形成方法。
2. The step of depositing the polymer comprises adding HBr.
Gas, N 2 gas, O 2 gas, C-F series gas, C-H-F
The method of claim 1, wherein at least one of the series gases is used to form plasma.
【請求項3】 前記エッチングバリアー層は、反射防止
膜であることを特徴とする請求項1に記載の半導体素子
の微細パターン間隙の形成方法。
3. The method for forming a fine pattern gap in a semiconductor device according to claim 1, wherein the etching barrier layer is an antireflection film.
【請求項4】 前記フォトレジストパターンが溶解抑制
型i-ラインフォトレジストまたは化学増幅型遠紫外線
フォトレジストを使用して形成されることを特徴とする
請求項1に記載の半導体素子の微細パターン間隙の形成
方法。
4. The fine pattern gap of a semiconductor device according to claim 1, wherein the photoresist pattern is formed using a dissolution suppressing i-line photoresist or a chemically amplified deep ultraviolet photoresist. Forming method.
【請求項5】 前記ポリマーを誘導結合プラズマエッチ
ング装置内で蒸着することを特徴とする請求項1に記載
の半導体素子の微細パターン間隙の形成方法。
5. The method of claim 1, wherein the polymer is deposited in an inductively coupled plasma etching apparatus.
【請求項6】 前記ポリマーを蒸着する段階が、反応性
イオンエッチング装置内で行われることを特徴とする請
求項1に記載の半導体素子の微細パターン間隙の形成方
法。
6. The method of claim 1, wherein depositing the polymer is performed in a reactive ion etching apparatus.
【請求項7】 前記ポリマーを蒸着する段階及び前記エ
ッチング対象層をエッチングする段階が、同一装置内で
行われることを特徴とする請求項5又は6に記載の半導
体素子の微細パターン間隙の形成方法。
7. The method for forming a fine pattern gap in a semiconductor device according to claim 5, wherein the step of depositing the polymer and the step of etching the layer to be etched are performed in the same apparatus. .
【請求項8】 前記ポリマーを蒸着する段階で、HBr
ガス及びN2ガスが1:10乃至10:1の割合で混合
されたガスを使用してプラズマを形成することを特徴と
する請求項5に記載の半導体素子の微細パターン間隙の
形成方法。
8. The method of depositing the polymer, wherein HBr is used.
The method of claim 5, wherein the plasma is formed by using a gas in which the gas and the N 2 gas are mixed in a ratio of 1:10 to 10: 1.
【請求項9】 前記ポリマーを蒸着する段階が、100
W乃至600Wのソース電源及び300Wを越えないバ
イアス電源を使用して行われることを特徴とする請求項
5に記載の半導体素子の微細パターン間隙の形成方法。
9. The step of depositing the polymer comprises 100.
7. The method of claim 5, wherein the source power of W to 600 W and the bias power of not exceeding 300 W are used.
【請求項10】 前記ポリマーを蒸着する段階が、1m
Torr乃至50mTorrの圧力及び−30℃乃至+
80℃の電極温度の条件で行われることを特徴とする請
求項5に記載の半導体素子の微細パターン間隙の形成方
法。
10. The step of depositing the polymer is 1 m.
Pressure of Torr to 50 mTorr and -30 ° C to +
The method for forming a fine pattern gap in a semiconductor device according to claim 5, wherein the method is performed under a condition of an electrode temperature of 80 ° C.
【請求項11】 前記ポリマーを蒸着する段階が、50
0W乃至2000Wのバイアス電源及び1mTorr乃
至100mTorrの圧力条件を使用して行われること
を特徴とする請求項6に記載の半導体素子の微細パター
ン間隙の形成方法。
11. The step of depositing the polymer comprises 50.
7. The method of claim 6, wherein the method is performed using a bias power source of 0 W to 2000 W and a pressure condition of 1 mTorr to 100 mTorr.
【請求項12】 前記ポリマーを蒸着する段階で、前記
反応性イオンエッチング装置の電極及び内壁の温度が−
30℃乃至+80℃であることを特徴とする請求項6に
記載の半導体素子の微細パターン間隙の形成方法。
12. The temperature of an electrode and an inner wall of the reactive ion etching apparatus is controlled by the step of depositing the polymer.
The method for forming a fine pattern gap in a semiconductor device according to claim 6, wherein the temperature is 30 ° C to + 80 ° C.
JP31401698A 1997-10-16 1998-10-16 Method of forming fine pattern gap in semiconductor device Expired - Fee Related JP3453614B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1997-52989 1997-10-16
KR19970052989 1997-10-16
KR1998-39712 1998-09-24
KR1019980039712A KR100318462B1 (en) 1997-10-16 1998-09-24 Micro pattern gap formation method of semiconductor device

Publications (2)

Publication Number Publication Date
JPH11219943A JPH11219943A (en) 1999-08-10
JP3453614B2 true JP3453614B2 (en) 2003-10-06

Family

ID=26633126

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31401698A Expired - Fee Related JP3453614B2 (en) 1997-10-16 1998-10-16 Method of forming fine pattern gap in semiconductor device

Country Status (3)

Country Link
JP (1) JP3453614B2 (en)
CN (1) CN1116695C (en)
TW (1) TW436929B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100632658B1 (en) * 2004-12-29 2006-10-12 주식회사 하이닉스반도체 Method of forming metal line in semiconductor device
KR101460697B1 (en) * 2008-11-28 2014-11-13 삼성전자 주식회사 Fabricating method of semiconductor integrated circuit devices
KR102513878B1 (en) * 2014-09-19 2023-03-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method for manufacturing semiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
’96最新半導体プロセス技術,日本,株式会社プレスジャーナル,1995年 9月 8日,P97−101,P123

Also Published As

Publication number Publication date
TW436929B (en) 2001-05-28
CN1221208A (en) 1999-06-30
JPH11219943A (en) 1999-08-10
CN1116695C (en) 2003-07-30

Similar Documents

Publication Publication Date Title
KR100655536B1 (en) Silylation method for reducing critical dimension loss and resist loss
US6037266A (en) Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
KR100327346B1 (en) Plasma etching method using selective polymer deposition and method for forming contact hole using the plasma etching method
US7482280B2 (en) Method for forming a lithography pattern
TWI336490B (en) Method for fabricating a semiconductor device
JP2000091318A (en) Manufacture of semiconductor device
JP3511802B2 (en) Method of forming metal wiring
US20090298291A1 (en) Method for forming a pattern of a semiconductor device
US6900139B1 (en) Method for photoresist trim endpoint detection
JP3453614B2 (en) Method of forming fine pattern gap in semiconductor device
US6537906B1 (en) Methods for fabricating semiconductor devices
JPH0794467A (en) Dry etching method
US20060105578A1 (en) High-selectivity etching process
KR100318462B1 (en) Micro pattern gap formation method of semiconductor device
KR20070003336A (en) Method for forming fine pattern of semiconductor device
JP3460436B2 (en) Method for manufacturing semiconductor device
JP3109059B2 (en) Dry etching method
US6495455B2 (en) Method for enhancing selectivity between a film of a light-sensitive material and a layer to be etched in electronic semiconductor device fabrication processes
KR100333543B1 (en) Method for forming gate electrode of semiconductor device
JP3450253B2 (en) Method for manufacturing semiconductor device
JP3278942B2 (en) Method for manufacturing semiconductor device
JP2882284B2 (en) Conductive layer formation method
JP3877461B2 (en) Manufacturing method of semiconductor device
KR20050068363A (en) Method for fabricating thin pattern using the hard mask
JPH11176809A (en) Dry etching and manufacture of semiconductor

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070725

Year of fee payment: 4

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 5

Free format text: PAYMENT UNTIL: 20080725

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080725

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 6

Free format text: PAYMENT UNTIL: 20090725

LAPS Cancellation because of no payment of annual fees