JP3307149B2 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device

Info

Publication number
JP3307149B2
JP3307149B2 JP07494795A JP7494795A JP3307149B2 JP 3307149 B2 JP3307149 B2 JP 3307149B2 JP 07494795 A JP07494795 A JP 07494795A JP 7494795 A JP7494795 A JP 7494795A JP 3307149 B2 JP3307149 B2 JP 3307149B2
Authority
JP
Japan
Prior art keywords
trench
forming
substrate
opening
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP07494795A
Other languages
Japanese (ja)
Other versions
JPH08274157A (en
Inventor
隆司 鈴木
雅康 石子
康一 光嶋
進 杉山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toyota Central R&D Labs Inc
Original Assignee
Toyota Central R&D Labs Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toyota Central R&D Labs Inc filed Critical Toyota Central R&D Labs Inc
Priority to JP07494795A priority Critical patent/JP3307149B2/en
Publication of JPH08274157A publication Critical patent/JPH08274157A/en
Application granted granted Critical
Publication of JP3307149B2 publication Critical patent/JP3307149B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Element Separation (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、半導体装置、特に半導
体基板に形成するトレンチの構造及びこのトレンチの製
造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device, and more particularly to a structure of a trench formed in a semiconductor substrate and a method of manufacturing the trench.

【0002】[0002]

【従来の技術】半導体装置等の形成の際、半導体基板に
凹状のトレンチ(溝)を形成し、このトレンチに絶縁性
の埋め込み材を埋め込んで素子分離を行ったり、トレン
チキャパシタ等を形成することが行われている。
2. Description of the Related Art When a semiconductor device or the like is formed, a concave trench (groove) is formed in a semiconductor substrate, and an insulating filling material is buried in the trench to perform element isolation or to form a trench capacitor or the like. Has been done.

【0003】例えば、図6に示すようにトレンチ32を
用いて素子分離を行うと、半導体基板1に選択的に酸化
膜を形成するいわゆるLOCOS法による素子分離構造
に比較して、小さい面積で半導体基板の深い位置まで素
子分離を行うことができる。
For example, as shown in FIG. 6, when element isolation is performed by using a trench 32, a semiconductor has a smaller area than that of a so-called LOCOS method in which an oxide film is selectively formed on a semiconductor substrate 1. Element isolation can be performed to a deep position in the substrate.

【0004】[0004]

【発明が解決しようとする課題】しかしながら、従来シ
リコン等の半導体基板に形成されていたトレンチは、シ
リコン基板をその基板表面から反応性イオンエッチング
(RIE)等によってエッチングして形成していたた
め、形状が矩形となり、熱酸化処理によりトレンチの各
コーナーエッジ部で局部的な応力が発生して、基板の結
晶にダメージを与えるという問題があった。
However, the trenches conventionally formed in a semiconductor substrate such as silicon are formed by etching the silicon substrate from its surface by reactive ion etching (RIE) or the like. Has a problem that a local stress is generated at each corner edge of the trench due to the thermal oxidation treatment and damages the crystal of the substrate.

【0005】以下に、半導体装置の一般的な製造プロセ
スおいて発生する問題について図2、図6を用いて説明
する。
Hereinafter, problems that occur in a general semiconductor device manufacturing process will be described with reference to FIGS.

【0006】図2(c)に示すように、まず、半導体基
板(シリコン基板)1をRIE等によってエッチング
し、アスペクト比の大きい(深い)トレンチ32を形成
する。次に、トレンチ32内部の側壁を酸化し、得られ
た側壁酸化膜20上に多結晶シリコン(Poly−S
i)等の絶縁性の埋め込み材を埋め込み、埋め込み部3
を形成する。
As shown in FIG. 2C, first, the semiconductor substrate (silicon substrate) 1 is etched by RIE or the like to form a trench 32 having a large (deep) aspect ratio. Next, the side wall inside the trench 32 is oxidized, and polycrystalline silicon (Poly-S) is formed on the obtained side wall oxide film 20.
i) or the like, and burying an insulating burying material,
To form

【0007】次に、半導体素子として、例えば一般的な
MOS構造のトランジスタを形成する場合には、半導体
基板1上にゲート絶縁膜を介してゲート電極5を形成す
る。更に、ソース・ドレイン(S/D)領域を形成する
ために、ゲート電極5をマスクとして高濃度の不純物注
入(例えばイオン注入)を行う。不純物が注入された領
域は、図6(a)及び図2(d)に示すようにアモルフ
ァス領域6となっており、この領域6は熱処理(アニー
ル)を行うことにより、注入された不純物が活性化され
ソース・ドレイン領域が形成される。
Next, when a transistor having, for example, a general MOS structure is formed as a semiconductor element, a gate electrode 5 is formed on the semiconductor substrate 1 via a gate insulating film. Further, in order to form a source / drain (S / D) region, high-concentration impurity implantation (for example, ion implantation) is performed using the gate electrode 5 as a mask. The region into which the impurities are implanted is an amorphous region 6 as shown in FIGS. 6A and 2D, and the region 6 is activated by annealing (annealing) so that the implanted impurities are activated. And source / drain regions are formed.

【0008】ところが、この熱処理の際に、図6(b)
に示すようにトレンチ32のコーナーエッジ部E1,E
2に結晶欠陥Dが生じることがある。原因は、以下の理
由によるものと考えられる。
However, at the time of this heat treatment, FIG.
As shown in FIG.
2 may have a crystal defect D. The cause may be due to the following reasons.

【0009】(1)トレンチ32形成後に行う側壁酸化
によってコーナーエッジ部E1,E2で応力集中が発生
し結晶がダメージを受ける。更に、トレンチ32内へ埋
め込み材料を埋め込む際の膜応力等によりダメージを受
ける。そしてこれらのダメージが相乗して結晶欠陥Dが
生じる。
(1) Stress concentration occurs at corner edge portions E1 and E2 due to side wall oxidation performed after formation of trench 32, and the crystal is damaged. Further, the trench 32 is damaged by a film stress or the like when the filling material is buried. Then, these damages are synergistically generated to generate a crystal defect D.

【0010】(2)ソース・ドレイン領域形成のために
高濃度の不純物導入を行う際、即ちイオン注入時にトレ
ンチ32のコーナエッジ部E1の領域にアモルファス領
域6が形成される。熱処理によって前記アモルファス領
域6が固相成長する過程では、固相成長速度の結晶方位
依存性により、このトレンチ32のコーナーエッジ部E
1が最後に固相成長する。このため、コーナーエッジ部
E1でミスフィットが発生し、これが結晶欠陥Dとな
る。
(2) When high-concentration impurities are introduced for forming source / drain regions, that is, at the time of ion implantation, an amorphous region 6 is formed in the corner edge portion E1 of the trench 32. In the process in which the amorphous region 6 is solid-phase grown by the heat treatment, the corner edge E
1 finally grows in solid phase. For this reason, a misfit occurs at the corner edge portion E1, which becomes a crystal defect D.

【0011】以上のような理由により発生した結晶欠陥
Dが存在する領域では、リーク電流が発生しやすくな
る。そして、一般に、上記のようにトレンチ32を埋め
込んで素子分離を行う構成(トレンチアイソレーショ
ン)にあっては、発生するリーク電流が、通常のLOC
OS構造による素子分離に比べ1〜2桁多いことが知ら
れている。
In a region where a crystal defect D generated for the above-described reason exists, a leak current is likely to occur. In general, in a configuration in which the trench 32 is buried to perform element isolation (trench isolation) as described above, the generated leakage current is reduced by a normal LOC.
It is known that it is one or two orders of magnitude larger than the element isolation by the OS structure.

【0012】そこで、対策の一つとしてトレンチ32の
コーナーエッジ部E1,E2を滑らかにし、トレンチ3
2の側壁酸化処理や不純物活性化のための熱処理等の際
に、半導体基板1へ加わる応力を低減することが要求さ
れていた。
Therefore, as one of countermeasures, the corner edges E1 and E2 of the trench 32 are smoothed and the trench 3
It has been required to reduce the stress applied to the semiconductor substrate 1 at the time of the side wall oxidation treatment or the heat treatment for activating the impurities.

【0013】本発明は上記要求に対応するために、トレ
ンチのコーナー部を滑らかな形状として、結晶欠陥の発
生を防止可能な半導体装置を提供することを目的として
いる。
An object of the present invention is to provide a semiconductor device capable of preventing the occurrence of crystal defects by forming a corner of a trench to have a smooth shape in order to meet the above demand.

【0014】[0014]

【課題を解決するための手段】半導体基板にトレンチが
設けられている半導体装置であって、前記トレンチは、
その基板表面近傍部が、表面に向けて拡大するテーパ状
に形成され、トレンチ底辺部がまるみを帯びたラウンド
状に形成されているものに関する
A semiconductor device in which a trench is provided in a semiconductor substrate, wherein the trench comprises:
The present invention relates to a structure in which a portion near the substrate surface is formed in a tapered shape expanding toward the surface, and a trench bottom portion is formed in a rounded shape.

【0015】本発明は、シリコン基板にトレンチが設け
られている半導体装置の製造方法であって、前記シリコ
ン基板上に熱酸化により酸化膜を形成する工程と、前記
酸化膜上に層の多結晶シリコン絶縁膜を形成する工程
と、前記多結晶シリコン絶縁膜及び前記酸化膜の一部を
除去して前記シリコン基板が露出する開口部を形成する
工程と、前記多結晶シリコン絶縁膜上及び前記開口部上
にUSG(Undoped Silicate Glass)絶縁膜を形成する
工程と、前記開口部の側面部に形成されたUSG絶縁膜
を残して、前記USG絶縁膜を除去する工程と、前記シ
リコン基板を前記開口部からアルカリエッチング液を用
いてエッチングすることによりテーパ状のV字溝を形成
する工程と、前記開口部の側面に形成されている前記U
SG絶縁膜をマスクとして、前記シリコン基板のV字溝
の底部を垂直方向にエッチングする工程と、により半導
体基板にトレンチを形成することを特徴とする。
[0015] The present invention is a manufacturing method of a semiconductor device trenches in a silicon substrate is provided, and forming an oxide film by thermal oxidation on the silicon substrate, a multi one layer on said oxide film forming a crystalline silicon insulating film, the polycrystalline silicon insulating film and by removing a portion of the oxide film and forming an opening the silicon substrate is exposed, the polycrystalline silicon insulating film and the Forming a USG (Undoped Silicate Glass) insulating film on the opening, removing the USG insulating film while leaving the USG insulating film formed on the side surface of the opening, Forming a tapered V-shaped groove from the opening by using an alkaline etching solution; and forming the U-shaped groove formed on the side surface of the opening.
A step of vertically etching the bottom of the V-shaped groove of the silicon substrate using the SG insulating film as a mask, thereby forming a trench in the semiconductor substrate.

【0016】以上に記載の半導体装置製造方法であっ
て、前記半導体基板は、表面が(100)面のシリコン
基板であることを特徴とする。
In the method of manufacturing a semiconductor device described above, the semiconductor substrate is a silicon substrate having a (100) surface.

【0017】前記半導体装置の製造方法であって、更
に、形成された前記トレンチ内に所定の埋め込み材を充
填して埋め込み部を形成する工程と、熱処理によって前
記埋め込み部の基板表面側を酸化し、前記トレンチの上
部に選択的に酸化膜を形成する工程と、を有することを
特徴とする。
The method of manufacturing a semiconductor device, further comprising: filling a predetermined filling material in the formed trench to form a buried portion; and oxidizing the substrate surface side of the buried portion by heat treatment. And selectively forming an oxide film on the upper portion of the trench.

【0018】[0018]

【作用及び効果】本発明によれば、トレンチの基板表面
近傍部が、基板表面に向けて拡大するテーパ形状を有し
ている。このため、トレンチの表面近傍部側壁と基板表
面との交差角度が大きくなり、トレンチの側壁酸化処理
等においてトレンチのコーナ部での応力集中を低減で
き、結晶欠陥の発生を防止することができる。
According to the present invention, the portion of the trench near the substrate surface has a tapered shape expanding toward the substrate surface. For this reason, the intersection angle between the side wall near the surface of the trench and the substrate surface becomes large, and the stress concentration at the corner of the trench can be reduced in the oxidation of the side wall of the trench, and the generation of crystal defects can be prevented.

【0019】また、本発明では、半導体基板をその開口
部からアルカリエッチング液を用いてエッチングするこ
とにより寸法精度良くV字溝を形成する。これにより、
結晶欠陥が発生しやすい基板表面付近の面方位が除去さ
れる。従って、トレンチ形成後に行われる酸化処理や熱
処理等に際して、トレンチのコーナー部において半導体
基板の結晶がダメージを受けることがなく結晶欠陥の発
生を防止できる。
Further, in the present invention, the V-shaped groove is formed with high dimensional accuracy by etching the semiconductor substrate from the opening thereof using an alkali etching solution. This allows
The plane orientation near the substrate surface where crystal defects are likely to occur is removed. Therefore, at the time of oxidation treatment or heat treatment performed after the formation of the trench, the crystal of the semiconductor substrate is not damaged at the corner of the trench, and the generation of crystal defects can be prevented.

【0020】更に、V字溝形成後に、開口部の側面部に
残った第2の絶縁膜をマスクとして、V字溝の底部を基
板の垂直方向にエッチングする。これにより、V字溝の
基板表面近傍部のテーパ形状が維持されつつ、トレンチ
の下部領域が形成され、更に、トレンチ底辺部がV字溝
の底部のV字形状を反映してラウンド形状となる。従っ
て、トレンチ底辺部においても応力の集中が緩和され、
その後の酸化処理等に際してトレンチ底辺部での結晶欠
陥の発生を防止することが可能となる。
Further, after forming the V-shaped groove, the bottom of the V-shaped groove is etched in the vertical direction of the substrate using the second insulating film remaining on the side surface of the opening as a mask. Thereby, the lower region of the trench is formed while the tapered shape of the V-shaped groove near the substrate surface is maintained, and the bottom of the trench has a round shape reflecting the V-shaped shape of the bottom of the V-shaped groove. . Therefore, the concentration of stress is also reduced at the bottom of the trench,
It is possible to prevent the occurrence of crystal defects at the bottom of the trench during the subsequent oxidation treatment or the like.

【0021】また、半導体基板としてその表面が(10
0)面のシリコン基板を用い、開口部から半導体基板を
アルカリエッチング液によりエッチングすると、シリコ
ン結晶の(111)面が露出するまで異方性エッチング
が行われ、開口部の幅に応じた深さで(111)面が露
出するとエッチングが自動的に停止する。また、開口部
から基板の表面方向へエッチングは、基板の上部に第1
絶縁膜が存在する部分で停止する。このように、開口部
のパターンに対応した広さ及び深さのV字溝が極めて容
易かつ寸法精度良く形成することが可能となる。
The surface of the semiconductor substrate is (10)
When the semiconductor substrate is etched from the opening with an alkaline etchant using the silicon substrate of the 0) plane, anisotropic etching is performed until the (111) plane of the silicon crystal is exposed, and the depth corresponding to the width of the opening Etching stops automatically when the (111) plane is exposed. In addition, etching from the opening toward the surface of the substrate is performed by first etching the upper part of the substrate.
It stops at the portion where the insulating film exists. As described above, it becomes possible to form a V-shaped groove having a width and a depth corresponding to the pattern of the opening very easily and with high dimensional accuracy.

【0022】更にまた、本発明では、半導体基板に形成
されたトレンチ内に所定の埋め込み材を充填して埋め込
み部を形成し、この埋め込み部の上部に酸化膜を形成す
る。このようなトレンチ及び埋め込み部を、これらの形
成後に半導体基板に形成される半導体素子の素子分離に
用いることにより、小さい面積でリーク電流の少ない素
子分離構造が得られ、半導体素子の高集積化及び高耐圧
化を実現することが可能となる。
Furthermore, in the present invention, a predetermined filling material is filled in a trench formed in the semiconductor substrate to form a filling portion, and an oxide film is formed on the filling portion. By using such a trench and a buried portion for element isolation of a semiconductor element formed on a semiconductor substrate after the formation thereof, an element isolation structure with a small area and a small leak current can be obtained. High breakdown voltage can be realized.

【0023】[0023]

【実施例】以下、本発明の実施例について図面を用いて
説明する。但し、本発明は以下の実施例により限定を受
けるものではない。
Embodiments of the present invention will be described below with reference to the drawings. However, the present invention is not limited by the following examples.

【0024】まず、図1及び図2を用いて本実施例の半
導体装置の構成について説明する。例えば、半導体基板
1に形成されているトレンチ2は、その基板表面近傍部
が基板表面に向かって拡大するテーパ形状のV字溝17
となっており、このV字溝17の底部から半導体基板1
の垂直方向に向かって更に溝が伸びてトレンチ下部18
が形成されている。そして、このトレンチ下部18のト
レンチ底辺部19は、丸みを帯びたラウンド形状となっ
ている(図2(a)参照)。なお、本実施例において
は、半導体基板1としてその表面が(100)面の単結
晶シリコンを用いている。
First, the configuration of the semiconductor device of this embodiment will be described with reference to FIGS. For example, the trench 2 formed in the semiconductor substrate 1 has a tapered V-shaped groove 17 whose portion near the substrate surface expands toward the substrate surface.
From the bottom of the V-shaped groove 17.
The groove further extends in the vertical direction of
Are formed. The trench bottom 19 at the lower portion 18 of the trench has a rounded round shape (see FIG. 2A). In this embodiment, single-crystal silicon having a (100) plane is used as the semiconductor substrate 1.

【0025】また、図1に示すように、トレンチ2の内
側には、トレンチ2の側壁を熱酸化して得られた酸化膜
(SiO2 )20が形成されており、この酸化膜20上
には多結晶シリコン等の絶縁性の埋め込み材が埋め込ま
れ埋め込み部3が形成されている。
As shown in FIG. 1, an oxide film (SiO 2) 20 obtained by thermally oxidizing the side wall of the trench 2 is formed inside the trench 2. A buried portion 3 is formed by burying an insulating burying material such as polycrystalline silicon.

【0026】埋め込み部3の基板表面側には選択的に厚
い酸化膜(LOCOS部)4が形成されている。なお、
このLOCOS部4は、トレンチ2上部から基板の表面
方向に突出して形成されている。そして、トレンチ2及
びトレンチ2内に埋め込まれた絶縁性の埋め込み部3
と、上記LOCOS部4とによって半導体素子を電気的
に分離するための溝型素子分離部(トレンチアイソレー
ション)が構成されている。
A thick oxide film (LOCOS portion) 4 is selectively formed on the substrate surface side of the buried portion 3. In addition,
The LOCOS portion 4 is formed to protrude from the upper part of the trench 2 toward the surface of the substrate. Then, the trench 2 and the insulating buried portion 3 buried in the trench 2
And the LOCOS section 4 constitute a trench-type element isolation section (trench isolation) for electrically isolating a semiconductor element.

【0027】以上のようにして構成されるトレンチアイ
ソレーションの形成後には、図1(a),(b)に示す
ように、半導体基板1に例えばMOS型トランジスタが
形成される。具体的には、図1(a)に示すように半導
体基板1上にゲート電極5が形成されると、このゲート
電極5をマスクとして半導体基板1に高濃度の不純物が
注入(イオン注入)され、これによりアモルファス領域
6が形成される。更に、熱処理(アニール)を施すこと
により不純物が活性化しソース・ドレイン領域26が形
成される。このようにして形成されたMOS型トランジ
スタのソース・ドレイン領域26の端部は、図1(b)
に示すように、トレンチ2のコーナ部Eに一部重なって
いる。
After the formation of the trench isolation constructed as described above, for example, a MOS transistor is formed on the semiconductor substrate 1 as shown in FIGS. 1 (a) and 1 (b). Specifically, when the gate electrode 5 is formed on the semiconductor substrate 1 as shown in FIG. 1A, high-concentration impurities are implanted (ion-implanted) into the semiconductor substrate 1 using the gate electrode 5 as a mask. Thus, an amorphous region 6 is formed. Further, by performing a heat treatment (annealing), the impurities are activated and the source / drain regions 26 are formed. The end of the source / drain region 26 of the MOS transistor formed in this way is shown in FIG.
As shown in the figure, the portion partially overlaps the corner portion E of the trench 2.

【0028】ここで、図2(b)に示すような本実施例
のトレンチ2を用いてトレンチアイソーレションを構成
した場合と、図2(d)に示すような従来の矩形のトレ
ンチ32を用いてトレンチアイソレーションを構成した
場合と比較してみる。
Here, the trench isolation using the trench 2 of this embodiment as shown in FIG. 2B and the conventional rectangular trench 32 as shown in FIG. This is compared with a case where a trench isolation is configured by using the same.

【0029】図2(b),(d)から明らかなように、
本実施例の半導体装置及び従来の半導体装置の双方と
も、ソース・ドレイン(アモルファス)領域6がトレン
チ2、32のコーナー部E又はコーナーエッジ部E1に
重なっている。
As is clear from FIGS. 2B and 2D,
In both the semiconductor device of this embodiment and the conventional semiconductor device, the source / drain (amorphous) region 6 overlaps the corner E or the corner edge E1 of the trenches 2 and 32.

【0030】ところが、本実施例では、トレンチ2のコ
ーナー部Eはテーパ形状を有しており、トレンチ2の側
壁酸化処理に際して発生する応力集中によって結晶が受
けるダメージと、図1(a)に示すソース・ドレイン領
域形成のためのイオン注入時において結晶が受けるダメ
ージとが、このコーナー部Eに相乗して加わらない構成
となっている。更に、トレンチ底辺部19についても、
その形状がラウンド形状となっているため、トレンチ底
辺部19にトレンチ2の側壁酸化処理等に際して応力が
集中することが防止されている。
However, in the present embodiment, the corner E of the trench 2 has a tapered shape, and the damage to the crystal due to stress concentration generated during the side wall oxidation process of the trench 2 is shown in FIG. 1A. The structure is such that the damage received by the crystal during the ion implantation for forming the source / drain regions is not added synergistically to the corner portion E. Furthermore, the trench bottom 19 is also
Since the shape is a round shape, stress is prevented from being concentrated on the bottom portion 19 of the trench at the time of oxidizing the side wall of the trench 2 or the like.

【0031】一方、従来の構造のトレンチ32の場合、
図2(c)に示すように各コーナーエッジ部E1,E2
には、トレンチ32の側壁酸化処理に際して局所的に酸
化誘起応力(例えば約160MPa)が発生する可能性
が高く、アモルファス領域6の結晶回復(固相成長)の
際に残留応力が存在し、コーナエッジ部E1,E2に結
晶欠陥が発生する可能性が高い。
On the other hand, in the case of the trench 32 having the conventional structure,
As shown in FIG. 2C, each corner edge portion E1, E2
Is highly likely to locally generate an oxidation-induced stress (for example, about 160 MPa) during the sidewall oxidation process of the trench 32, and there is a residual stress when the crystal of the amorphous region 6 is recovered (solid phase growth). It is highly possible that crystal defects occur at the edge portions E1 and E2.

【0032】このように、本実施例では、図1、図2
(a),(b)に示すようなトレンチ構造とすることに
より、図1(b)の熱処理(アニール)によってアモル
ファス領域6が結晶回復する場合等に、結晶欠陥が発生
することを防止可能となっている。
As described above, in this embodiment, FIGS.
By adopting the trench structure shown in FIGS. 1A and 1B, it is possible to prevent the occurrence of crystal defects when the amorphous region 6 is crystal-recovered by the heat treatment (annealing) of FIG. 1B. Has become.

【0033】[製造方法]以下に、本実施例における具
体的な製造方法について図3〜図5を用いて説明する。
[Manufacturing Method] Hereinafter, a specific manufacturing method in this embodiment will be described with reference to FIGS.

【0034】まず、図3(a)に示すように半導体基板
1であるシリコン基板を熱酸化して、その表面に酸化膜
11を形成(例えば10nm〜50nm)し、CVD等
によって、多結晶シリコン12(例えばl00nm〜6
00nm)及びSi3N4 (窒化膜)13を形成(例えば
100nm〜250nm)する。更に、CVD等によっ
てこのSi3N4 13上にUSG(Undoped Slicate Glas
s )14を形成する(例えばl00nm〜250n
m)。
First, as shown in FIG. 3A, a silicon substrate, which is the semiconductor substrate 1, is thermally oxidized to form an oxide film 11 on its surface (for example, 10 nm to 50 nm). 12 (for example, 100 nm to 6
00 nm) and a Si3 N4 (nitride film) 13 (for example, 100 nm to 250 nm). Further, USG (Undoped Slicate Glas) is deposited on the Si3N4 13 by CVD or the like.
s) 14 (for example, 100 nm to 250 n)
m).

【0035】次に、図3(b)に示すように、フォトリ
ソグラフィー技術を用い、RIE等によって多層のCV
D膜及び酸化膜11をエッチング加工してシリコン基板
1の一部を露出させ、素子分離領域形成用の開口部15
を形成する。
Next, as shown in FIG. 3B, a multilayer CV is formed by RIE or the like using photolithography technology.
The D film and the oxide film 11 are etched to expose a part of the silicon substrate 1, and an opening 15 for forming an element isolation region is formed.
To form

【0036】開口部15形成後、図3(c)に示すよう
に、CVDによって形成されたUSG14及び開口部1
5上に、更にCVD等によってUSG16を形成する
(例えば200nm〜500nm)。
After the opening 15 is formed, the USG 14 formed by CVD and the opening 1 are formed as shown in FIG.
USG 16 is further formed on CVD 5 by CVD or the like (for example, 200 nm to 500 nm).

【0037】そして、RIEによりこのUSG16をエ
ッチング加工し、図3(d)に示すように、素子分離領
域形成用の開口部15の側壁にUSG16を残して、こ
のUSG膜16をエッチングし、開口部15の側壁にサ
イドウォール(USG16)16´を形成する。なお、
シリコン基板1に対してトレンチエッチングを行うため
の開口部の寸法、すなわちサイドウォール16´の間隙
は、サイドウォール長(USG16の膜厚)によって調
整している。
Then, the USG 16 is etched by RIE, and as shown in FIG. 3D, the USG film 16 is etched while leaving the USG 16 on the side wall of the opening 15 for forming an element isolation region. A side wall (USG 16) 16 'is formed on the side wall of the portion 15. In addition,
The size of the opening for performing trench etching on the silicon substrate 1, that is, the gap between the sidewalls 16 'is adjusted by the sidewall length (the thickness of the USG 16).

【0038】次に、アルカリエッチング液を用いてサイ
ドウォール16´の開口領域からシリコン基板1を異方
性エッチングして、図3(e)に示すようなV字溝17
を形成する。このとき、事前にシリコン基板1を開口部
15の幅の寸法以下でRIEしてからアルカリエッチン
グすれば、V字溝17の形成速度が速くなる。
Next, the silicon substrate 1 is anisotropically etched from the opening region of the side wall 16 'using an alkaline etching solution, thereby forming a V-shaped groove 17 as shown in FIG.
To form At this time, if the silicon substrate 1 is subjected to RIE beforehand under the width of the opening 15 or less and then alkali etching, the formation speed of the V-shaped groove 17 is increased.

【0039】上記アルカリエッチングに際しては、例え
ば表面が(100)面のシリコン基板1を所定のアルカ
リエッチング液によってエッチングすると、シリコン結
晶の(111)面が露出する点でエッチングが自動停止
(エッチング速度の低下)する。従って、形成されるV
字溝17の深さは、開口部15の間隙に応じたものとな
る。また、半導体基板1上に酸化膜11が存在する領域
で、基板表面と平行方向のエッチングが停止する。この
ため、アルカリエッチングによって得られるV字溝17
は、その寸法(深さ及び幅)精度が極めて高い。
In the above alkali etching, for example, when the silicon substrate 1 having a (100) surface is etched with a predetermined alkaline etching solution, the etching is automatically stopped at the point where the (111) surface of the silicon crystal is exposed (the etching speed is reduced). descend. Therefore, the formed V
The depth of the groove 17 depends on the gap between the openings 15. Further, in the region where the oxide film 11 exists on the semiconductor substrate 1, the etching in the direction parallel to the substrate surface is stopped. Therefore, the V-shaped groove 17 obtained by alkali etching
Has extremely high dimensional (depth and width) accuracy.

【0040】また、以上のように基板表面近傍部をV字
溝17によって構成することにより、トレンチのコーナ
ー部Eでは、トレンチの側壁(V字溝17の側壁)と基
板表面との交差角度が大きくなりなだらかになってい
る。
Further, as described above, the vicinity of the substrate surface is formed by the V-shaped groove 17, so that at the corner E of the trench, the intersection angle between the side wall of the trench (side wall of the V-shaped groove 17) and the substrate surface is reduced. It is getting bigger and smoother.

【0041】次に、RIEによるトレンチエッチングに
より、サイドウォール16´の開口領域からシリコン基
板を異方性エッチングする。これにより、V字溝17の
表面近傍部におけるテーパ形状に損傷を与えることな
く、図4(a)に示すようにV字溝17の底部から垂直
に伸びる方向にトレンチ下部18が形成される。なお、
V字溝17の底部からトレンチエッチングを行うためト
レンチ底辺部19の形状は、V字溝17の底部形状を反
映してラウンド形状となる。
Next, the silicon substrate is anisotropically etched from the opening region of the side wall 16 'by trench etching by RIE. Thereby, without damaging the tapered shape in the vicinity of the surface of the V-shaped groove 17, the trench lower portion 18 is formed in a direction extending vertically from the bottom of the V-shaped groove 17 as shown in FIG. In addition,
Since trench etching is performed from the bottom of the V-shaped groove 17, the shape of the trench bottom 19 is a round shape reflecting the bottom shape of the V-shaped groove 17.

【0042】トレンチ下部18形成後、ウエットエッチ
ングによって、開口部15のサイドウォール16´を除
去する。更に、図4(b)に示すように、熱処理によっ
てトレンチ2の側壁を熱酸化し、酸化膜(SiO2 )2
0を形成する。この熱処理に際して、トレンチ2の基板
表面近傍におけるコーナー部Eがテーパー化されている
ため、例えば、側壁酸化時に基板表面で発生する残留応
力は酸化直後で従来に比べて約20MPa程度小さくな
る。また、トレンチ底辺部19がラウンド化されてい
て、従来のようにコーナーエッジが存在しない為、この
領域において発生する応力の集中を低減できる。
After the formation of the trench lower portion 18, the side wall 16 'of the opening 15 is removed by wet etching. Further, as shown in FIG. 4 (b), the side wall of the trench 2 is thermally oxidized by a heat treatment to form an oxide film (SiO2) 2.
0 is formed. At the time of this heat treatment, the corner portion E in the vicinity of the substrate surface of the trench 2 is tapered, so that, for example, the residual stress generated on the substrate surface at the time of oxidation of the side wall becomes smaller by about 20 MPa immediately after the oxidation than in the conventional case. In addition, since the bottom 19 of the trench is rounded and there is no corner edge unlike the related art, the concentration of stress generated in this region can be reduced.

【0043】更に、図4(c)に示すように、側壁酸化
したトレンチ2に埋め込み材料を埋め込んで埋め込み部
3を形成する。埋め込み材料としては、トレンチアイソ
レーションを形成できるものであれば任意である。例え
ば、SiO2 やBPSG(Boro Phos Silicate Glass
)、その他の不純物含有ガラス等の平坦化材料を用い
ることができる。本実施例では、CVDによってトレン
チ2内に多結晶シリコンを埋め込む構造とした。
Further, as shown in FIG. 4C, a buried portion 3 is formed by burying a burying material in the trench 2 whose sidewall has been oxidized. The filling material is not limited as long as it can form trench isolation. For example, SiO2 or BPSG (Boro Phos Silicate Glass
) And other flattening materials such as impurity-containing glass. In this embodiment, the structure is such that polycrystalline silicon is embedded in the trench 2 by CVD.

【0044】次に、図4(d)に示すように、熱酸化処
理を施し、多結晶シリコンの表面を選択的に酸化してL
OCOS部4を形成する。なお、BPSGを埋め込み材
料として用いた場合には、埋め込んだBPSGの上部に
更に多結晶シリコンを形成して、この多結晶シリコンを
選択的に酸化してLOCOS部4を形成する構成として
も良い。
Next, as shown in FIG. 4D, a thermal oxidation treatment is performed to selectively oxidize the surface of the polycrystalline silicon, thereby
An OCOS part 4 is formed. In the case where BPSG is used as a filling material, a structure may be employed in which polycrystalline silicon is further formed on the buried BPSG, and this polycrystalline silicon is selectively oxidized to form the LOCOS portion 4.

【0045】LOCOS部4形成後、次にMOS型トラ
ンジスタのゲート絶縁膜21を、熱酸化によって形成
し、このゲート絶縁膜21上にCVD等によって多結晶
シリコン膜を形成する。そして、フォトリソグラフィー
工程(レジスト工程及びRIE)を施すことにより、図
5(a)のように、多結晶シリコンから構成されたゲー
ト電極5を形成する。
After the formation of the LOCOS portion 4, a gate insulating film 21 of the MOS transistor is formed by thermal oxidation, and a polycrystalline silicon film is formed on the gate insulating film 21 by CVD or the like. Then, by performing a photolithography step (resist step and RIE), a gate electrode 5 made of polycrystalline silicon is formed as shown in FIG.

【0046】また、形成したゲート電極5をマスクとし
て、ソース・ドレイン領域形成用のイオン注入を行う
と、不純物が注入された領域に図5(b)に示すように
アモルファス領域6が形成される。
When ion implantation for forming source / drain regions is performed using the formed gate electrode 5 as a mask, an amorphous region 6 is formed in the region into which the impurities have been implanted, as shown in FIG. .

【0047】その後、熱処理による回復アニールを行
う。このアニールによって、図5(c)に矢印で示すよ
うな方向に結晶回復する。ここで、上述のようにトレン
チ2の基板表面近傍においてそのコーナー部Eがテーパ
ー化されており、結晶欠陥が発生しやすい半導体基板表
面付近の面方位が除去されているので、結晶回復に際し
てコーナー部Eにおいてミスフィットが発生せず、結晶
欠陥の発生を確実に防止している。
Thereafter, recovery annealing by heat treatment is performed. By this annealing, the crystal is recovered in the direction indicated by the arrow in FIG. Here, as described above, the corner portion E is tapered near the substrate surface of the trench 2 and the plane orientation near the semiconductor substrate surface where crystal defects easily occur is removed. In E, no misfit occurs and crystal defects are reliably prevented.

【0048】また、トレンチ底辺部19にコーナーエッ
ジが存在しないので、この底辺部19においても各処理
に際して結晶欠陥が発生しにくい。
Further, since there is no corner edge at the bottom 19 of the trench, crystal defects are unlikely to occur in the bottom 19 during each process.

【0049】なお、上記実施例においては、トレンチを
素子分離のためのトレンチアイソレーションとしてい用
いる場合の構成例について説明したが、これには限ら
ず、実施例のような構成のトレンチを、各種メモリなど
に用いられるトレンチキャパシタとして用いてもよい。
この本実施例の構成のトレンチをトレンチキャパシタと
して用いた場合においても、結晶欠陥の発生を防止して
リーク電流を低減することが可能とある。このため、記
憶内容の保持時間の延長等が可能となり、メモリとして
の信頼性の向上やメモリの高集積化に貢献することがで
きる。
In the above embodiment, a description has been given of a configuration example in which the trench is used as a trench isolation for element isolation. However, the present invention is not limited to this. It may be used as a trench capacitor used for a memory or the like.
Even when the trench having the structure of this embodiment is used as a trench capacitor, it is possible to prevent the occurrence of crystal defects and to reduce the leak current. For this reason, the retention time of the stored contents can be extended, which contributes to the improvement of the reliability of the memory and the high integration of the memory.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の実施例における製造工程の概略を示
す図である。
FIG. 1 is a view schematically showing a manufacturing process in an example of the present invention.

【図2】 本発明及び従来の構成での作用を概念的に説
明する図である。
FIG. 2 is a diagram conceptually illustrating the operation of the present invention and a conventional configuration.

【図3】 本発明の実施例の製造工程を説明する図であ
る。
FIG. 3 is a diagram illustrating a manufacturing process according to an example of the present invention.

【図4】 図3の製造工程の続きを説明する図である。FIG. 4 is a view illustrating a continuation of the manufacturing process of FIG. 3;

【図5】 図4の製造工程の続きを説明する図である。FIG. 5 is a diagram illustrating a continuation of the manufacturing process of FIG. 4;

【図6】 従来技術を示す図である。FIG. 6 is a diagram showing a conventional technique.

【符号の説明】[Explanation of symbols]

1 半導体基板、2 トレンチ、3 埋め込み部、4
LOCOS部、5 ゲート電極、6 アモルファス領
域、17 V字溝、18 トレンチ下部、19トレンチ
底辺部、26 ソース・ドレイン領域。
1 semiconductor substrate, 2 trench, 3 buried part, 4
LOCOS portion, 5 gate electrode, 6 amorphous region, 17 V-shaped groove, 18 trench lower portion, 19 trench bottom portion, 26 source / drain region.

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI H01L 27/108 (72)発明者 杉山 進 愛知県愛知郡長久手町大字長湫字横道41 番地の1 株式会社豊田中央研究所内 (56)参考文献 特開 昭63−234534(JP,A) 特開 平5−74929(JP,A) 特開 昭63−115348(JP,A) 特開 昭59−149030(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/76 H01L 21/762 H01L 21/822 H01L 21/8242 H01L 27/04 H01L 27/108 ──────────────────────────────────────────────────の Continued on the front page (51) Int.Cl. 7 Identification code FI H01L 27/108 (72) Inventor Susumu Sugiyama 41-Cho, Yakumichi, Nagakute-cho, Aichi-gun, Aichi Prefecture 56) References JP-A-63-234534 (JP, A) JP-A-5-74929 (JP, A) JP-A-63-115348 (JP, A) JP-A-59-149030 (JP, A) (58) ) Surveyed field (Int.Cl. 7 , DB name) H01L 21/76 H01L 21/762 H01L 21/822 H01L 21/8242 H01L 27/04 H01L 27/108

Claims (3)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 シリコン基板にトレンチが設けられてい
る半導体装置の製造方法であって、 前記シリコン基板上に熱酸化により酸化膜を形成する工
程と、 前記酸化膜上に層の多結晶シリコン絶縁膜を形成する
工程と、 前記多結晶シリコン絶縁膜及び前記酸化膜の一部を除去
して前記シリコン基板が露出する開口部を形成する工程
と、 前記多結晶シリコン絶縁膜上及び前記開口部上にUSG
(Undoped Silicate Glass)絶縁膜を形成する工程と、 前記開口部の側面部に形成されたUSG絶縁膜を残し
て、前記USG絶縁膜を除去する工程と、 前記シリコン基板を前記開口部からアルカリエッチング
液を用いてエッチングすることによりテーパ状のV字溝
を形成する工程と、 前記開口部の側面に形成されている前記USG絶縁膜を
マスクとして、前記シリコン基板のV字溝の底部を垂直
方向にエッチングする工程と、 により半導体基板にトレンチを形成することを特徴とす
る半導体装置の製造方法。
1. A method of manufacturing a semiconductor device in which a trench is provided in a silicon substrate, comprising: forming an oxide film on the silicon substrate by thermal oxidation; and forming one layer of polycrystalline silicon on the oxide film. Forming an insulating film; forming an opening exposing the silicon substrate by removing a part of the polycrystalline silicon insulating film and the oxide film; and forming the opening on the polycrystalline silicon insulating film and the opening. USG on top
(Undoped Silicate Glass) a step of forming an insulating film; a step of removing the USG insulating film while leaving a USG insulating film formed on a side surface of the opening; and an alkali etching of the silicon substrate from the opening. A step of forming a tapered V-shaped groove by etching using a liquid; and using the USG insulating film formed on the side surface of the opening as a mask, vertically aligning the bottom of the V-shaped groove of the silicon substrate. A method of manufacturing a semiconductor device, comprising: forming a trench in a semiconductor substrate by:
【請求項2】 請求項1に記載の半導体装置の製造方法
において、 前記シリコン基板は、表面が(100)面のシリコン基
板であることを特徴とする半導体装置の製造方法。
2. The method for manufacturing a semiconductor device according to claim 1, wherein the silicon substrate is a silicon substrate having a (100) surface.
【請求項3】 請求項1または2に記載の半導体装置の
製造方法において、 更に、形成された前記トレンチ内に所定の埋め込み材を
充填して埋め込み部を形成する工程と、 熱処理によって前記埋め込み部の基板表面側を酸化し、
前記トレンチの上部に選択的に酸化膜を形成する工程
と、 を有することを特徴とする半導体装置の製造方法。
3. The method of manufacturing a semiconductor device according to claim 1, further comprising: filling a predetermined filling material in the formed trench to form a filling portion; and heat-treating the filling portion. Oxidize the substrate surface side of
Selectively forming an oxide film on the upper part of the trench.
JP07494795A 1995-03-31 1995-03-31 Method for manufacturing semiconductor device Expired - Fee Related JP3307149B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP07494795A JP3307149B2 (en) 1995-03-31 1995-03-31 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP07494795A JP3307149B2 (en) 1995-03-31 1995-03-31 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH08274157A JPH08274157A (en) 1996-10-18
JP3307149B2 true JP3307149B2 (en) 2002-07-24

Family

ID=13562040

Family Applications (1)

Application Number Title Priority Date Filing Date
JP07494795A Expired - Fee Related JP3307149B2 (en) 1995-03-31 1995-03-31 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3307149B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223747A (en) * 1997-02-06 1998-08-21 Nec Corp Manufacture of semiconductor device
KR100451494B1 (en) * 1998-10-29 2004-12-03 주식회사 하이닉스반도체 Device Separating Method of Semiconductor Device
JP6986831B2 (en) * 2015-07-17 2021-12-22 株式会社半導体エネルギー研究所 Semiconductor devices and electronic devices

Also Published As

Publication number Publication date
JPH08274157A (en) 1996-10-18

Similar Documents

Publication Publication Date Title
US6465866B2 (en) Trench isolation regions having trench liners with recessed ends
JP3984014B2 (en) Method for manufacturing substrate for semiconductor device and substrate for semiconductor device
US7745904B2 (en) Shallow trench isolation structure for semiconductor device
KR100213196B1 (en) Trench device separation
US5677229A (en) Method for manufacturing semiconductor device isolation region
US5945724A (en) Trench isolation region for semiconductor device
KR960016502B1 (en) Integrated circuit isolation method
US6699773B2 (en) Shallow trench isolation type semiconductor device and method of forming the same
JP3584125B2 (en) Method of forming alignment key pattern for semiconductor device
JPH07193121A (en) Production of semiconductor device
US5246537A (en) Method of forming recessed oxide isolation
EP0674807A1 (en) Thin soi layer for fully depleted field effect transistors
JPH088297B2 (en) Element isolation method for semiconductor device
US5470782A (en) Method for manufacturing an integrated circuit arrangement
US6346457B1 (en) Process for manufacturing semiconductor device
KR100307651B1 (en) Manufacturing method of semiconductor device
KR100244847B1 (en) Methods to prevent divot formation in shallow trench isolation areas and integrated circuit chip formed thereby
JP3307149B2 (en) Method for manufacturing semiconductor device
JP3196830B2 (en) Semiconductor device and manufacturing method thereof
KR100475050B1 (en) Trench element isolation method and structure with nitride liner of thin film protected by spacer
KR100558201B1 (en) Photo align key and method for manufacturing semiconductor device having the same
JPH09289245A (en) Fabrication method of semiconductor device
JP3277383B2 (en) Method for manufacturing semiconductor device
KR980012242A (en) Method for forming element isolation region of semiconductor device
JPH0268929A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees