JP3283789B2 - Processing equipment - Google Patents

Processing equipment

Info

Publication number
JP3283789B2
JP3283789B2 JP14273797A JP14273797A JP3283789B2 JP 3283789 B2 JP3283789 B2 JP 3283789B2 JP 14273797 A JP14273797 A JP 14273797A JP 14273797 A JP14273797 A JP 14273797A JP 3283789 B2 JP3283789 B2 JP 3283789B2
Authority
JP
Japan
Prior art keywords
unit
processing
wafer
substrate
processed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP14273797A
Other languages
Japanese (ja)
Other versions
JPH10335418A (en
Inventor
和敏 吉岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP14273797A priority Critical patent/JP3283789B2/en
Priority to US09/085,758 priority patent/US6168667B1/en
Priority to TW087108324A priority patent/TW385497B/en
Priority to SG9801243A priority patent/SG79977A1/en
Priority to KR10-1998-0019768A priority patent/KR100476500B1/en
Priority to SG200002280A priority patent/SG92697A1/en
Publication of JPH10335418A publication Critical patent/JPH10335418A/en
Application granted granted Critical
Publication of JP3283789B2 publication Critical patent/JP3283789B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、例えば半導体ウエ
ハやLCD基板等の被処理基板に対してレジスト塗布、
現像等の処理を行う処理装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to the application of a resist to a substrate to be processed such as a semiconductor wafer or an LCD substrate.
The present invention relates to a processing device that performs processing such as development.

【0002】[0002]

【従来の技術】例えば半導体デバイスの製造プロセスに
おけるフォトリソグラフィー工程においては、半導体ウ
エハ(以下、「ウエハ」という)の表面にレジスト膜を
形成するレジスト塗布処理と、レジスト塗布後のウエハ
に対して露光処理を行った後に当該ウエハに対して現像
処理を行う現像処理とが行われる。
2. Description of the Related Art For example, in a photolithography process in a semiconductor device manufacturing process, a resist coating process for forming a resist film on the surface of a semiconductor wafer (hereinafter, referred to as a "wafer") and an exposure of the wafer after the resist coating are performed. After the processing, a development processing of performing a development processing on the wafer is performed.

【0003】従来からこれらレジスト塗布処理と現像処
理は、例えば特公平2−30194号公報によっても公
知なように、対応する各種処理ユニットが1つのシステ
ム内に装備された複合型の塗布現像処理システム内で、
この処理システムに接続された露光装置での露光プロセ
スを挟んで所定のシーケンスに従って行われている。近
年、このような塗布現像処理システムと露光装置との間
での被処理基板の受け渡しは、外気から閉ざされた空間
内で被処理基板の自動的な受け渡しを実現するインター
フェース装置を介して行われ、これによりクリームルー
ムを必要としない被処理基板の運搬を実現している。
Conventionally, the resist coating process and the developing process are, as is well known, for example, from Japanese Patent Publication No. 2-30194, a composite type coating and developing system in which various processing units are provided in one system. At the inner,
The exposure is performed according to a predetermined sequence with an exposure process in an exposure apparatus connected to the processing system. In recent years, the transfer of a substrate to be processed between such a coating and developing processing system and an exposure apparatus has been performed through an interface device that realizes automatic transfer of the substrate to be processed in a space closed from the outside air. Thus, the substrate to be processed can be transported without requiring a cream room.

【0004】ところで、塗布現像処理システム及び露光
装置では、塵埃等の異物の浸入の防止や排出等を目的と
して、清浄化された空気をダウンフローで各ユニット内
に導入するなどして内部雰囲気圧を大気圧よりも高い状
態にしている。しかしながら、塗布現像処理システムと
露光装置との間においては、多くの場合、露光装置側が
塗布現像処理システム側に対して陽圧となっていること
から、両者を上記のインターフェース装置を介して気密
に接続した状態においては、陽圧側の露光装置から陰圧
側の塗布現像処理システムへの塵埃等の移動が発生し、
このため塗布現像処理システムにおいて、被処理基板表
面に異物が付着することに起因しての歩留りの低下が発
生する恐れがある。特に被処理基板に対するレジスト塗
布や現像時、或いはその直前直後において異物が付着し
た場合、その異物を表面に残したまま被処理基板が露光
装置に搬送される確率が高くなり、露光パターンの欠陥
が生じる。
In a coating and developing system and an exposure apparatus, for the purpose of preventing foreign substances such as dust from entering and discharging, etc., the internal atmosphere pressure is reduced by introducing purified air into each unit in a downflow manner. Is higher than the atmospheric pressure. However, between the coating and developing processing system and the exposure apparatus, in many cases, the exposure apparatus side has a positive pressure with respect to the coating and developing processing system side. In the connected state, movement of dust and the like from the positive pressure side exposure apparatus to the negative pressure side coating and developing processing system occurs,
For this reason, in the coating and developing processing system, there is a possibility that the yield may be reduced due to the adhesion of foreign matter to the surface of the substrate to be processed. In particular, when foreign matter adheres during or immediately before or after resist coating or development on the substrate to be processed, the probability that the substrate to be processed is transported to the exposure apparatus while the foreign matter remains on the surface increases, and defects in the exposure pattern are reduced. Occurs.

【0005】また、このような塗布現像処理システムに
おいては、カセットステーション、露光装置用のインタ
ーフェース部、その他のウエハ搬送機構も塵埃等の発生
源と言え、このように内部発生した塵埃等がシステム内
を浮遊して被処理基板表面に付着すると言う問題もあっ
た。
In such a coating and developing system, a cassette station, an interface unit for an exposure apparatus, and other wafer transfer mechanisms can also be said to be sources of dust and the like. There is also a problem that the particles float and adhere to the surface of the substrate to be processed.

【0006】[0006]

【発明が解決しようとする課題】このように被処理基板
に対してレジスト塗布や現像等を行う処理装置において
は、インターフェース部を通じて接続された露光装置等
の外部装置との内部雰囲気の差圧によって、該露光装置
等の外部装置から塵埃等の異物が浸入したり、システム
内のカセットステーション、露光装置用のインターフェ
ース部、その他のウエハ搬送機構で塵埃等が発生し、こ
れらが被処理基板表面に付着して歩留りの低下をもたら
すと言う問題があった。
As described above, in a processing apparatus that performs resist coating and development on a substrate to be processed, the pressure difference between the internal atmosphere of the processing apparatus and an external apparatus such as an exposure apparatus connected through an interface unit is increased. Foreign matter such as dust may intrude from an external device such as the exposure apparatus, and dust may be generated in a cassette station in the system, an interface unit for the exposure apparatus, and other wafer transfer mechanisms, and these may be generated on the surface of the substrate to be processed. There is a problem that the adhesion causes a decrease in yield.

【0007】本発明はこのような課題を解決すべくなさ
れたもので、液処理系領域の密閉性を高めることで他の
領域から液処理系領域への塵埃等の異物の浸入を低減或
いは阻止し、以て被処理基板表面に異物が付着すること
に起因しての歩留りの低下を抑制することのできる処理
装置の提供を目的としている。
SUMMARY OF THE INVENTION The present invention has been made to solve such a problem, and reduces or prevents intrusion of foreign matter such as dust from another area into the liquid processing system area by improving the sealing property of the liquid processing system area. Accordingly, it is an object of the present invention to provide a processing apparatus capable of suppressing a decrease in yield due to foreign substances adhering to the surface of a substrate to be processed.

【0008】[0008]

【0009】[0009]

【0010】[0010]

【0011】[0011]

【0012】[0012]

【0013】[0013]

【課題を解決するための手段】 発明の処理装置は、請
求項に記載されるように、被処理基板を収容するカセ
ットに対して被処理基板の出し入れを行うカセットステ
ーションと、露光装置と被処理基板の受け渡しを行うイ
ンターフェース部と、被処理基板を処理する複数の第1
の処理ユニットと、被処理基板を処理する第2の処理ユ
ニットと、前記カセットステーション及び前記インター
フェース部との間での被処理基板の受け渡し、及び前記
各第1の処理ユニットに対して前記被処理基板の出し入
れを行う第1の搬送ユニットと、前記カセットステーシ
ョン及び前記インターフェース部に対して密閉され、且
つ前記各第1の処理ユニットを挟んで前記第1の搬送ユ
ニットと対向する位置に設けられ、前記各第1の処理ユ
ニットのうちの一部及び前記各第2の処理ユニットに対
して前記被処理基板の出し入れを行う第2の搬送ユニッ
トと、前記複数の第1の処理ユニット内に設けられ、前
記第1の搬送ユニットと前記第2の搬送ユニットとの間
で前記被処理基板を受け渡すための受渡しユニットと
具備することを特徴とする。
Processing apparatus of the present invention SUMMARY OF], as described in claim 1, a cassette station for performing loading and unloading of the substrate to be processed to and from a cassette for storing a substrate to be processed, an exposure device An interface unit for transferring a substrate to be processed, and a plurality of first units for processing the substrate to be processed.
A processing unit, a second processing unit for processing a substrate to be processed, the cassette station and the interface.
A first transfer unit for transferring the substrate to be processed to and from the face unit, and for taking in and out the substrate to and from each of the first processing units, and sealing to the cassette station and the interface unit And each of the first processing units is provided at a position facing the first transport unit with the first processing unit interposed therebetween. A second transport unit for loading and unloading the substrate, and a plurality of first transport units provided in the plurality of first processing units.
Between the first transport unit and the second transport unit
And a delivery unit for delivering the substrate to be processed .

【0014】[0014]

【0015】さらに、本発明の処理装置は、請求項
記載されるように、被処理基板を収容するカセットに対
して被処理基板の出し入れを行うカセットステーション
と、露光装置と被処理基板の受け渡しを行うインターフ
ェース部と、被処理基板に対して熱処理を含む所定の処
理を行う複数の第1の処理ユニットと、被処理基板を処
理液を用いて処理する第2の処理ユニットと、前記カセ
ットステーション及び前記インターフェース部との間で
の被処理基板の受け渡し、及び前記各第1の処理ユニッ
トに対して前記被処理基板の出し入れを行う第1の搬送
ユニットと、前記カセットステーション及び前記インタ
ーフェース部に対して密閉され、且つ前記各第1の処理
ユニットを挟んで前記第1の搬送ユニットと対向する位
置に設けられ、前記各第1の処理ユニットのうちの一部
及び前記各第2の処理ユニットに対して前記被処理基板
の出し入れを行う第2の搬送ユニットと、前記複数の第
1の処理ユニット内に設けられ、前記第1の搬送ユニッ
トと前記第2の搬送ユニットとの間で前記被処理基板を
受け渡すための受渡しユニットとを具備することを特徴
とする。
Furthermore, the processing apparatus of the present invention, as described in claim 2, a cassette station for performing loading and unloading of the substrate to be processed to and from a cassette for storing a substrate to be processed, an exposure apparatus and the substrate to be processed an interface unit for delivering a plurality of first processing unit that performs predetermined processing including heat treatment on the target substrate, a second processing unit for processing with a processing solution to be treated substrate, the hank
Between the communication station and the interface unit
A first transfer unit that transfers the substrate to be processed, and takes in and out the substrate to and from each of the first processing units, and is sealed with respect to the cassette station and the interface unit; The substrate to be processed is provided at a position opposed to the first transport unit with one processing unit interposed therebetween, and the substrate to be processed is taken in and out of a part of each of the first processing units and each of the second processing units. a second conveying unit for the plurality of first
1 processing unit and the first transfer unit.
Between the target and the second transfer unit.
And a delivery unit for delivery .

【0016】さらに、本発明の処理装置は、請求項
記載されるように、前記第2の搬送ユニットは、複数の
搬送機構で構成されていることを特徴とする。 さらに、
本発明の処理装置は、請求項4に記載されるように、前
記複数の搬送機構間は雰囲気が遮断されていることを特
徴とする。 さらに、本発明の処理装置は、請求項5に記
載されるように、前記複数の搬送機構毎に前記第1の処
理ユニット内には前記受渡しユニットが設けられている
ことを特徴とする。 さらに、本発明の処理装置は、請求
項6に記載されるように、前記第2の処理ユニットが前
記第2の搬送ユニットを挟んで前記各第1の処理ユニッ
トと対向する位置に配置されていることを特徴とする。
Furthermore, the processing apparatus of the present invention, as described in claim 3, wherein the second transport unit, a plurality of
It is characterized by being constituted by a transport mechanism. further,
According to a fourth aspect of the present invention, there is provided a processing apparatus comprising:
Note that the atmosphere between the transport mechanisms is shut off.
Sign. Further, the processing apparatus of the present invention is described in claim 5.
As described above, the first processing is performed for each of the plurality of transport mechanisms.
The delivery unit is provided in the management unit
It is characterized by the following. Furthermore, the processing apparatus of the present invention
As described in item 6, the second processing unit is provided
Each of the first processing units sandwiches the second transport unit.
It is characterized in that it is arranged at a position opposing the port.

【0017】すなわち、本発明の処理装置では、第2の
処理ユニット例えば被処理基板を処理液を用いて処理す
るレジスト塗布ユニットや現像ユニット等に対して被処
理基板を出し入れする第2の搬送ユニットが、カセット
ステーションや露光装置用のインターフェース部に対し
て密閉されている、或いは、カセットステーションや露
光装置用のインターフェース部と直接被処理基板の受け
渡しが行われることがないので、カセットステーション
や露光装置用のインターフェース部を通じて外部から第
2の搬送ユニット内への塵埃等の浸入を低減或いは阻止
することができ、歩留りの向上を図ることが可能とな
る。
[0017] That is, in processing apparatus of the present invention, the second conveyor for loading and unloading a substrate to be processed with respect to the resist coating unit and the developing unit or the like for processing using a second processing unit for example a substrate to be processed treatment liquid The unit is sealed with respect to the cassette station and the interface unit for the exposure apparatus, or the substrate to be processed is not directly transferred to and from the cassette station and the interface unit for the exposure apparatus. It is possible to reduce or prevent intrusion of dust and the like from the outside into the second transport unit through the interface unit for the device, and it is possible to improve the yield.

【0018】[0018]

【発明の実施の形態】以下、本発明の実施形態を図に基
づいて説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the present invention will be described below with reference to the drawings.

【0019】図1乃至図3は、各々本発明の実施形態が
採用された半導体ウエハ(以下、「ウエハ」という)の
塗布現像処理システム1の全体構成を示しており、図1
はその平面図、図2は正面側の側面図、図3は背面側の
一部断面・側面図である。これらの図に示すように、本
実施形態の塗布現像処理システム1は、ウエハWを複数
収容したウエハカセットCRを外部との間で搬入・搬出
したり、ウエハカセットCRに対してウエハWの出し入
れを行うためのカセットステーション10と、ウエハW
に対して1枚ずつ所定の処理を施す枚葉式の各種処理ユ
ニットを配置して構成される処理ステーション11と、
外部の露光装置との間でウエハWの受け渡しを行うイン
ターフェース部12とを一体に組み合わせて構成され
る。
FIGS. 1 to 3 show the overall structure of a coating and developing system 1 for a semiconductor wafer (hereinafter, referred to as "wafer") to which an embodiment of the present invention is applied.
2 is a plan view, FIG. 2 is a front side view, and FIG. 3 is a partial cross-sectional side view on the back side. As shown in these figures, the coating and developing processing system 1 of the present embodiment carries in / out a wafer cassette CR containing a plurality of wafers W to / from the outside, and takes in / out a wafer W from / to the wafer cassette CR. Station 10 for carrying out wafer W
A processing station 11 configured by arranging various types of single-wafer processing units that perform predetermined processing one by one on the
An interface unit 12 for transferring a wafer W to and from an external exposure apparatus is integrally combined.

【0020】カセットステーション10内には、図1に
示すように、カセット載置台20上に複数例えば5個ま
でのウエハカセットCRが各々のウエハ出入口を処理ス
テーション11側に向けて一列に載置され、これらウエ
ハカセットCRに対して、X方向及びZ方向(ウエハカ
セッ卜CR内のウエハ配列方向;垂直方向)に移動自在
に設けられたウエハ搬送体21がウエハWの出し入れ操
作を行うようになっている。このウエハ搬送体21は、
θ方向に回転自在に構成され、処理ステーション11側
の第1のウエハ搬送体22に対してウエハWの受け渡し
を行うことも可能である。
In the cassette station 10, as shown in FIG. 1, a plurality of, for example, up to five wafer cassettes CR are mounted on a cassette mounting table 20 in a line with their respective wafer entrances facing the processing station 11 side. With respect to these wafer cassettes CR, a wafer carrier 21 movably provided in the X direction and the Z direction (the direction of arranging wafers in the wafer cassette CR; the vertical direction) performs the operation of taking in and out of the wafer W. I have. This wafer carrier 21 is
The wafer W is rotatable in the θ direction, and can transfer the wafer W to and from the first wafer carrier 22 on the processing station 11 side.

【0021】処理ステーション11内には、互いに独立
した2つの搬送ユニットである第1の搬送ユニット31
及び第2の搬送ユニット32と各種処理ユニット群が配
設されている。
In the processing station 11, a first transport unit 31 which is two independent transport units is provided.
And a second transport unit 32 and various processing unit groups.

【0022】処理ユニットは、カップCP内でウエハW
をスピンチャック上に載せて回転しつつ処理液を用いた
所定の処理を行うスピンナ型のもの(レジスト塗布ユニ
ット(COT)及び現像ユニット(DEV))と、ウエ
ハWを載置台SPに載せて所定の処理を行うオーブン型
のもの(熱処理系を含む処理ユニット群33)とに大別
され、これらスピンナ型の処理ユニット(レジスト塗布
ユニット(COT)及び現像ユニット(DEV))とオ
ーブン型の処理ユニット(熱処理系を含む処理ユニット
群33)とは、第2の搬送ユニット32を挟んでその両
側に二分して配置されている。そして、オーブン型の処
理ユニット(熱処理系を含む処理ユニット群33)によ
って、第1の搬送ユニット31と第2の搬送ユニット3
2とが互いに分離されている。
The processing unit sets the wafer W in the cup CP.
A spinner type (a resist coating unit (COT) and a developing unit (DEV)) that performs a predetermined process using a processing liquid while rotating on a spin chuck and a wafer W placed on a mounting table SP for a predetermined time. Oven type (processing unit group 33 including a heat treatment system) which performs the above-mentioned processing. These spinner type processing units (resist coating unit (COT) and developing unit (DEV)) and oven type processing unit (The processing unit group 33 including the heat treatment system) is divided into two parts on both sides of the second transport unit 32. Then, the first transport unit 31 and the second transport unit 3 are operated by an oven-type processing unit (a processing unit group 33 including a heat treatment system).
2 are separated from each other.

【0023】これら処理ユニットの配置構成をさらに詳
しく説明すると、図1及び図2に示すように、スピンナ
型の処理ユニットであるレジスト塗布ユニット(CO
T)及び現像ユニット(DEV)は、第2の搬送ユニッ
ト32に面して横一列に並置され、オーブン型の処理ユ
ニット(熱処理系を含む処理ユニット群33)は、図1
及び図3に示すように、縦横に複数(この例では縦4段
横5列)重ねて並置されている。なお、図3は第1の搬
送ユニット31側からオーブン型の処理ユニット(熱処
理系を含む処理ユニット群33)の配置構成を示した一
部断面・側面図である。
The arrangement of these processing units will be described in more detail. As shown in FIGS. 1 and 2, a resist coating unit (CO) which is a spinner type processing unit is used.
T) and the developing unit (DEV) are arranged side by side in a row facing the second transport unit 32. The oven-type processing unit (the processing unit group 33 including the heat treatment system) is the same as FIG.
As shown in FIG. 3 and a plurality of rows (in this example, 4 rows and 5 columns) are arranged side by side. FIG. 3 is a partial cross-sectional and side view showing an arrangement configuration of an oven-type processing unit (a processing unit group 33 including a heat treatment system) from the first transfer unit 31 side.

【0024】オーブン型の処理ユニットとしては、ウエ
ハWの冷却処理を行うクーリングユニット(COL)、
ウエハWの位置合わせを行うアライメントユニット(A
LIM)、ウエハW表面に塗布されたレジスト液の定着
性を高めるための疏水化処理を行うアドヒージョンユニ
ット(AD)、露光処理前のウエハWに対して加熱処理
を行うプリベーキングユニット(PREBAKE)、露
光処理後のウエハWに対して加熱処理を行うポストベー
キングユニット(POBAKE)、イクステンション・
クーリングユニット(EXTCOL)、第1の搬送ユニ
ット31と第2の搬送ユニット32との間でウエハWの
受け渡しを行うためのイクステンションユニット(EX
T)等がある。
As an oven type processing unit, a cooling unit (COL) for performing a cooling process of the wafer W,
Alignment unit (A for aligning wafer W)
LIM), an adhesion unit (AD) for performing a hydrophobic treatment for improving the fixability of a resist solution applied to the surface of the wafer W, and a prebaking unit (PREBAKE) for performing a heating process on the wafer W before the exposure process. ), A post-baking unit (POBAKE) for performing a heating process on the wafer W after the exposure process,
A cooling unit (EXTCOL) and an extension unit (EX) for transferring the wafer W between the first transfer unit 31 and the second transfer unit 32
T).

【0025】ここで、処理温度の比較的低いクーリング
ユニット(COL)、イクステンション・クーリングユ
ニット(EXTCOL)及びイクステンションユニット
(EXT)は例えば最下段に配置され、処理温度の比較
的高いプリベーキングユニット(PREBAKE)及び
ポストベーキングユニット(POBAKE)及びアドヒ
ージョンユニット(AD)はクーリングユニット(CO
L)及びイクステンションユニット(EXT)よりも上
段に配置されている。このように処理温度の高いユニッ
トを上段に配置することで、ユニット間の熱的な相互干
渉を少なくしている。
Here, the cooling unit (COL), the extension / cooling unit (EXTCOL) and the extension unit (EXT) each having a relatively low processing temperature are arranged, for example, at the lowest stage, and the pre-baking unit having a relatively high processing temperature. (PREBAKE) and post-baking unit (POBAKE) and adhesion unit (AD) are cooling units (CO
L) and the extension unit (EXT). By arranging units having a high processing temperature in the upper stage, thermal mutual interference between the units is reduced.

【0026】第1の搬送ユニット31内には、カセット
ステーション10とインターフェース部12との間でY
方向に移動自在とされ、Z方向(垂直方向)に上下動で
きると共に、θ方向に回転し得るように構成された第1
のウエハ搬送体22が設けられており、この第1のウエ
ハ搬送体22によって、カセットステーション10、イ
ンターフェース部12との間でのウエハWの受け渡し、
及び熱処理系を含む処理ユニット群33における個々の
オーブン型処理ユニットに対するウエハWの出し入れが
行われる。
In the first transport unit 31, the Y transfer between the cassette station 10 and the interface unit 12 is performed.
The first is configured to be movable in the Z direction (vertical direction) and to be rotatable in the θ direction.
Of the wafer W between the cassette station 10 and the interface unit 12 by the first wafer carrier 22.
Then, the wafer W is taken in and out of the individual oven-type processing units in the processing unit group 33 including the heat treatment system.

【0027】一方、第2の搬送ユニット32内には、例
えば位置固定型の2つのウエハ搬送体、例えばZ方向
(垂直方向)に上下動できると共にθ方向に回転自在に
構成された2つの搬送体(第2のウエハ搬送体23及び
第3のウエハ搬送体24)が設けられている。この第2
の搬送体23によって、図1及び図4に示すように、イ
クステンションユニット(EXT)に対するウエハWの
出し入れや、レジスト塗布ユニット(COT)に対する
ウエハWの出し入れ、さらに第3の搬送体24との間で
のウエハWの受け渡しが行われるものとされている。さ
らに、第3の搬送体24は、第2の搬送体23との間で
のウエハWの受け渡し、及び現像ユニット(DEV)に
対するウエハWの出し入れを行うものである。
On the other hand, in the second transfer unit 32, for example, two wafer transfer units of a fixed position type, for example, two transfer units configured to be vertically movable in the Z direction (vertical direction) and rotatable in the θ direction. (A second wafer carrier 23 and a third wafer carrier 24) are provided. This second
As shown in FIGS. 1 and 4, the transfer of the wafer W to and from the extension unit (EXT), the transfer of the wafer W to and from the resist coating unit (COT), and the transfer of the third transfer It is assumed that the transfer of the wafer W between them is performed. Further, the third transfer member 24 transfers the wafer W to and from the second transfer member 23 and transfers the wafer W to and from the developing unit (DEV).

【0028】図4に示すように、各処理ユニットには各
々、ウエハWの搬入・搬出用の開口41が設けられてお
り、これらの開口41は図示しない駆動手段によって駆
動するシャッタ42によって個々に開閉される。したが
って、第1の搬送ユニット31と第2の搬送ユニット3
2との間でのウエハWの受け渡しに供されるイクステン
ションユニット(EXT)には、各搬送ユニット31、
32と対向する両面に開口41とその開閉用のシャッタ
42が各々設けられている。
As shown in FIG. 4, each processing unit is provided with an opening 41 for loading / unloading the wafer W, and these openings 41 are individually formed by a shutter 42 driven by driving means (not shown). It is opened and closed. Therefore, the first transport unit 31 and the second transport unit 3
The extension units (EXT) used to transfer the wafer W to and from the transfer unit 31 include
An opening 41 and a shutter 42 for opening and closing the opening 41 are provided on both surfaces opposite to 32.

【0029】インターフェース部12には、可搬型のピ
ックアップカセットCR、定置型のバッファカセットB
R、図示しない周辺露光装置、及びウエハ搬送体25等
が設けられている。ウエハ搬送体25は、X方向及びZ
方向に移動して上記両カセットCR、BRに対するウエ
ハWの受け渡し動作を行う。また、ウエハ搬送体25は
θ方向にも回転自在とされ、処理ステーション11側の
第1のウエハ搬送体22及び外部の露光装置側のウエハ
受渡し台(図示せず)との間でのウエハWの受け渡しを
行うように構成されている。
The interface section 12 includes a portable pickup cassette CR and a stationary buffer cassette B.
R, a peripheral exposure device (not shown), a wafer carrier 25 and the like are provided. The wafer transfer body 25 moves in the X direction and the Z direction.
To transfer the wafer W to the cassettes CR and BR. Further, the wafer carrier 25 is also rotatable in the θ direction, and the wafer W between the first wafer carrier 22 on the processing station 11 side and a wafer transfer table (not shown) on the external exposure apparatus side. Is configured to be delivered.

【0030】また、図3、図4、図5に示すように、カ
セットステーション10、処理ステーション11及びイ
ンターフェース部12の上方にはエアー供給室10a、
11a1 、11a2 、12aが設けられており、各エア
ー供給室10a、11a1 、11a2 、12aの下部に
は防塵フィルタ10b、11b1 、11b2 、12bが
取り付けられている。このシステムの外部には空調器3
7が設置されており、この空調器37より配管39を通
って空気が各エアー供給室10a、11a1 、11a2
、12aに導入され、各エアー供給室のフィルタ10
b、11b1 、11b2 、12bにより清浄な空気が各
部10、11、12に供給されるようになっている。こ
のダウンフローの空気はシステム下部の適当な箇所に複
数設けられている通気孔40を通って底部の排気口42
に集められ、この排気口42から配管45を通って空調
器37に回収されるようになっている。
As shown in FIGS. 3, 4, and 5, above the cassette station 10, the processing station 11, and the interface section 12, an air supply chamber 10a is provided.
11a1, 11a2, and 12a are provided, and dustproof filters 10b, 11b1, 11b2, and 12b are mounted below the air supply chambers 10a, 11a1, 11a2, and 12a. Air conditioner 3 outside this system
The air is supplied from the air conditioner 37 through a pipe 39 to each of the air supply chambers 10a, 11a1, 11a2.
, 12a and the filter 10 of each air supply chamber.
By b, 11b1, 11b2, and 12b, clean air is supplied to each section 10, 11, and 12. This down-flow air passes through a plurality of vents 40 provided at appropriate places at the bottom of the system, and exhaust air 42 at the bottom is provided.
And is collected by the air conditioner 37 from the exhaust port 42 through the pipe 45.

【0031】次に、この塗布現像処理システムによる処
理の流れを説明する。
Next, the flow of processing by the coating and developing system will be described.

【0032】まずカセットステーション10において、
ウエハ搬送体21がカセット載置台20上の処理前のウ
エハWを収容しているカセットCRにアクセスして、そ
のカセットCRから1枚のウエハWを取り出す。その
後、ウエハ搬送体21は、処理ステーンション11の第
1の搬送ユニット31内の第1のウエハ搬送体22にウ
エハWを受け渡す。第1のウエハ搬送体22は、アライ
メントユニット(ALIM)の位置までY方向及びZ方
向(垂直方向)に移動し、さらにθ方向に回転して、ア
ライメントユニット(ALIM)内にウエハWを移載す
る。
First, at the cassette station 10,
The wafer carrier 21 accesses the cassette CR containing the unprocessed wafers W on the cassette mounting table 20, and takes out one wafer W from the cassette CR. After that, the wafer carrier 21 transfers the wafer W to the first wafer carrier 22 in the first carrier unit 31 of the processing station 11. The first wafer transfer body 22 moves in the Y and Z directions (vertical direction) to the position of the alignment unit (ALIM), and further rotates in the θ direction to transfer the wafer W into the alignment unit (ALIM). I do.

【0033】アライメントユニット(ALIM)にてウ
エハWのオリフラ合わせ及びセンタリングが終了する
と、第1の搬送ユニット31内の第1のウエハ搬送体2
2は、アライメントが完了したウエハWを受け取り、続
いてアドヒージョンユニット(AD)の位置までY方向
及びZ方向(垂直方向)に移動してアドヒージョンユニ
ット(AD)内にウエハWを移載する。ここでウエハW
の疎水化処理が行われる。
When orientation alignment and centering of the wafer W are completed in the alignment unit (ALIM), the first wafer carrier 2 in the first carrier unit 31 is completed.
2 receives the aligned wafer W, and subsequently moves the wafer W to the position of the adhesion unit (AD) in the Y direction and the Z direction (vertical direction) to move the wafer W into the adhesion unit (AD). Put on. Here, the wafer W
Is performed.

【0034】疎水化処理を終えたウエハWは、続いて第
1の搬送ユニット31内の第1のウエハ搬送体22によ
って所定のプリベーキングユニット(PREBAKE)
に搬入されてベーキングされた後、所定のクーリングユ
ニット(COL)に搬入される。このクーリングユニッ
ト(COL)内でウエハWはレジスト塗布処理前の設定
温度例えば23℃まで冷却される。
The wafer W that has been subjected to the hydrophobizing process is subsequently subjected to a predetermined pre-baking unit (PREBAKE) by the first wafer carrier 22 in the first carrier unit 31.
And baked, and then carried into a predetermined cooling unit (COL). In the cooling unit (COL), the wafer W is cooled to a set temperature before the resist coating processing, for example, 23 ° C.

【0035】ウエハWの冷却処理が終了すると、第1の
搬送ユニット31内の第1のウエハ搬送体22は当該ク
ーリングユニット(COL)からウエハWを取り出した
後、イクステンションユニット(EXT)の位置まで移
動し、このイクステンションユニット(EXT)内にウ
エハWを搬入してその中の載置台SP上にウエハWを載
置する。
When the cooling process of the wafer W is completed, the first wafer carrier 22 in the first carrier unit 31 takes out the wafer W from the cooling unit (COL), and then moves the position of the extension unit (EXT). The wafer W is carried into the extension unit (EXT), and the wafer W is mounted on the mounting table SP therein.

【0036】この後、イクステンションユニット(EX
T)を通じてウエハWは第2の搬送ユニット32内に移
送される。すなわち、イクステンションユニット(EX
T)第2の搬送ユニット32に面している開口41を開
閉するシャッタ42が開き、イクステンションユニット
(EXT)内の載置台SP上に載置されているウエハW
が第2の搬送ユニット32内の第2の搬送体23によっ
てイクステンションユニット(EXT)内より搬出さ
れ、シャッタ42が閉じられる。
Thereafter, the extension unit (EX)
The wafer W is transferred into the second transfer unit 32 through T). That is, the extension unit (EX
T) The shutter 42 for opening and closing the opening 41 facing the second transfer unit 32 is opened, and the wafer W mounted on the mounting table SP in the extension unit (EXT) is opened.
Is carried out of the extension unit (EXT) by the second carrier 23 in the second carrier unit 32, and the shutter 42 is closed.

【0037】なお、イクステンションユニット(EX
T)の両開口41を開閉する各シャッタ42は、例え
ば、第2の搬送ユニット32内の雰囲気圧を第1の搬送
ユニット31内のそれよりも高くしておくなど、第1の
搬送ユニット31から第2の搬送ユニット32へのパー
ティクル等の異物浸入を阻止可能な他の手段を用いるこ
とによって排除することが可能である。
The extension unit (EX)
Each shutter 42 that opens and closes both openings 41 of the first transport unit 31, for example, sets the atmospheric pressure in the second transport unit 32 higher than that in the first transport unit 31. By using other means capable of preventing foreign substances such as particles from entering the second transport unit 32 from the second transport unit 32, the second transport unit 32 can be eliminated.

【0038】その後、イクステンションユニット(EX
T)内より第2の搬送ユニット32内に移送されたウエ
ハWは、第2の搬送体23によって、レジスト塗布ユニ
ット(COT)へ搬入され、このレジスト塗布ユニット
(COT)内でウエハW表面へのレジスト塗布が行われ
る。
Thereafter, the extension unit (EX)
The wafer W transferred from the inside of the second transfer unit 32 into the second transfer unit 32 is carried into the resist coating unit (COT) by the second transfer body 23, and is transferred to the surface of the wafer W in the resist coating unit (COT). Is applied.

【0039】レジスト塗布処理が終了すると、第2の搬
送ユニット32内の第2のウエハ搬送体23はウエハW
をレジス卜塗布ユニット(COT)から取り出し、再び
イクステンションユニット(EXT)に搬入する。この
後、第1の搬送ユニット31内の第1のウエハ搬送体2
2によってイクステンションユニット(EXT)内から
ウエハWが搬出され、続いて第1のウエハ搬送体22は
所定のプリベークユニット(PREBAKE)の位置ま
で第1の搬送ユニット31内をY方向及びZ方向(垂直
方向)に移動し、ウエハWをプリベークユニット(PR
EBAKE)内に搬入する。ウエハWはここで所定温度
例えば100℃で所定時間加熱され、以てウエハW上の
塗布膜から残存溶剤が蒸発除去される。
When the resist coating process is completed, the second wafer carrier 23 in the second carrier unit 32
Is taken out of the resist coating unit (COT), and is again carried into the extension unit (EXT). Thereafter, the first wafer transfer body 2 in the first transfer unit 31
2, the wafer W is carried out of the extension unit (EXT), and then the first wafer carrier 22 moves through the first carrier unit 31 in the Y direction and the Z direction (pre-baked unit) to the position of the predetermined prebaking unit (PREBAKE). The wafer W is moved in the pre-bake unit (PR)
EBAKE). Here, the wafer W is heated at a predetermined temperature, for example, 100 ° C. for a predetermined time, so that the remaining solvent is evaporated and removed from the coating film on the wafer W.

【0040】この後、ウエハWは第1のウエハ搬送体2
2によってインターフェース部12イクステンション・
クーリングユニット(ΕΧTCOL)へ搬入され、ここ
でウエハWは、次工程つまりインターフェース部12内
に設けられた周辺露光装置(図示せず)による周辺露光
処理に適した温度例えば24℃まで冷却される。
Thereafter, the wafer W is transferred to the first wafer carrier 2
2 interface section 12 extension
The wafer W is carried into a cooling unit (@TCOL), where the wafer W is cooled to a temperature suitable for the next step, that is, 24 ° C. suitable for a peripheral exposure process by a peripheral exposure apparatus (not shown) provided in the interface unit 12.

【0041】続いて第1の搬送ユニット31内の第1の
ウエハ搬送体22は、イクステンション・クーリングユ
ニット(ΕΧTCOL)内からウエハWを取り出し、イ
ンターフェース部12のウエハ搬送体25に受け渡す。
このインターフェース部12のウエハ搬送体25は当該
ウエハWをインターフェース部12内の図示しない周辺
露光装置へ搬入する。ここで、ウエハWはその周縁部に
露光処理を受ける。
Subsequently, the first wafer carrier 22 in the first carrier unit 31 takes out the wafer W from the extension cooling unit (@TCOL) and delivers it to the wafer carrier 25 of the interface unit 12.
The wafer carrier 25 of the interface unit 12 carries the wafer W into a peripheral exposure device (not shown) in the interface unit 12. Here, the wafer W is subjected to an exposure process on its peripheral portion.

【0042】周辺露光処理が終了すると、インターフェ
ース部12のウエハ搬送体25は、ウエハWを周辺露光
装置から搬出し、隣接する露光装置側のウエハ受取り台
(図示せず)へ移送する。この場合、ウエハWは、露光
装置へ渡される前に、必要に応じてバッファカセットB
Rに一時的に格納されることもある。
When the peripheral exposure processing is completed, the wafer carrier 25 of the interface section 12 carries out the wafer W from the peripheral exposure apparatus and transfers it to a wafer receiving table (not shown) of the adjacent exposure apparatus. In this case, before the wafer W is transferred to the exposure apparatus, the wafer W
It may be temporarily stored in R.

【0043】露光装置での全面パターン露光処理が完了
して、ウエハWが露光装置側のウエハ受取り台に戻され
ると、インターフェース部12のウエハ搬送体25はそ
のウエハ受取り台から露光処理後のウエハWを受け取
り、ウエハWを再び処理ステーション11の第1の搬送
ユニット31内の第1のウエハ搬送体22に受け渡す。
この場合、ウエハWを、処理ステーション11側へ受け
渡す前に、必要に応じてインターフェース部12内のバ
ッファカセットBRに一時的に格納するようにしてもよ
い。
When the entire surface pattern exposure processing in the exposure apparatus is completed and the wafer W is returned to the wafer receiving table on the exposure apparatus side, the wafer carrier 25 of the interface section 12 moves the wafer after the exposure processing from the wafer receiving table to the wafer receiving table. W, and transfers the wafer W to the first wafer carrier 22 in the first carrier unit 31 of the processing station 11 again.
In this case, the wafer W may be temporarily stored in the buffer cassette BR in the interface unit 12 as necessary before being transferred to the processing station 11 side.

【0044】この後、第1の搬送ユニット31内の第1
のウエハ搬送体22は、ウエハWを所定のポストベーキ
ングユニット(POBAKE)に搬入する。このポスト
ベーキングユニット(POBAKE)においてウエハW
は所定時間ベーク処理される。続いて、ベーキングされ
たウエハWは、第1の搬送ユニット31内のウエハ搬送
体22によっていずれかのクーリングユニット(CO
L)に搬入され、このクーリングユニット(COL)内
でウエハWは常温に戻される。
Thereafter, the first transport unit 31
Carries the wafer W into a predetermined post-baking unit (POBAKE). In this post-baking unit (POBAKE), the wafer W
Is baked for a predetermined time. Subsequently, the baked wafer W is transferred to one of the cooling units (CO) by the wafer transfer body 22 in the first transfer unit 31.
L), and the wafer W is returned to normal temperature in the cooling unit (COL).

【0045】続いて、ウエハWは、イクステンションユ
ニット(EXT)内に搬入された後、前記同様に第2の
搬送ユニット32内の第2の搬送体23によってイクス
テンションユニット(EXT)内から取り出され、さら
に第2の搬送ユニット32内の第3の搬送体24に受け
渡される。そして、この第3の搬送体24によってウエ
ハWは現像ユニット(DEV)内に搬入される。
Subsequently, after the wafer W is carried into the extension unit (EXT), the wafer W is taken out of the extension unit (EXT) by the second carrier 23 in the second carrier unit 32 in the same manner as described above. Then, it is transferred to the third transfer body 24 in the second transfer unit 32. Then, the wafer W is carried into the developing unit (DEV) by the third carrier 24.

【0046】この現像ユニット(DEV)内では、ウエ
ハWはスピンチャックの上に載せられ、例えばスプレー
方式により、ウエハW表面のレジストに現像液が均一に
かけられて現像が行われる。現像後は、現像ユニット
(DEV)内にて、ウエハ表面にリンス液がかけられ、
現像液の洗い落しが行われる。
In the developing unit (DEV), the wafer W is placed on a spin chuck, and a developing solution is uniformly applied to a resist on the surface of the wafer W by, for example, a spray method to perform development. After the development, a rinsing liquid is applied to the wafer surface in the developing unit (DEV),
The developer is washed off.

【0047】この後、第2の搬送ユニット32内の第3
のウエハ搬送体24は、ウエハWを現像ユニット(DE
V)から搬出した後、隣の第2の搬送体23に受け渡
す。第2の搬送体23は第3のウエハ搬送体24より受
け渡されたウエハWをイクステンションユニット(EX
T)内に搬入する。この後、第1の搬送ユニット31内
の第1のウエハ搬送体22によってイクステンションユ
ニット(EXT)内からウエハWが搬出され、続いて第
1のウエハ搬送体22は所定のポストベーキングユニッ
ト(POBAKΕ)へウエハWを搬入する。このポスト
ベーキングユニット(POBAKE)において、ウエハ
Wは例えば100℃で所定時間だけ加熱され、これによ
って、現像で膨潤したレジストが硬化し、耐薬品性が向
上する。
After that, the third transport unit 32 in the second transport unit 32
Wafer transfer body 24 transfers wafer W to developing unit (DE).
After being carried out from V), it is delivered to the adjacent second carrier 23. The second carrier 23 transfers the wafer W delivered from the third wafer carrier 24 to the extension unit (EX).
Carry in T). Thereafter, the wafer W is carried out of the extension unit (EXT) by the first wafer carrier 22 in the first carrier unit 31, and then the first wafer carrier 22 is moved to a predetermined post-baking unit (POBAK @). ) Is carried in. In this post-baking unit (POBAKE), the wafer W is heated, for example, at 100 ° C. for a predetermined time, whereby the resist swollen by development is hardened, and the chemical resistance is improved.

【0048】ポストベーキングが終了すると、第1の搬
送ユニット31内のウエハ搬送体22はウエハWをポス
トベーキングユニット(POBAKE)から搬出し、次
に所定のクーリングユニット(COL)へウエハWを搬
入して冷却処理が行われる。ここでウエハWが常温に戻
った後、第1のウエハ搬送体22は、ウエハWをカセッ
トステーション10側のウエハ搬送体21に受け渡す。
そしてウエハ搬送体21は、受け取ったウエハWをカセ
ット載置台20上の処理済みウエハ収容用のカセットC
Rの所定のウエハ収容溝に入れる。
When the post-baking is completed, the wafer carrier 22 in the first carrier unit 31 carries out the wafer W from the post-baking unit (POBAKE), and then carries the wafer W into a predetermined cooling unit (COL). The cooling process is performed. Here, after the temperature of the wafer W has returned to normal temperature, the first wafer carrier 22 transfers the wafer W to the wafer carrier 21 on the cassette station 10 side.
Then, the wafer carrier 21 transfers the received wafer W to the cassette C for storing the processed wafer on the cassette mounting table 20.
R is inserted into a predetermined wafer accommodating groove.

【0049】このように本実施形態は、カセットステー
ション10及び露光装置用のインターフェース部12と
の間でのウエハWの受け渡し、及び熱処理系を含むオー
ブン型処理ユニット群33に対するウエハWの出し入れ
を行う第1の搬送ユニット31と、レジスト塗布ユニッ
ト(COT)及び現像ユニット(DEV)に対してウエ
ハWの出し入れを行う第2の搬送ユニット32とを互い
に分離して配置し、且つ第1の搬送ユニット31と第2
の搬送ユニット32との間でのウエハWの受け渡しは熱
処理系のオーブン型処理ユニット群33のなかのイクス
テンションユニット(EXT)を通じて行うように構成
されたものである。
As described above, in the present embodiment, the transfer of the wafer W between the cassette station 10 and the interface unit 12 for the exposure apparatus, and the transfer of the wafer W to and from the oven-type processing unit group 33 including the heat treatment system are performed. A first transfer unit 31 and a second transfer unit 32 for transferring a wafer W in and out of the resist coating unit (COT) and the developing unit (DEV) are arranged separately from each other. 31st and 2nd
The transfer of the wafer W to / from the transfer unit 32 is performed through an extension unit (EXT) in the oven type processing unit group 33 of the heat treatment system.

【0050】したがって、第2の搬送ユニット32の密
閉性が向上し、カセットステーション10及び露光装置
用のインターフェース部12から第2の搬送ユニット3
2内への塵埃等の異物の浸入を低減或いは阻止すること
ができ、レジスト塗布や現像時、或いはその直前直後に
ウエハW表面に異物が付着することに起因する歩留りの
低下を効果的に抑制することが可能になる。
Therefore, the hermeticity of the second transport unit 32 is improved, and the second transport unit 3 is moved from the cassette station 10 and the interface unit 12 for the exposure apparatus.
2 can reduce or prevent intrusion of foreign matter such as dust into the inside of the wafer 2 and effectively suppress a decrease in yield due to foreign matter adhering to the surface of the wafer W during or immediately before or after resist coating and development. It becomes possible to do.

【0051】ところで、上記した塗布現像処理システム
における各部の配置構成は一例であり、種々の変形が可
能である。
The arrangement of each component in the coating and developing system described above is merely an example, and various modifications are possible.

【0052】例えば、図6に示すように、第2の搬送ユ
ニット32内の空間を隔壁35によってレジスト塗布ユ
ニット(COT)側の空間32aと現像ユニット(DE
V)側の空間32bとに二分し、個々の空間32a、3
2b内にウエハ搬送体23、24を一体ずつ設けると共
に、第1の搬送ユニット31と第2の搬送ユニット32
との間に配置された熱処理系を含むオーブン型処理ユニ
ット群33の最下段の2つの処理ユニットを、上記個々
のウエハ搬送体23、24に対応するウエハ受け渡し用
のイクステンションユニット(EXT)として用いるよ
うに構成してもよい。
For example, as shown in FIG. 6, the space in the second transport unit 32 is separated from the space 32a on the resist coating unit (COT) side by the partition wall 35 and the developing unit (DE).
V) side space 32b, and the individual spaces 32a, 3b
2b, the first and second transfer units 31 and 32 are provided integrally with each other.
The lowermost two processing units of the oven-type processing unit group 33 including the heat treatment system disposed between them are used as wafer delivery extension units (EXT) corresponding to the individual wafer transfer bodies 23 and 24. It may be configured to be used.

【0053】また、以上の実施形態では、熱処理系を含
むオーブン型処理ユニット群33の最下段の処理ユニッ
トの中からイクステンションユニット(EXT)を決定
したが、その他の段の処理ユニット例えば下から二段目
や三段目の処理ユニットをイクステンションユニットと
して用いても構わない。
In the above embodiment, the extension unit (EXT) is determined from the lowest processing unit of the oven type processing unit group 33 including the heat treatment system. The second or third stage processing unit may be used as the extension unit.

【0054】さらに、前記の実施形態では、処理ステー
ション11を間に挟んでその両側にカセットステーショ
ン10とインターフェース部12とを配置する構成とし
たが、図7に示すように、第1の搬送ユニット31を挟
んで熱処理系を含むオーブン型処理ユニット群33と対
向する位置にインターフェース部12を並設してもよ
い。この構成において、インターフェース部12には、
例えば複数(この例では2つ)のウエハ搬送体125、
126を設けることが可能であり、これら複数のウエハ
搬送体125、126を、ウエハWを露光装置と処理ス
テーション11との間で受け渡す際のバッファとして利
用することができる。なお、28は露光装置によるウエ
ハWの全面露光が行われる前にウエハWの周縁部に露光
を施す周辺露光装置である。
Further, in the above embodiment, the cassette station 10 and the interface unit 12 are arranged on both sides of the processing station 11 with the processing station 11 interposed therebetween. However, as shown in FIG. The interface units 12 may be provided side by side at positions opposing the oven type processing unit group 33 including the heat treatment system with the interposition 31 interposed therebetween. In this configuration, the interface unit 12 includes:
For example, a plurality of (two in this example) wafer carriers 125,
A plurality of wafer carriers 125 and 126 can be used as buffers for transferring wafers W between the exposure apparatus and the processing station 11. Reference numeral 28 denotes a peripheral exposure device that exposes the peripheral portion of the wafer W before the entire surface of the wafer W is exposed by the exposure device.

【0055】また、以上説明した実施形態では、第2の
搬送ユニット32内のウエハ搬送体として位置固定型の
ものを採用したが、これに代えて、例えば図8に示すよ
うに、第1の搬送ユニット31内のウエハ搬送体22と
同様な構造のもの、すなわちY方向に移動自在なウエハ
搬送体223を採用して本発明に係る塗布現像処理シス
テムを構成してもよい。
In the above-described embodiment, a fixed-position type wafer carrier is adopted as the wafer carrier in the second carrier unit 32. Instead, for example, as shown in FIG. The coating and developing system according to the present invention may be configured by employing a structure similar to the wafer transfer body 22 in the transfer unit 31, that is, a wafer transfer body 223 movable in the Y direction.

【0056】以上、半導体ウエハの表面にレジスト液を
塗布し、現像する装置について説明したが、本発明はL
CD基板等の表面にレジスト液を塗布し、現像する装置
にも適用できることは言うまでもまい。
The apparatus for applying and developing a resist solution on the surface of a semiconductor wafer has been described above.
Needless to say, the present invention can be applied to an apparatus for applying and developing a resist solution on the surface of a CD substrate or the like.

【0057】[0057]

【0058】[0058]

【発明の効果】 以上説明したように、本発明の 処理装置
によれば、第2の処理ユニット例えば被処理基板を処理
液を用いて処理するレジスト塗布ユニットや現像ユニッ
ト等に対して被処理基板を出し入れする第2の搬送ユニ
ットが、カセットステーションや露光装置用のインター
フェース部に対して密閉されている、或いは、カセット
ステーションや露光装置用のインターフェース部と直接
被処理基板の受け渡しが行われることがないので、カセ
ットステーションや露光装置用のインターフェース部を
通じて外部から第2の搬送ユニット内への塵埃等の浸入
を低減或いは阻止することができ、歩留りの向上を図る
ことが可能となる。
As described above , according to the processing apparatus of the present invention , the second processing unit, for example, a resist coating unit or a developing unit for processing a substrate to be processed by using a processing liquid, or a developing unit is used. The second transfer unit for taking in and out is sealed with respect to the cassette station and the interface unit for the exposure apparatus, or the substrate to be processed is directly transferred to and from the interface unit for the cassette station and the exposure apparatus. Therefore, it is possible to reduce or prevent intrusion of dust and the like from the outside into the second transport unit through the cassette station or the interface unit for the exposure apparatus, and to improve the yield.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施形態である半導体ウエハの塗布
現像処理システムの全体構成を示す平面図
FIG. 1 is a plan view showing the overall configuration of a semiconductor wafer coating and developing processing system according to an embodiment of the present invention.

【図2】図1に示した塗布現像処理システムの正面側の
側面図
FIG. 2 is a front side view of the coating and developing system shown in FIG. 1;

【図3】図1に示した塗布現像処理システムの背面側の
一部断面・側面図
FIG. 3 is a partial cross-sectional and side view of the back side of the coating and developing system shown in FIG. 1;

【図4】図1に示した塗布現像処理システムの断面図FIG. 4 is a sectional view of the coating and developing system shown in FIG. 1;

【図5】図1に示した塗布現像処理システムにおける天
井部のフィルタの配置構成を示す平面図
FIG. 5 is a plan view showing the arrangement of filters on the ceiling in the coating and developing system shown in FIG. 1;

【図6】本発明の他の実施形態である半導体ウエハの塗
布現像処理システムの全体構成を示す平面図
FIG. 6 is a plan view showing the overall configuration of a semiconductor wafer coating and developing system according to another embodiment of the present invention;

【図7】本発明のさらに他の実施形態である半導体ウエ
ハの塗布現像処理システムの全体構成を示す平面図
FIG. 7 is a plan view showing the overall configuration of a semiconductor wafer coating and developing system according to still another embodiment of the present invention.

【図8】本発明のさらに他の実施形態である半導体ウエ
ハの塗布現像処理システムの全体構成を示す平面図
FIG. 8 is a plan view showing the overall configuration of a semiconductor wafer coating and developing processing system according to still another embodiment of the present invention.

【符号の説明】[Explanation of symbols]

W……半導体ウエハ 10……カセットステーション 11……処理ステーション 12……インターフェース部 31……第1の搬送ユニット 32……第2の搬送ユニット 33……熱処理系を含む処理ユニット群 COT……レジスト塗布ユニット DEV……現像ユニット EXT……ウエハ受渡し用のイクステンションユニット W: semiconductor wafer 10: cassette station 11: processing station 12: interface unit 31: first transport unit 32: second transport unit 33: processing unit group including a heat treatment system COT: resist Coating unit DEV: Developing unit EXT: Extension unit for wafer delivery

Claims (6)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 被処理基板を収容するカセットに対して
被処理基板の出し入れを行うカセットステーションと、 露光装置と被処理基板の受け渡しを行うインターフェー
ス部と、 被処理基板を処理する複数の第1の処理ユニットと、 被処理基板を処理する第2の処理ユニットと、前記カセットステーション及び前記インターフェース部
との間での被処理基板の受け渡し、及び 前記各第1の処
理ユニットに対して前記被処理基板の出し入れを行う第
1の搬送ユニットと、 前記カセットステーション及び前記インターフェース部
に対して密閉され、且つ前記各第1の処理ユニットを挟
んで前記第1の搬送ユニットと対向する位置に設けら
れ、前記各第1の処理ユニットのうちの一部及び前記各
第2の処理ユニットに対して前記被処理基板の出し入れ
を行う第2の搬送ユニットと 前記複数の第1の処理ユニット内に設けられ、前記第1
の搬送ユニットと前記第2の搬送ユニットとの間で前記
被処理基板を受け渡すための受渡しユニットと を具備す
ることを特徴とする処理装置。
1. A cassette station for loading and unloading a substrate to be processed into and out of a cassette for storing a substrate to be processed, an interface unit for transferring an exposure apparatus and the substrate to be processed, and a plurality of first processing units for processing the substrate to be processed. A processing unit for processing a substrate to be processed, the cassette station and the interface unit
And a first transport unit for transferring the substrate to be processed between the first processing unit and the first processing unit, and for taking in and out the substrate to and from the first processing unit; The first processing unit is provided at a position facing the first transport unit with the first processing unit interposed therebetween, and a part of each of the first processing units and the second processing unit are covered with the first processing unit. a second conveying unit for loading and unloading of the substrate, provided in said plurality of first processing units, said first
Between the transfer unit and the second transfer unit
A processing apparatus, comprising: a delivery unit for delivering a substrate to be processed .
【請求項2】 被処理基板を収容するカセットに対して
被処理基板の出し入れを行うカセットステーションと、 露光装置と被処理基板の受け渡しを行うインターフェー
ス部と、 被処理基板に対して熱処理を含む所定の処理を行う複数
の第1の処理ユニットと、 被処理基板を処理液を用いて処理する第2の処理ユニッ
トと、前記カセットステーション及び前記インターフェース部
との間での被処理基板の受け渡し、及び 前記各第1の処
理ユニットに対して前記被処理基板の出し入れを行う第
1の搬送ユニットと、 前記カセットステーション及び前記インターフェース部
に対して密閉され、且つ前記各第1の処理ユニットを挟
んで前記第1の搬送ユニットと対向する位置に設けら
れ、前記各第1の処理ユニットのうちの一部及び前記各
第2の処理ユニットに対して前記被処理基板の出し入れ
を行う第2の搬送ユニットと 前記複数の第1の処理ユニット内に設けられ、前記第1
の搬送ユニットと前記第2の搬送ユニットとの間で前記
被処理基板を受け渡すための受渡しユニットと を具備す
ることを特徴とする処理装置。
A cassette station for loading and unloading the substrate to and from a cassette accommodating the substrate to be processed; an interface unit for transferring the exposure substrate to and from the exposure apparatus; A plurality of first processing units, a second processing unit for processing a substrate to be processed using a processing liquid, the cassette station and the interface unit
And a first transport unit for transferring the substrate to be processed between the first processing unit and the first processing unit, and for taking in and out the substrate to and from the first processing unit; The first processing unit is provided at a position facing the first transport unit with the first processing unit interposed therebetween, and a part of each of the first processing units and the second processing unit are covered with the first processing unit. a second conveying unit for loading and unloading of the substrate, provided in said plurality of first processing units, said first
Between the transfer unit and the second transfer unit
A processing apparatus, comprising: a delivery unit for delivering a substrate to be processed .
【請求項3】 前記第2の搬送ユニットは、複数の搬送
機構で構成されていることを特徴とする請求項1又は請
求項2に記載の処理装置。
3. The processing apparatus according to claim 1, wherein said second transport unit is constituted by a plurality of transport mechanisms.
【請求項4】 前記複数の搬送機構間は雰囲気が遮断さ
れていることを特徴とする請求項3に記載の処理装置。
4. The apparatus according to claim 3, characterized in that between said plurality of transport mechanisms that are blocked atmosphere.
【請求項5】 前記複数の搬送機構毎に前記第1の処理
ユニット内には前記受渡しユニットが設けられているこ
とを特徴とする請求項4に記載の処理装置。
5. The apparatus of claim 4, wherein the plurality of the transport mechanism of the first processing unit each time, characterized in that the delivery unit is provided.
【請求項6】 請求項1乃至記載のいずれかの処理装
置において、 前記第2の処理ユニットが前記第2の搬送ユニットを挟
んで前記各第1の処理ユニットと対向する位置に配置さ
れていることを特徴とする処理装置。
In any of the processing apparatus 6. The method of claim 1 to 5, wherein is disposed at a position where the second processing unit is opposed to each first processing unit across the second conveying unit A processing device.
JP14273797A 1997-05-30 1997-05-30 Processing equipment Expired - Fee Related JP3283789B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP14273797A JP3283789B2 (en) 1997-05-30 1997-05-30 Processing equipment
US09/085,758 US6168667B1 (en) 1997-05-30 1998-05-27 Resist-processing apparatus
TW087108324A TW385497B (en) 1997-05-30 1998-05-28 Resist agent treatment apparatus
SG9801243A SG79977A1 (en) 1997-05-30 1998-05-29 Resist-processing apparatus
KR10-1998-0019768A KR100476500B1 (en) 1997-05-30 1998-05-29 Processing equipment
SG200002280A SG92697A1 (en) 1997-05-30 1998-05-29 Resist-processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP14273797A JP3283789B2 (en) 1997-05-30 1997-05-30 Processing equipment

Publications (2)

Publication Number Publication Date
JPH10335418A JPH10335418A (en) 1998-12-18
JP3283789B2 true JP3283789B2 (en) 2002-05-20

Family

ID=15322416

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14273797A Expired - Fee Related JP3283789B2 (en) 1997-05-30 1997-05-30 Processing equipment

Country Status (1)

Country Link
JP (1) JP3283789B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109254504B (en) * 2017-07-14 2021-11-09 沈阳芯源微电子设备股份有限公司 Glue spreading developing equipment system capable of being connected with multiple photoetching machines in extensible mode

Also Published As

Publication number Publication date
JPH10335418A (en) 1998-12-18

Similar Documents

Publication Publication Date Title
KR100376321B1 (en) Cooling systems and treatment systems
KR100476500B1 (en) Processing equipment
KR100386130B1 (en) PROCESSING APPARATUS, PROCESSING SYSTEM, AND SUBSTRATE TRANSFER DEVICE
JP3571471B2 (en) Processing method, coating and developing processing system and processing system
US20100192844A1 (en) Apparatus and method for treating substrate
KR100618264B1 (en) Coating and developing system
JPH10144599A (en) Rotary treatment equipment and its washing method
JP3213748B2 (en) Processing system
JPH113850A (en) Processing system
US6399518B1 (en) Resist coating and developing processing apparatus
JPH10150089A (en) Processing system
US6287025B1 (en) Substrate processing apparatus
JP3495292B2 (en) Substrate processing equipment
JP3421521B2 (en) Processing equipment
JP3441681B2 (en) Processing equipment
JP3283789B2 (en) Processing equipment
JP3228698B2 (en) Processing equipment
JP3552600B2 (en) Substrate processing equipment
JPH10335220A (en) Processing device
JPH10335218A (en) Treatment apparatus
JP3254148B2 (en) Processing equipment
JP3710979B2 (en) Substrate processing equipment
JP2001319845A (en) Coating developing system
JP2003142552A (en) Substrate treatment apparatus
JP2000138276A (en) Substrate processing device

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020219

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110301

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140301

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees