JP3161888B2 - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JP3161888B2
JP3161888B2 JP23126893A JP23126893A JP3161888B2 JP 3161888 B2 JP3161888 B2 JP 3161888B2 JP 23126893 A JP23126893 A JP 23126893A JP 23126893 A JP23126893 A JP 23126893A JP 3161888 B2 JP3161888 B2 JP 3161888B2
Authority
JP
Japan
Prior art keywords
aluminum
gas
etching
bcl
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP23126893A
Other languages
Japanese (ja)
Other versions
JPH0786249A (en
Inventor
正俊 尾山
仁昭 佐藤
任光 金清
秀則 武居
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP23126893A priority Critical patent/JP3161888B2/en
Priority to TW083108442A priority patent/TW256935B/zh
Priority to KR1019940023354A priority patent/KR950009953A/en
Publication of JPH0786249A publication Critical patent/JPH0786249A/en
Application granted granted Critical
Publication of JP3161888B2 publication Critical patent/JP3161888B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明はドライエッチング方法に
係り、特に半導体製造工程のアルミニウム合金及びアル
ミニウム合金膜と他の材料とからなる多層膜の微細加工
技術に好適なドライエッチング方法に関するものであ
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method, and more particularly to a dry etching method suitable for a fine processing technique of a multilayer film composed of an aluminum alloy and an aluminum alloy film and another material in a semiconductor manufacturing process. .

【0002】[0002]

【従来の技術】従来の方法は、例えば特開平2−715
19号公報に記載のようにアルミニウム,アルミニウム
合金等のエッチングに用いられるガスとして、BCl3
+Cl2,BCl3+Cl2+N2が知られている。
2. Description of the Related Art A conventional method is disclosed in, for example, Japanese Patent Application Laid-Open No. 2-715.
As described in Japanese Patent Publication No. 19, BCl 3 is used as a gas used for etching aluminum, aluminum alloy and the like.
+ Cl 2 and BCl 3 + Cl 2 + N 2 are known.

【0003】BCl3+Cl2の混合ガスはエッチング速
度を向上させるために用いられ、BCl3+Cl2+N2
の混合ガスはさらに異方性を向上させるために用いられ
ていた。
[0003] mixed gas of BCl 3 + Cl 2 is used to improve the etching rate, BCl 3 + Cl 2 + N 2
Has been used to further improve the anisotropy.

【0004】[0004]

【発明が解決しようとする課題】上記従来技術は、アル
ミニウム系膜は塩素ラジカルとの化学反応によってエッ
チングされるため、異方性形状を得るために側壁保護成
分としてマスク材からの反応生成物,及びアルミニウム
系膜そのものからの反応生成物を利用する形となってい
た。このため、デバイスの高集積化に伴い微細化が進ん
でくるとエッチングされる部分に供給される側壁保護成
分が不足し異方性形状の確保が困難となり、一方、マス
ク材は薄くなる方向に有るため、エッチング工程では異
方性形状を維持しながらマスク材のエッチング速度を抑
制し、対マスク材選択比を向上しなければならないとい
う問題があった。従来技術を用いてデバイスの微細化に
対応しようとすると、図4に示すようにサイドエッチン
グが無く異方性形状を得るにはイオンエネルギーの増大
によるスパッタ効果によりマスク材からの反応生成物を
増加させる方法がある。しかしながらアルミニウム合金
膜エッチング速度とマスク材エッチング速度の比(以下
選択比とする)が低下し前述の要請に相反するという問
題があった。
In the above prior art, since the aluminum-based film is etched by a chemical reaction with chlorine radicals, a reaction product from a mask material as a sidewall protecting component to obtain an anisotropic shape, And a reaction product from the aluminum-based film itself. For this reason, when miniaturization progresses along with the high integration of devices, the side wall protection component supplied to the portion to be etched becomes insufficient, and it becomes difficult to secure an anisotropic shape. On the other hand, the mask material tends to become thinner Therefore, in the etching step, there is a problem that the etching rate of the mask material must be suppressed while maintaining the anisotropic shape, and the selectivity to the mask material must be improved. In order to cope with device miniaturization using conventional technology, as shown in FIG. 4, in order to obtain an anisotropic shape without side etching, the reaction products from the mask material increase due to a sputtering effect due to an increase in ion energy. There is a way to make it happen. However, there has been a problem that the ratio of the etching rate of the aluminum alloy film to the etching rate of the mask material (hereinafter referred to as a selectivity) is reduced, which conflicts with the above-mentioned requirement.

【0005】本発明の目的は、アルミニウム系膜のエッ
チングにおいて、微細加工性及び高選択性をあわせもっ
たエッチングを行うことができるドライエッチング方法
を提供することにある。
It is an object of the present invention to provide a dry etching method capable of performing etching having both fine workability and high selectivity in etching an aluminum-based film.

【0006】[0006]

【課題を解決するための手段】本発明者等は、鋭意実験
を重ね、その結果、従来のハロゲン系ガスにCH22
スを混合することにより、図3に示すように、サイドエ
ッチングなく、かつ選択比の低下もないエッチングが行
えることを見出した。
Means for Solving the Problems The present inventors have conducted intensive experiments, and as a result, by mixing CH 2 F 2 gas with a conventional halogen-based gas, as shown in FIG. It has been found that etching can be performed without lowering the selectivity.

【0007】上記目的は、アルミニウム,アルミニウム
とシリコン,アルミニウムと銅,またはアルミニウムと
パラジウムからなる合金からなるアルミニウム系膜と、
チタンナイトライド,チタン,チタンタングステン,タ
ングステン,シリコン,タングステンシリサイドのうち
1つまたは2つ以上の膜とからなる多層膜構造のウエハ
を、BCl3,Cl2のガスとCH 2 2 の混合ガスの流
量を、BCl3 :40〜200ml/sec,Cl2:6
0〜300ml/sec,CH22 :3〜30ml/s
ec としたガスのプラズマによって異方性形状にエッ
チング処理することにより、達成される。
An object of the present invention is to provide an aluminum-based film made of aluminum, an alloy of aluminum and silicon, aluminum and copper, or an alloy of aluminum and palladium ;
A wafer having a multilayer structure consisting of one or more films of titanium nitride, titanium, titanium tungsten, tungsten, silicon, tungsten silicide is mixed with a gas of BCl 3 or Cl 2 and CH 2 F 2. The flow rate of the gas is set to BCl 3 : 40 to 200 ml / sec, Cl 2 : 6
0~300ml / sec, CH 2 F 2 : 3~30ml / s
This is achieved by performing an etching process into an anisotropic shape by using a plasma of a gas ec.

【0008】また、上記目的は、高周波電力の印加によ
りバイアス電圧を生じさせ、ウエハへのプラズマ中のイ
オンの入射エネルギーを制御可能な試料台にアルミニウ
ム系膜を含む多層膜構造のウエハを配置し、BCl3
Cl2のハロゲン系ガスとCH22のフロン系ガスとの
混合ガスの流量を、BCl3:40〜200ml/se
c,Cl2:60〜300ml/sec,CH22 :3
〜30ml/secとし、マイクロ波パワーは500〜
1400Wとし、マイクロ波電界と磁界との作用を用い
て5〜30mTorrでプラズマ化し、前記イオンの入
射エネルギーを与えるための高周波電力値を50〜20
0Wとし、前記プラズマによって前記ウエハを異方性形
状に処理することにより、達成される。
Another object of the present invention is to dispose a wafer having a multilayer structure including an aluminum-based film on a sample stage capable of generating a bias voltage by applying high frequency power and controlling the incident energy of ions in the plasma to the wafer. , BCl 3 ,
The flow rate of the mixed gas of the halogen-based gas of Cl 2 and the chlorofluorocarbon-based gas of CH 2 F 2 is set to BCl 3 : 40 to 200 ml / sec.
c, Cl 2: 60~300ml / sec , CH 2 F 2: 3
~ 30ml / sec, microwave power is 500 ~
1400 W, plasma is generated at 5 to 30 mTorr by using the action of a microwave electric field and a magnetic field, and the high-frequency power value for giving the ion incident energy is 50 to 20.
0 W and the plasma causes the wafer to be anisotropic
This is achieved by processing in the form .

【0009】[0009]

【作用】本発明の作用を以下に示す。ハロゲン系ガスに
よりアルミニウム系材料をエッチングし、また塩素を含
まないフロン系ガスによりアルミニウム側壁保護膜の形
成を行う。従来のハロゲン系ガスによるエッチングでは
異方性形状を得るために側壁保護成分としてマスク材か
らの反応生成物、及びアルミニウム系膜そのものからの
反応生成物を利用していたが、微細化が進んでくるとこ
れらの側壁保護成分が供給不足となる。側壁保護成分を
増加させる手段として、マスク材のエッチング速度を増
大し、マスク材からの反応生成物を増やすことにより異
方性形状を確保することはできるが、一方ではマスク材
のパターニングを行なう工程では微細化が進むにつれて
マスク材膜厚は薄くなる方向に有り前述の要請と相反す
る。本発明では塩素を含まないフロン系ガスにより側壁
保護膜形成成分を供給することによりアルミニウム系材
料の膜をパターン密度や寸法等に関係なく好適なエッチ
ング処理することができる。
The operation of the present invention will be described below. An aluminum-based material is etched by a halogen-based gas, and an aluminum sidewall protective film is formed by a chlorine-free Freon-based gas. In conventional etching using a halogen-based gas, a reaction product from a mask material and a reaction product from an aluminum-based film itself were used as sidewall protection components to obtain an anisotropic shape. Then, the supply of these side wall protection components becomes insufficient. As a means for increasing the sidewall protection component, the anisotropic shape can be ensured by increasing the etching rate of the mask material and increasing the number of reaction products from the mask material. On the other hand, a step of patterning the mask material In this case, as the miniaturization proceeds, the thickness of the mask material tends to become thinner, which is contrary to the above demand. In the present invention, a film of an aluminum-based material can be subjected to a suitable etching treatment irrespective of a pattern density, a size, and the like by supplying a component for forming a sidewall protective film with a chlorofluorocarbon-based gas.

【0010】[0010]

【実施例】以下、本発明の一実施例を図1から図3によ
り説明する。図1は本発明によりエッチング処理された
被エッチング膜が構成されるウエハの断面状態を示す一
実施例であり、シリコン基板4上に絶縁膜である下地酸
化膜3(例えば、SiO2膜)を形成し、その上にアル
ミニウム系材料で成る被エッチング膜、この場合、例え
ば、Al−Si−Cuで成るアルミニウム合金膜2を形
成し、その上にスペースサイズが異なる間隔(W1,W
2)でパターニングされたマスク1を形成した構造とな
っており、アルミニウム合金膜2がマスク1に沿ってエ
ッチングされた状態を示す。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS One embodiment of the present invention will be described below with reference to FIGS. FIG. 1 shows an example of a cross-sectional state of a wafer on which a film to be etched processed by the present invention is formed. In FIG. 1, a base oxide film 3 (for example, SiO 2 film) as an insulating film is formed on a silicon substrate 4. A film to be etched made of an aluminum-based material, in this case, for example, an aluminum alloy film 2 made of Al-Si-Cu is formed thereon, and spaces (W1, W2) having different space sizes are formed thereon.
It has a structure in which the mask 1 patterned in 2) is formed, and shows a state in which the aluminum alloy film 2 is etched along the mask 1.

【0011】図1に示した被エッチング材であるウエハ
のエッチング処理は、図2に示すような、この場合、マ
イクロ波電界と磁界との作用を用いてエッチングガスを
プラズマ化するマイクロ波エッチング装置を用いて行う
ことができる。装置構成を簡単に説明すると、処理室1
0の上部には石英製の放電管14が設けてあり、真空処
理室を形成している。処理室10には真空処理室内にエ
ッチング用ガスを供給するガス供給源(図示省略)につ
ながるガス供給口15が設けてあり、また真空排気装置
(図示省略)につながる排気口16が設けてある。処理
室10には被エッチング材であるウエハ13を配置する
試料台11が設けてある。試料台11には高周波電源1
2が接続してあり、試料台11に高周波電力を印加可能
になっている。放電管14の外側には放電管14を囲ん
で導波管17が設けてあり、さらにその外側には放電管
14内に磁界を発生させるソレノコイドコイル19が設
けてある。導波管17の端部にはマイクロ波を発振する
マグネトロン18が設けてある。
As shown in FIG. 2, in the etching process of the wafer as the material to be etched shown in FIG. 1, a microwave etching apparatus in which an etching gas is turned into plasma by using the action of a microwave electric field and a magnetic field. Can be performed. The apparatus configuration will be briefly described.
A quartz discharge tube 14 is provided in the upper part of 0 to form a vacuum processing chamber. The processing chamber 10 has a gas supply port 15 connected to a gas supply source (not shown) for supplying an etching gas into the vacuum processing chamber, and an exhaust port 16 connected to a vacuum exhaust device (not shown). . The processing chamber 10 is provided with a sample stage 11 on which a wafer 13 to be etched is placed. High frequency power supply 1
2 is connected so that high-frequency power can be applied to the sample stage 11. A waveguide 17 is provided outside the discharge tube 14 so as to surround the discharge tube 14, and a solenoid coil 19 for generating a magnetic field in the discharge tube 14 is provided outside the waveguide 17. A magnetron 18 that oscillates microwaves is provided at an end of the waveguide 17.

【0012】このような構成による装置ではガス供給口
15から真空処理室内にエッチング用処理ガスを供給す
るとともに真空処理室内を所定の圧力に減圧,排気し、
導波管17によってマグネトロン18からのマイクロ波
を放電管10内に導入するとともにソレノイドコイル1
9によって磁界を形成し、マイクロ波の電界とソレノイ
ドコイル19による磁界との作用によって放電管14内
の処理ガスをプラズマ化する。さらに高周波電源12に
よって試料台11に高周波電力を印加し、バイアス電圧
を生じさせ、プラズマ中のイオンをウエハ13側に引き
込み異方性エッチングを行わせるようにしている。
In the apparatus having such a configuration, an etching processing gas is supplied from the gas supply port 15 into the vacuum processing chamber, and the pressure in the vacuum processing chamber is reduced and exhausted to a predetermined pressure.
The microwave from the magnetron 18 is introduced into the discharge tube 10 by the waveguide 17 and the solenoid coil 1
9, a magnetic field is formed, and the processing gas in the discharge tube 14 is turned into plasma by the action of the microwave electric field and the magnetic field generated by the solenoid coil 19. Further, high frequency power is applied to the sample table 11 by the high frequency power supply 12 to generate a bias voltage, and ions in the plasma are drawn toward the wafer 13 to perform anisotropic etching.

【0013】図2に示す装置を用い、図1に示すアルミ
ニウム合金のエッチングを行なったとき、エッチング用
の処理ガスとしてBCl3,Cl2にCH22を加えてい
くと図3のような特性が得られた。即ち、BCl3とC
2との混合ガスから成る条件においては側壁保護成分
の不足によりアルミニウム合金側壁にサイドエッチング
を生じるがCH22ガスを混合すると添加量3%程度で
異方性形状を得ることができた。また、このときマスク
材との選択比はほぼ一定であった。このようにエッチン
グ用の処理ガスとして、BCl3,Cl2,CH22の混
合ガスを用いることによりCH22ガスを加えない場合
に比べアルミニウム合金膜のエッチング速度では従来比
1.5倍,マスク材とアルミニウム合金膜との選択比で
は1.3倍,および異方性形状を得ることができた。
When the aluminum alloy shown in FIG. 1 is etched using the apparatus shown in FIG. 2, CH 2 F 2 is added to BCl 3 and Cl 2 as a processing gas for etching as shown in FIG. Characteristics were obtained. That is, BCl 3 and C
Under the condition consisting of a mixed gas with l 2 , side etching occurs on the aluminum alloy side wall due to the shortage of the side wall protective component, but when CH 2 F 2 gas was mixed, an anisotropic shape could be obtained with the addition amount of about 3%. . At this time, the selection ratio with the mask material was almost constant. As described above, by using a mixed gas of BCl 3 , Cl 2 and CH 2 F 2 as a processing gas for etching, the etching rate of the aluminum alloy film is 1.5 times that of the conventional case where no CH 2 F 2 gas is added. Times, the selectivity between the mask material and the aluminum alloy film was 1.3 times, and an anisotropic shape could be obtained.

【0014】なお、本装置を用いての処理は、処理圧力
が5〜30mTorr、マイクロ波パワーが500〜1
400W、イオンの入射エネルギーを与えるための高周
波電力値が50〜200Wという範囲で特に効果的であ
った。
The processing using this apparatus is performed at a processing pressure of 5 to 30 mTorr and a microwave power of 500 to 1 mTorr.
It was particularly effective in the range of 400 W and a high-frequency power value of 50 to 200 W for providing the ion incident energy.

【0015】また、混合ガスとしてのBCl3,Cl2
CH22は、この場合、各々の流量がBCl3:40〜
200ml/sec,Cl2:60〜300ml/se
c,CH22:3〜30ml/secの範囲で効果的で
あり、6インチウエハの処理時に比べ8インチウエハの
処理時には供給量をそれぞれ多くすると良い。
Further, BCl 3 , Cl 2 ,
In this case, CH 2 F 2 has a flow rate of BCl 3 : 40-
200ml / sec, Cl 2: 60~300ml / se
c, CH 2 F 2 : Effective in the range of 3 to 30 ml / sec, and it is better to increase the supply amount when processing an 8-inch wafer as compared to when processing a 6-inch wafer.

【0016】また、本一実施例では、アルミニウム系材
料としてアルミニウム合金を例に説明したが、この他に
アルミニウムまたはアルミニウム合金とバリアメタル
(例えばTiN/Ti,W,TiW等)との多層膜アル
ミニウム合金膜であっても同様の効果がある。
In this embodiment, an aluminum alloy is described as an example of the aluminum-based material. However, in addition to this, a multilayer film of aluminum or an aluminum alloy and a barrier metal (for example, TiN / Ti, W, TiW, etc.) Similar effects can be obtained with an alloy film.

【0017】[0017]

【0018】さらに、本一実施例では処理ガスのプラズ
マを発生させる方法として、マイクロ波電界と磁界との
作用を用いたものについて説明したが、プラズマの発生
方法としてこれに限られるものではなく、周知の、例え
ば、平行平板電極を用いたもの,高周波コイルを用いた
もの,その他誘導エネルギを用いたもの等種々のプラズ
マ発生装置が適用できることは言うまでもない。
Further, in this embodiment, a method using the action of a microwave electric field and a magnetic field has been described as a method for generating plasma of a processing gas. However, the method for generating plasma is not limited to this. It is needless to say that various known plasma generators such as those using parallel plate electrodes, those using high-frequency coils, and those using induction energy can be applied.

【0019】[0019]

【発明の効果】本発明はアルミニウム系膜構造のウエハ
をハロゲン系ガスと塩素を含まないフロン系ガスとの混
合ガスのプラズマを用いてエッチング処理することによ
り、アルミニウム系膜構造のウエハをパターン密度及び
寸法等に関係なく良好なエッチング形状を確保し、ま
た、アルミニウム系材料の膜とマスク材の選択性を上げ
てエッチング処理することができるという効果がある。
According to the present invention, a wafer having an aluminum-based film structure is etched by using a plasma of a mixed gas of a halogen-based gas and a chlorofluorocarbon-based gas containing no chlorine, whereby the wafer having an aluminum-based film structure can be patterned at a high density. In addition, there is an effect that a favorable etching shape can be ensured irrespective of the size and the like, and the etching process can be performed by increasing the selectivity between the film of the aluminum-based material and the mask material.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施例であるドライエッチング方法
により処理した被エッチング面を示す断面図である。
FIG. 1 is a cross-sectional view showing a surface to be etched processed by a dry etching method according to one embodiment of the present invention.

【図2】本発明のドライエッチング方法を実施するため
の装置の一例を示すマイクロ波エッチング装置の構成図
である。
FIG. 2 is a configuration diagram of a microwave etching apparatus showing an example of an apparatus for performing the dry etching method of the present invention.

【図3】本発明の一実施例であるハロゲン系ガスに混合
するCH22流量とサイドエッチ量及び選択比の関係を
示す図である。
FIG. 3 is a diagram showing the relationship between the flow rate of CH 2 F 2 mixed with a halogen-based gas, the amount of side etching, and the selectivity according to one embodiment of the present invention.

【図4】従来技術によるサイドエッチ量及び選択比とイ
オンエネルギーとの関係を示す図である。
FIG. 4 is a diagram showing a relationship between a side etch amount and a selectivity and ion energy according to a conventional technique.

【符号の説明】[Explanation of symbols]

1…マスク、2…アルミニウム合金膜、3…下地酸化
膜、4…シリコン基板、10…処理室、11…試料台、
12…高周波電源、13…ウエハ、14…放電管、15
…ガス供給口、16…排気口、17…導波管、18…マ
グネトロン、19…ソレノイドコイル。
DESCRIPTION OF SYMBOLS 1 ... Mask, 2 ... Aluminum alloy film, 3 ... Base oxide film, 4 ... Silicon substrate, 10 ... Processing chamber, 11 ... Sample stand,
12: High frequency power supply, 13: Wafer, 14: Discharge tube, 15
... gas supply port, 16 ... exhaust port, 17 ... waveguide, 18 ... magnetron, 19 ... solenoid coil.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 金清 任光 山口県下松市大字東豊井794番地 株式 会社 日立製作所 笠戸工場内 (72)発明者 武居 秀則 山口県下松市大字東豊井794番地 株式 会社 日立製作所 笠戸工場内 (56)参考文献 特開 平3−44030(JP,A) 特開 平3−278433(JP,A) 特開 昭63−288021(JP,A) 特開 平3−218013(JP,A) 特開 昭64−30227(JP,A) ──────────────────────────────────────────────────続 き Continuing on the front page (72) Inventor, Kimitsumitsu, 794, Higashi-Toyoi, Kazamatsu, Kudamatsu, Yamaguchi Prefecture Inside the Kasado Plant of Hitachi, Ltd. (56) References JP-A-3-44030 (JP, A) JP-A-3-278433 (JP, A) JP-A-63-288021 (JP, A) JP-A-3- 218013 (JP, A) JP-A-64-30227 (JP, A)

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 高周波電力の印加によりバイアス電圧を生
じさせ、ウエハへのプラズマ中のイオンの入射エネルギ
ーを制御可能な試料台にアルミニウム系膜を含む多層膜
構造のウエハを配置し、BCl3,Cl2のガスとCH 2
2 の混合ガスの流量を、 BCl3:40〜200ml/sec,Cl2:60〜30
0ml/sec,CH22:3〜30ml/sec とし、
マイクロ波パワーは500〜1400Wとし、マイクロ
波電界と磁界との作用を用いて5〜30mTorrでプ
ラズマ化し、前記イオンの入射エネルギーを与えるため
の高周波電力値を50〜200Wとし、前記プラズマに
よって前記ウエハを異方性形状に処理することを特徴と
する前記アルミニウム系膜のドライエッチング方法。
1. A cause bias voltage by the application of the RF power, the wafer of the multilayer film structure including an aluminum-based film disposed incident energy of ions in the plasma to the wafer on the sample stage controllable, BCl 3, Cl 2 gas and CH 2
The flow rate of the mixed gas with F 2 is as follows: BCl 3 : 40 to 200 ml / sec, Cl 2 : 60 to 30
0 ml / sec, CH 2 F 2 : 3 to 30 ml / sec,
Microwave power is set to 500 to 1400 W, plasma is generated at 5 to 30 mTorr by using the action of a microwave electric field and a magnetic field, and a high-frequency power value for giving incident energy of the ions is set to 50 to 200 W. A dry etching method for the aluminum-based film, characterized by treating the aluminum-based film with an anisotropic shape .
JP23126893A 1993-09-17 1993-09-17 Dry etching method Expired - Fee Related JP3161888B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP23126893A JP3161888B2 (en) 1993-09-17 1993-09-17 Dry etching method
TW083108442A TW256935B (en) 1993-09-17 1994-09-13
KR1019940023354A KR950009953A (en) 1993-09-17 1994-09-15 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23126893A JP3161888B2 (en) 1993-09-17 1993-09-17 Dry etching method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP34644698A Division JP3267254B2 (en) 1998-12-07 1998-12-07 Dry etching method

Publications (2)

Publication Number Publication Date
JPH0786249A JPH0786249A (en) 1995-03-31
JP3161888B2 true JP3161888B2 (en) 2001-04-25

Family

ID=16920950

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23126893A Expired - Fee Related JP3161888B2 (en) 1993-09-17 1993-09-17 Dry etching method

Country Status (3)

Country Link
JP (1) JP3161888B2 (en)
KR (1) KR950009953A (en)
TW (1) TW256935B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6177353B1 (en) * 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
KR20010028673A (en) * 1999-09-22 2001-04-06 윤종용 Method for forming contact hole in semiconductor device using reactive ion etching
TW486733B (en) * 1999-12-28 2002-05-11 Toshiba Corp Dry etching method and manufacturing method of semiconductor device for realizing high selective etching

Also Published As

Publication number Publication date
TW256935B (en) 1995-09-11
KR950009953A (en) 1995-04-26
JPH0786249A (en) 1995-03-31

Similar Documents

Publication Publication Date Title
US6090717A (en) High density plasma etching of metallization layer using chlorine and nitrogen
US6004884A (en) Methods and apparatus for etching semiconductor wafers
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
US5827437A (en) Multi-step metallization etch
US5883007A (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
KR100535961B1 (en) Methods for reducing plasma-induced charging damage
JPH0982687A (en) Manufacture of semiconductor device
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
JP2003023000A (en) Production method for semiconductor device
JP3199957B2 (en) Microwave plasma processing method
US5952244A (en) Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
US6017825A (en) Etch rate loading improvement
JP3520577B2 (en) Plasma processing equipment
JP3161888B2 (en) Dry etching method
JPH10189537A (en) Dry etching method
JP3267254B2 (en) Dry etching method
JP4554479B2 (en) Dry etching method
JP3362093B2 (en) How to remove etching damage
JP2007214588A (en) Method for fabricating semiconductor device
JP3172340B2 (en) Plasma processing equipment
JP3263880B2 (en) Semiconductor substrate processing method
TW200302694A (en) Etching method and etching device
JPH09199484A (en) Manufacture of semiconductor device
JP3082702B2 (en) Plasma processing apparatus and metal wiring etching method
JPH06104217A (en) Etching method

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080223

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090223

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090223

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100223

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100223

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110223

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees