JP2024512700A - 3d nandのための選択ゲート分離 - Google Patents

3d nandのための選択ゲート分離 Download PDF

Info

Publication number
JP2024512700A
JP2024512700A JP2023560476A JP2023560476A JP2024512700A JP 2024512700 A JP2024512700 A JP 2024512700A JP 2023560476 A JP2023560476 A JP 2023560476A JP 2023560476 A JP2023560476 A JP 2023560476A JP 2024512700 A JP2024512700 A JP 2024512700A
Authority
JP
Japan
Prior art keywords
layer
transistor
sgd
gate
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023560476A
Other languages
English (en)
Inventor
チャンソク カン,
知彦 北島
キルヨン リー,
チーアン フー,
スンクォン カン,
武仁 越澤
フレドリック フィッシュバーン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024512700A publication Critical patent/JP2024512700A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

基板上のメモリスタックを貫通して延在する垂直ホール内に、少なくとも1つのドレイン用セレクトゲート(SGD)トランジスタと少なくとも1つのメモリトランジスタとを含む、メモリストリングについて記載される。メモリスタックは、交互に配置されたワード線と誘導体材料とを含む。メモリスタックを貫通して延在する第1の垂直ホール内に、第1のゲート材料を含む、少なくとも1つのドレイン用セレクトゲート(SGD)トランジスタが存在する。第1のゲート材料とは異なる第2のゲート材料を含む、少なくとも1つのメモリトランジスタが、メモリスタックを貫通して延在する第2の垂直ホール内に存在する。【選択図】図23

Description

本開示の実施形態は、電子デバイス、並びに電子デバイスを製造するための方法及び装置の分野に関する。より詳細には、本開示の実施形態は、3D-NANDドレイン用セレクトゲート(select-gate-for-drain)(SGD)トランジスタ、及びその形成方法を提供する。
半導体技術は急速に進歩しており、デバイスの寸法は技術の進歩とともに縮小し、単位空間あたりの処理及びストレージの高速化をもたらしている。NANDデバイスでは、ストリング電流は、オンセルとオフセルを区別するのに十分な電流を得るために十分に大きいことが必要である。このストリング電流は、シリコンチャネルの粒径を拡大することによって強化される担体移動度に依存する。
酸化物材料と窒化物材料の交互層を含むメモリスタックを備えている現在の3D-NANDデバイスは、2つのスリットの間に複数のメモリホールを有している。ワード線及びビット線による各セルへのアクセスには、スリット間のメモリホールを、ドレインにおけるセレクトゲート(select gate at drain)(SGD)のカットによって分割する必要がある。例えば、8つのメモリホールと1つのダミーホールとを備えたToshiba96L積層型3D NANDは、孔を2つのグループに分離する、1つのSGDカットを有している。3D-NANDのアレイサイズを小さくするには、スリット間の孔の数(nHole)を増加させる必要がある。nHoleが8孔より多く増加する場合、同じ技術に対して複数のSGDカットが必要になる。同一ビット線レベル下にある孔は、ビット線(BL)とワード線(WL)との組合せによって個別にアクセス可能である必要がある。言い換えれば、同一ビット線下にある孔は、ドレイン用セレクトゲート(SGD)及びビット線によって独立して選択される。この目的では、スリット間のSGDは、SGDカットによって分離される必要がある。スリット間の孔の数(nHole)が少ない場合(例えば、8以下)、1つのSGDカットによってドレイン用セレクトゲート(SGD)を分離する。しかしながら、スリット間の孔の数(nHole)が多い場合(例えば、12以上)、4孔ごとにSGDカットを追加する必要がある。
したがって、ドレイン用セレクトゲート(SGD)カットを有する3D-NANDデバイス及び該3D-NANDデバイスの製造方法が当技術分野で必要とされている。
本開示の1つ以上の実施形態は、半導体メモリデバイスを対象とする。1つ以上の実施形態では、半導体メモリデバイスは、基板上のメモリスタック上の少なくとも1つのドレイン用セレクトゲート(SGD)であって、メモリスタックが交互に配置されたワード線と誘導体材料とを含む、少なくとも1つのドレイン用セレクトゲート(SGD);メモリスタックを貫通して延在する第1の垂直ホール内の少なくとも1つのドレイン用セレクトゲート(SGD)トランジスタであって、第1のゲート材料を含む、ドレイン用セレクトゲート(SGD)トランジスタ;及び、メモリスタックを貫通して延在する第2の垂直ホール内の少なくとも1つのメモリトランジスタであって、第1のゲート材料とは異なる第2のゲート材料を含む、少なくとも1つのメモリトランジスタ、を含む。
本開示の他の実施形態は、半導体メモリデバイスを対象とする。1つ以上の実施形態では、半導体メモリデバイスは、基板上のメモリスタック上の少なくとも1つのドレイン用セレクトゲート(SGD)であって、メモリスタックが交互に配置されたワード線と誘導体材料とを含む、少なくとも1つのドレイン用セレクトゲート(SGD);メモリスタックを貫通して延在する垂直ストリングであって、少なくとも1つのSGDトランジスタと少なくとも1つのメモリトランジスタとを含む、垂直ストリング;該垂直ストリングの上面のビット線パッドであって、第1のサイズを有する、ビット線パッド;該ビット線パッドの上面の自己整合マスク層であって、第1のサイズより1nmから50nm大きい第2のサイズを有する、自己整合マスク層、を含む。
本開示の追加の実施形態は、半導体デバイスを形成する方法を対象とする。1つ以上の実施形態では、ドレイン用セレクトゲート(SGD)トランジスタとメモリトランジスタとを含む3次元垂直メモリストリングを有する半導体デバイスを形成する方法は、メモリスタックの上面にドレイン用セレクトゲート(SGD)のゲートを形成することであって、メモリスタックが基板上に第1の層と第2の層との交互の層を含む、ドレイン用セレクトゲート(SGD)のゲートを形成すること;メモリストリングを形成することであって、メモリストリングがドレイン用セレクトゲート(SGD)のゲート及びメモリスタックを貫通して延在する、メモリストリングを形成すること;メモリストリングの上面にビット線パッドを形成すること;ビット線パッドの上面に自己整合マスクを形成すること;ドレイン用セレクトゲート(SGD)のゲートに第1の開口部を形成すること;第1の開口部に誘導体材料を充填すること;ドレイン用セレクトゲート(SGD)のゲートの上面からメモリスタックを貫通して基板まで延在するスリットを形成すること;第1の層を除去して第2の開口部を形成すること;及び、第2の開口部にワード線を形成すること、を含む。
本開示の上記特徴を詳細に理解することができるように、その一部が添付の図面に示されている実施形態を参照することにより、上に簡単に要約されている本開示のより詳細な説明を得ることができる。しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面が例示しているのはこの開示の典型的な実施形態のみであること、したがって、添付の図面は本開示の範囲を限定すると見なすべきではないことに留意されたい。本明細書に記載の実施形態は、例として示されているのであって、同様の参照が同様の要素を示す添付の図面の図に限定されない。
本明細書に記載される実施形態による、メモリデバイスを形成する方法のプロセスフロー図 1つ以上の実施形態による、メモリスタックを備えた電子デバイスの断面図 1つ以上の実施形態による、メモリスタックの階段パターンを形成した後の電子デバイスの断面図 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による領域120の拡大図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による領域120の拡大図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による領域120の拡大図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による領域120の拡大図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による領域120の拡大図である。 1つ以上の代替的な実施形態による領域120の拡大図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態によるA-Bに沿った図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態によるA-Bに沿った図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による領域148の拡大図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの断面図である。 1つ以上の実施形態による電子デバイスの斜視図である。 1つ以上の実施形態によるクラスタツールを示す図である。
本開示の幾つかの例示的な実施形態を説明する前に、本開示が、以下の説明に記載される構成又はプロセスステップの詳細に限定されないことが理解されるべきである。本開示は、他の実施形態も可能であり、さまざまな方法で実施又は実行することができる。
本明細書及び添付の特許請求の範囲で用いられる場合、「前駆体」、「反応物」、「反応性ガス」などの用語は、基板表面と反応することができる任意のガス種を指すために、交換可能に用いられる。
以下の説明には、本開示の1つ以上の実施形態の十分な理解を提供するために、素子の具体的な材料、化学的性質、寸法などといった、数々の具体的な詳細が記載される。しかしながら、本開示の1つ以上の実施形態がこれらの具体的な詳細がなくても実践されうることは、当業者にとって明らかであろう。他の例では、半導体製造のプロセス、技法、材料、装置などは、この説明が不必要に分かりにくくなるのを避けるために、詳細には説明されていない。当業者であれば、含まれる説明を読むことにより、過度の実験を行うことなく適切な機能を実装することができよう。
本開示のある特定の例示的な実施形態が添付の図面に記載され、示されているが、このような実施形態は単なる例示であり、本開示を限定するものではなく、当業者であれば修正が想起されうることから、本開示は図示され説明された特定の構造及び構成に限定されないものと理解されたい。
酸化物材料と窒化物材料との交互の層のメモリスタックに基づく既存の3D NANDデバイスでは、複数のSGDカットが存在する場合、電流集積化スキームを使用して、ドレイン用セレクトゲート(SGD)カットを形成することはできない(例えば、ワード線置換前のドレイン用セレクトゲートなど)。電流集積化スキームでは、置換前に形成されたSGDは、SGDカット間のワード線の置換をブロックする。3D-NANDのアレイサイズを小さくするには、スリット間のメモリストリング(例えば、孔)の数(nHole)を増加させる必要がある。同じビット線レベルの下にある孔は、ビット線(BL)とワード線(WL)との組合せによって個別にアクセス可能である必要がある。言い換えれば、同一ビット線の下にある孔は、ドレイン用セレクトゲート(SGD)とビット線とによって独立して選択される。この目的では、スリット間のSGDは、SGDカットによって分離される必要がある。スリット間の孔の数(nHole)が少ない場合(例えば、8以下)、1つのSGDカットによってドレイン用セレクトゲート(SGD)を分離する。しかしながら、スリット間の孔の数(nHole)が多い場合(例えば、12以上)、4孔ごとにSGDカットを追加する必要がある。したがって、1つ以上の実施形態は、3D NAND構造、及び非置換ゲート集積化スキームを使用してドレイン用セレクトゲートカットを製造する方法を提供する。
WL置換スキームを使用する既存の3D NANDデバイスは、nHole(12以上)についてのSGDカット要件を満たすことができない。WL置換前に形成されたSGDカット領域は、窒化ケイ素(SiN)犠牲層の除去をブロックする。したがって、SGDカット間に位置するSGDトランジスタは、WLが不足するため、トランジスタとして機能することができない。
1つ以上の実施形態は、ドレイン用セレクトゲート(SGD)トランジスタ以外のトランジスタが非置換によって形成される一方で、ドレイン用セレクトゲート(SGD)トランジスタを製造するための構造及び方法を提供する。加えて、ダミーホールのないSGDカットが形成され、したがって、セルが強化される。
1つ以上の実施形態のデバイス及び製造方法は、ダミーホールなしに、ドレイン用セレクトゲートのカットを有利に可能にし、したがってセル密度を増加させる。
1つ以上の実施形態では、金属堆積及び他のプロセスは、隔離された環境(例えば、クラスタプロセスツール)において実施することができる。したがって、本開示の幾つかの実施形態は、方法を実装するための関連プロセスモジュールを備えた集積化ツールシステムを提供する。
図1は、メモリデバイスを形成するための例示的な方法10のフローチャートを示している。当業者は、方法10が、図示されたプロセスのいずれか又はすべてを含むことができることを認識するであろう。加えて、個々のプロセスの順序は部分的に変更することもできる。方法10は、本開示から逸脱することなく、列挙されたプロセスのいずれかから開始することができる。図1を参照すると、動作15において、メモリスタックが形成される。動作20において、ドレイン用セレクトゲートのゲートがメモリスタック上に形成される。動作25において、階段状のワード線がメモリスタック内に形成される。動作30において、メモリホールがパターン形成される。動作35において、トランジスタ層がメモリホール内に堆積される。動作40において、ビット線パッドが形成される。動作45において、ビット線パッドの限界寸法が増大する。動作50において、自己整合マスクがビット線パッド上に形成される。動作55において、ドレイン用セレクトゲートのカットが行われる。動作60において、誘電体層が、ドレイン用セレクトゲートのカットによって形成された開口内に堆積される。動作65において、デバイスはスリットパターン形成される。動作70において、共通ソース線の犠牲層が除去され、置き換えられる。動作75において、ワード線が形成される。動作80において、スリットに誘導体材料が充填される。動作85において、ビット線パッドのスタッドが形成される。動作90において、バックエンドオブライン(BEOL)コンタクトが形成される。
図2~21は、図1の方法10に示されるプロセスフローに従うメモリデバイス100の一部を示している。
図2は、本開示の1つ以上の実施形態による電子デバイス100の初期又は開始メモリスタックを示している。幾つかの実施形態では、図2に示される電子デバイス100は、図示されるように、ベア基板102上に層状に形成される。図2の電子デバイスは、基板102、共通ソース線103、及びメモリスタック130で構成される。
基板102は、当業者に知られている任意の適切な材料でありうる。本明細書及び添付の特許請求の範囲で用いられる場合、「基板」という用語は、処理が行われる表面又は表面の一部を指す。基板に対しての言及は、文脈上他のことが明示されない限り、基板の一部分のみを指すこともありうることもまた、当業者に理解されよう。さらには、基板上への堆積についての言及は、ベア基板と、1つ以上の膜又は特徴部がその上に堆積又は形成されている基板の両方を意味しうる。
本明細書で用いられる「基板」とは、その上で製造プロセス中に膜処理が行われる、任意の基板又は基板上に形成された材料表面を指す。例えば、処理が実施されうる基板表面は、用途に応じて、ケイ素、酸化ケイ素、歪みシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及び他の導電材料など、他の任意の材料を含む。基板には半導体ウエハが含まれるが、これに限定されない。基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベイクするために、基板を前処理プロセスに曝してもよい。基板自体の表面に直接膜処理することに加えて、本開示では、開示された任意の膜処理工程は、以下により詳細に開示されるように、基板上に形成された下地層にも行うことができ、「基板表面」という用語は、文脈が示すように、こうした下地層を含むことが意図されている。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合には、新たに堆積された膜/層の露出面が基板表面となる。
1つ以上の実施形態では、共通ソース線103は基板102上にある。共通ソース線103は、半導体層と呼ばれることもある。共通ソース線103は、当業者に知られている任意の適切な技法によって形成することができ、ポリシリコン(ポリ-Si)を含むがこれに限定されない任意の適切な材料から製造することができる。幾つかの実施形態では、共通ソース線103は、幾つかの異なる導電性材料又は半導体材料材料を含む。例えば、1つ以上の実施形態では、図2に示されるように、共通ソース線103は、基板102上のポリシリコン層104、ポリシリコン層上の犠牲層106、及び犠牲層106上の第2のポリシリコン層104を含む。
1つ以上の実施形態では、犠牲層106はポリシリコン層104上に形成することができ、任意の適切な材料から製造することができる。幾つかの実施形態における犠牲層106は、後のプロセスで除去され、置換される。幾つかの実施形態では、犠牲層106は除去されず、メモリデバイス100内に残る。この場合、「犠牲」という用語は永久層を含む拡張された意味を有し、導電層と呼ばれることもある。図示される実施形態では、以下にさらに説明されるように、犠牲層106は動作70において除去される。1つ以上の実施形態では、犠牲層106は、隣接するポリシリコン層104に対して選択的に除去することができる材料を含む。1つ以上の実施形態では、犠牲層は、例えば窒化ケイ素(SiN)などの窒化物材料、又は例えば酸化ケイ素(SiO)などの酸化物材料を含む。
1つ以上の実施形態では、メモリスタック130が共通ソース線103上に形成される。図示される実施形態におけるメモリスタック130は、複数の交互に配置された第1の層108と第2の層110とを含む。図2に示されるメモリスタック130は、5対の交互に配置された第1の層108と第2の層110とを有しているが、当業者は、これが単に説明を目的としたものであることを認識する。メモリスタック130は、任意の数の交互に配置された第1の層108と第2の層110とを有することができる。例えば、幾つかの実施形態では、メモリスタック130は、192対の交互に配置された第1の層108と第2の層110とを含む。他の実施形態では、メモリスタック130は、50対を超える交互に配置された第1の層108と第2の層110、又は100対を超える交互に配置された第1の層108と第2の層110、又は300対を超える交互に配置された第1の層108と第2の層110とを含む。
1つ以上の実施形態では、第1の層108及び第2の層110は、独立して、誘導体材料を含む。1つ以上の実施形態では、誘導体材料は、当業者に知られている任意の適切な誘導体材料を含みうる。本明細書で用いられる場合、「誘電体材料」という用語は、電界中で分極することができる電気絶縁体を指す。幾つかの実施形態では、誘導体材料は、酸化物、炭素がドープされた酸化物、二酸化ケイ素(SiO)、多孔性二酸化ケイ素(SiO)、窒化ケイ素(SiN)、二酸化ケイ素/窒化ケイ素、カーバイド、オキシカーバイド、窒化物、酸素窒化物、酸炭窒化物、ポリマー、リンケイ酸ガラス、フッ化ケイ酸塩(SiOF)ガラス、又は有機ケイ酸塩ガラス(SiOCH)のうちの1つ以上を含む。
1つ以上の実施形態では、第1の層108は酸化物層を含み、第2の層110は窒化物層を含む。1つ以上の実施形態では、第2の層110は、第1の層108に対して実質的に影響を与えることなく第2の層110を除去することができるように、第1の層108に対してエッチング選択性のある材料を含む。1つ以上の実施形態では、第1の層108は酸化ケイ素(SiO)を含む。1つ以上の実施形態では、第2の層110は窒化ケイ素(SiN)を含む。1つ以上の実施形態では、第1の層108及び第2の層110は、化学気相堆積(CVD)又は物理的気相堆積(PVD)によって堆積される。
個々の交互の層は任意の適切な厚さへと形成することができる。幾つかの実施形態では、各第2の層110の厚さはほぼ等しい。1つ以上の実施形態では、各第2の層110は第2の層の厚さを有する。幾つかの実施形態では、各第1の層108の厚さはほぼ等しい。この点で用いられる場合、ほぼ等しい厚さとは、互いの±5%以内である。幾つかの実施形態では、シリコン層(図示せず)が、第2の層110と第1の層108との間に形成される。シリコン層の厚さは、第2の層110又は第1の層108の層の厚さと比較して、相対的に薄くなりうる。1つ以上の実施形態では、第1の層108は、約1nm、約3nm、約5nm、約7nm、約10nm、約12nm、約15nm、約17nm、約20nm、約22nm、約25nm、約27nm、及び約30nmを含む、約0.5nmから約30nmの範囲の厚さを有する。1つ以上の実施形態では、第1の層108は、約0.5から約40nmの範囲の厚さを有する。1つ以上の実施形態では、第2の層110は、約1nm、約3nm、約5nm、約7nm、約10nm、約12nm、約15nm、約17nm、約20nm、約22nm、約25nm、約27nm、及び約30nmを含む、約0.5nmから約30nmの範囲の厚さを有する。1つ以上の実施形態では、第2の層110は、約0.5から約40nmの範囲の厚さを有する。
1つ以上の実施形態では、第1の層108及び第2の層110は、化学気相堆積(CVD)又は物理的気相堆積(PVD)によって堆積される。個々の交互の層は、任意の適切な厚さへと形成することができる。幾つかの実施形態では、各第2の層112の厚さはほぼ等しい。1つ以上の実施形態では、各第2の層112は、第1の第2の層の厚さを有する。幾つかの実施形態では、各第1の層110の厚さはほぼ等しい。この点で用いられる場合、ほぼ等しい厚さとは、互いの±5%以内である。1つ以上の実施形態では、第1の層108は、約1nm、約3nm、約5nm、約7nm、約10nm、約12nm、約15nm、約17nm、約20nm、約22nm、約25nm、約27nm、及び約30nmを含む、約0.5nmから約30nmの範囲の厚さを有する。1つ以上の実施形態では、第2の層110は、約1nm、約3nm、約5nm、約7nm、約10nm、約12nm、約15nm、約17nm、約20nm、約22nm、約25nm、約27nm、及び約30nmを含む、約0.5nmから約30nmの範囲の厚さを有する。
図2を参照すると、方法10の動作20において、ドレイン用セレクトゲートのゲート材料112が、メモリスタック130の上面に形成される。1つ以上の実施形態では、ドレイン用セレクトゲートのゲート材料112は、第1の層108の上面に形成される。1つ以上の実施形態では、ドレイン用セレクトゲートのゲート材料112は、ポリシリコン又は金属のうちの1つ以上を含む。金属は、当業者に知られている任意の適切な金属を含みうる。幾つかの実施形態では、金属は耐火性金属である、1つ以上の実施形態では、金属は、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、イリジウム(Ir)、タンタル(Ta)、チタン(Ti)、及びオスミウム(Os)のうちの1つ以上から選択することができる。
図3を参照すると、方法10の動作25において、階段構造131が生成される。マスク層114が、ドレイン用セレクトゲートのゲート材料112の上面に堆積される。マスク層114は、当業者に知られている任意の適切な材料を含みうる。1つ以上の実施形態では、マスク層114は窒化物を含む。
1つ以上の実施形態では、階段構造131は、第1の層108の上面134を露出させる。上面134を使用して、以下に説明するように、ワード線コンタクトを形成するためのスペースを提供することができる。階段構造131の外側のスペースを占有するために適切な充填材料135を堆積させることができる。当業者には理解されるように、適切な充填材料135は、隣接するワード線間の電気的短絡を防止する任意の材料でありうる。階段構造131は、各ワード線が下のワード線よりも狭い幅(図では左から右に示される)を有する。「上」及び「下」などの相対的な用語の使用は、本開示の範囲を空間における物理的な方向に限定するものとして解釈されるべきではない。
説明を容易にするために、図4~22には階段構造131が示されていないが、当業者によって認識されるように、階段構造131は存在することに留意されたい。
図4~5Bは、メモリスタック130を貫通したメモリストリングの形成を示している。図4を参照すると、動作30において、メモリスタック130を貫通してメモリホールチャネル116が開放され、パターン形成される。幾つかの実施形態では、メモリホールチャネル116を開放することは、マスク層114、メモリスタック130、共通ソース線103を貫通して、基板102内までエッチングすることを含む。メモリホールチャネル116は、メモリスタック130を貫通して延在し、第2の層110の表面111及び第1の層108の表面109を露出する側壁を有する。
ドレイン用セレクトゲートのゲート材料112は、メモリホールチャネル116の側壁として露出された表面113を有する。メモリホールチャネル116は、該メモリホールチャネル116の側壁面109、111、113、及び底部115が基板102内に形成されるように、基板102内へとある距離だけ延在する。メモリホールチャネル116の底部114は、基板102の厚さ内の任意の位置に形成することができる。幾つかの実施形態では、メモリホールチャネル116は、基板102の厚さの約10%から約90%の範囲、又は約20%から約80%の範囲、又は約30%から約70%の範囲、又は約40%から約60%の範囲の厚さで基板102内に延在する。幾つかの実施形態では、メモリホールチャネル116は、基板102内へと10nm以上の距離で延在する。幾つかの実施形態では、メモリホールチャネル116は、ドレイン用セレクトゲート(SGD)のゲートの上面からメモリスタックを貫通して基板の底面まで延在する。
図5Aは、トランジスタ層118がメモリホールチャネル116内に形成される動作35を示している。トランジスタ層118は、当業者に知られている任意の適切な技法によって形成することができる。幾つかの実施形態では、トランジスタ層は、共形堆積プロセスによって形成される。幾つかの実施形態では、トランジスタ層は、原子層堆積又は化学気相堆積のうちの1つ以上によって形成される。
1つ以上の実施形態では、トランジスタ層118の堆積は、実質的に共形である。本明細書で用いられる場合、「実質的に共形」な層とは、厚さが全体(例えば、側壁の上部、中間、及び下部、並びにメモリホールチャネル116の底部)にわたってほぼ同じである層を指す。実質的に共形な層の厚さは、約5%、2%、1%、又は0.5%以下で変化する。メモリホール内のトランジスタ層118は、酸化アルミニウム(AlO)層、ブロッキング酸化物層、トラップ層、トンネル酸化物層、及びチャネル層のうちの1つ以上を含みうる。
図5Aの領域120の拡大図である図5Bを参照すると、1つ以上の実施形態では、トランジスタ層118は、メモリホールチャネル116内に、酸化アルミニウム層118a、ブロッキング酸化物層118b、窒化物トラップ層118c、トンネル酸化物層118d、及びチャネル材料118eを含む。1つ以上の実施形態では、チャネル材料118eはポリシリコンを含む。1つ以上の実施形態では、メモリホールチャネル116内のメモリホールチャネル116の側壁上に酸化アルミニウム層118aが堆積される。
トランジスタ層118は、例えば、メモリホールチャネル116の寸法に応じて、任意の適切な厚さを有することができる。幾つかの実施形態では、トランジスタ層118は、約0.5nmから約50nmの範囲、又は約0.75nmから約35nmの範囲、又は約1nmから約20nmの範囲の厚さを有する。
1つ以上の実施形態では、トランジスタ層118は、ドレイン用セレクトゲート(SGD)トランジスタ又はメモリトランジスタのうちの1つ以上を含み、トランジスタ層118は、独立して、酸化アルミニウム(AlO)、ブロッキング酸化物、トラップ材料、トンネル酸化物、及びチャネル層/チャネル材料から選択される1つ以上のトランジスタ層を含む。
1つ以上の実施形態では、少なくとも1つのドレイン用セレクトゲート(SGD)トランジスタは第1のゲート誘電体をさらに含み、少なくとも1つのメモリトランジスタは第2のゲート誘電体を含み、第1のゲート誘電体と第2のゲート誘電体とは同じ材料を含む。
図6A~7Bは、トランジスタ層118の上面及びマスク層114にビット線パッド122が形成される、方法10の動作40を示している。1つ以上の実施形態では、ビット線パッド122は、ドレイン用セレクトゲート(SGD)トランジスタのドレイン側に形成される。ビット線パッド122は、ポリシリコンを含むがこれに限定されない、当業者に知られている任意の適切な材料でありうる。図6A及び6Bを参照すると、トランジスタ層118がエッチバックされて、凹部121が形成される。図7A及び7Bに示されるように、次に、凹部にビット線パッド122が充填される。
方法10の動作45において、ビット線パッド122がリセスされ、凹部開口部123が形成される。次に、図9A及び9Bに示されるように、凹部開口部123の限界寸法が拡大されて、拡大凹部開口部125が形成される。拡大凹部開口部125は、深さD及び張り出し部Oを有する。張り出し部Oは、ビット線パッド122の端部から拡大凹部開口部125の端部までの距離である。1つ以上の実施形態では、拡大凹部開口部125は、1nmから100nm、又は1nmから50nm、又は1nmから20nmの範囲の深さDを有する。1つ以上の実施形態では、張り出し部Oの量は、1nmから50nm、又は1nmから20nmの範囲にある。
図10A及び10Bを参照すると、方法10の動作50において、拡大凹部開口部125に自己整合マスク層124が形成される。1つ以上の実施形態では、自己整合マスク層124は、1nmから100nm、又は1nmから50nm、又は1nmから20nmの範囲の、拡大凹部開口部125の深さDに等しい厚さを有する。1つ以上の実施形態では、自己整合マスク層124は、1nmから50nm、又は1nmから20nmの範囲の、拡大凹部開口部125の張り出し部Oの量に等しい張り出し部Oの量を有する。自己整合マスク層124は、当業者に知られている任意の適切な材料を含みうる。1つ以上の実施形態では、自己整合マスク層124は、1つ以上の窒化ケイ素(SiN)、酸化アルミニウム(AlO)、酸化ハフニウム(HfO)、耐火性金属、耐火性金属シリサイド、及び耐火性金属酸化物から選択することができる。
図10Cを参照すると、代替的な実施形態において、拡大凹部開口部125にライナ126及び金属128が充填される。1つ以上の実施形態では、ライナ126及び金属128は合わせて、1nmから100nm、又は1nmから50nm、又は1nmから20nmの範囲の、拡大凹部開口部125の深さDに等しい厚さを有する。1つ以上の実施形態では、ライナ126及び金属128は合わせて、1nmから50nm、又は1nmから20nmの範囲の、拡大凹部開口部125の張り出し部Oの量に等しい張り出し部Oの量を有する。ライナ126は、当業者に知られている任意の適切な材料を含みうる。1つ以上の実施形態では、ライナは、窒化チタン(TiN)又は窒化タンタル(TaN)のうちの1つ以上から選択することができる。金属128は、当業者に知られている任意の適切な材料を含みうる。1つ以上の実施形態では、金属128は、タングステン(W)、タングステン(W)、モリブデン(Mo)、タンタル(Ta)、ルテニウム(Ru)、白金(Pt)、及びオスミウム(Os)のうちの1つ以上から選択することができる。
図11を参照すると、ハードマスク層230が、マスク層114及び自己整合マスク124の上面に形成される。ハードマスク層230は、当業者に知られている任意の適切な材料を含みうる。1つ以上の実施形態では、ハードマスク層230は、アモルファスカーボンベースの膜であってもよい。
図12を参照すると、ハードマスク層230は、パターン形成されて開口部132を形成する。開口部132は、ハードマスク層230の上面からマスク層114及び自己整合マスク124の上面まで延在する。エッチング/パターン形成は、当業者に知られている任意の適切な手段で実施することができる。
図13A及び13Bを参照すると、方法10の動作55において、ドレイン用セレクトゲートカット134がエッチングされ/デバイスへとカットされる。幾つかの実施形態では、これは、ドレイン用セレクトゲートのカット(SGD)のパターン形成と呼ばれることがある。ドレイン用セレクトゲートカット134は、ハードマスク層230の上面からドレイン用セレクトゲートのゲート112を通って第1の層108まで延在する。エッチング/パターン形成は、当業者に知られている任意の適切な手段で実施することができる。1つ以上の実施形態では、ドレイン用セレクトゲートカット134を形成することは、例えば、酸化物層、及びドレイン用セレクトゲートのゲート112材料を異方性ドライエッチングすることによって連続的にエッチングすることを含む。図13Bは、線A-Bに沿ったデバイスの図を示している。
図14A及び14Bを参照すると、ハードマスク層230が除去されている。ハードマスク層230は、当業者に知られている任意の適切な手段によって除去することができる。幾つかの実施形態では、ハードマスク層は、アッシング及び剥離のうちの1つ以上によって除去される。
図15A及び15Bを参照すると、誘電体層136が、マスク層114の上面及び自己整合マスク124上に堆積されて、ドレイン用セレクトゲートカット134内に充填される。誘電体層136は、当業者に知られている任意の適切な技法によって堆積させることができる。誘電体層136は、当業者に知られている任意の適切な材料を含みうる。1つ以上の実施形態では、誘電体層136は、限定はしないが、例えば、二酸化ケイ素、酸化ケイ素、炭素がドープされた酸化物(「CDO」)、例えば、炭素がドープされた二酸化ケイ素、多孔性二酸化ケイ素(SiO)、窒化ケイ素(SiN)、又はそれらの任意の組合せなどの材料を含む、低誘電率誘電体である。「酸化ケイ素」という用語は、誘電体層136を説明するために用いられる場合があるが、当業者であれば、本開示が特定の化学量論に限定されないことを認識するであろう。例えば、「酸化ケイ素」及び「二酸化ケイ素」という用語は両方とも、ケイ素原子と酸素原子とを任意の適切な化学量論比で有する材料を説明するために用いられうる。同じことが、本開示に列挙される他の材料、例えば、窒化ケイ素、酸窒化ケイ素、酸化アルミニウム、酸化ジルコニウムなどにも当てはまる。図15Bを参照すると、誘電体層136の堆積後、デバイスは平坦化されて、滑らか及び/又は平坦な表面137を形成する。
図16を参照すると、方法10の動作65において、メモリスタック130はスリットパターン形成されて、マスク層114の上面から共通ソース線103の犠牲層106まで延びるスリットパターン開口部138を形成する。
図17A及び17Bを参照すると、共通ソース線103内の犠牲層106が除去されて開口部140が形成され、ポリシリコン層142で置き換えられる、方法10の動作70が示されている。犠牲層106は、選択的エッチング、熱リン酸などを含むがこれらに限定されない、当業者に知られている任意の適切な技法によって除去することができる。ポリシリコン層186は、ドープされていてもドープされていなくてもよい。
図18は、第2の層110が除去され、ワード線が形成されるところを示している。第2の層110は、当業者に知られている任意の適切な手段によって除去することができる。1つ以上の実施形態では、第2の層110は、選択的エッチング、例えば、選択的ウェットエッチング又は選択的ドライエッチングによって除去される。第2の層110の除去により、開口部144が形成される。
図19A及び19Bは、ワード線146が形成される、方法10の動作75を示している。図19Bは、図19Aの領域148の拡大図である。ワード線146は、酸化物層146a、バリア層146b、及びワード線金属146cのうちの1つ以上を含む。酸化物層146aは、当業者に知られている任意の適切な材料を含みうる。1つ以上の実施形態では、酸化物層146aは酸化アルミニウム層である。バリア層146bは、当業者に知られている任意の適切な材料を含みうる。1つ以上の実施形態では、バリア層146bは、窒化チタン(TiN)、窒化タンタル(TaN)などのうちの1つ以上を含む。1つ以上の実施形態では、ワード線金属146cは、銅(Cu)、コバルト(Co)、タングステン(W)、アルミニウム(Al)、ルテニウム(Ru)、イリジウム(Ir)、モリブデン(Mo)、白金(Pt)、タンタル(Ta)、チタン(Ti)、又はロジウム(Rh)のうちの1つ以上を含む、バルク金属を含む。1つ以上の実施形態では、ワード線金属146cはタングステン(W)を含む。他の実施形態では、ワード線金属146cはルテニウム(Ru)を含む。1つ以上の実施形態では、ワード線146は、金属、金属窒化物、導電性金属化合物、及び半導体材料のうちの1つ以上を含む。金属は、タングステン(W)、モリブデン(Mo)、タンタル(Ta)、ニオブ(Nb)、オスミウム(Os)、ジルコニウム(Zr)、イリジウム(Ir)、レニウム(Re)、又はチタン(Ti)のうちの1つ以上から選択することができる。金属窒化物は、窒化チタン(TiN)、窒化タンタル(TaN)、窒化タングステン(WN)、窒化モリブデン(MoN)、及び窒化ジルコニウム(ZrN)のうちの1つ以上から選択することができる。導電性金属化合物は、酸化タングステン(WOx)、酸化ルテニウム(RuOx)、及び酸化イリジウム(IrOx)のうちの1つ以上から選択することができる。半導体材料は、ケイ素(Si)、シリコンゲルマニウム(SiGe)、及びゲルマニウム(Ge)のうちの1つ以上から選択することができる。
図20は、スリット138に絶縁体材料150が充填される、方法10の動作80を示している。絶縁体材料150は、当業者に知られている任意の適切な材料でありうる。1つ以上の実施形態では、充填されたスリット138は、酸化ケイ素、窒化ケイ素、及び酸窒化ケイ素のうちの1つ以上から選択される絶縁体材料150を含む。1つ以上の実施形態では、絶縁体材料150は酸化ケイ素である。
図21は、ビット線パッドのスタッド152が形成される、方法10の動作85を示している。ビット線スタッド152は、当業者に知られている任意の適切な手段によって形成することができる。
図22は、ワード線(W/L)コンタクトが形成される、方法10の動作90を示している。ワード線コンタクト225は、ワード線の1つにおいて終端するのに十分な距離だけ、メモリスタック130を貫通して延びる。1つ以上の実施形態では、ワード線コンタクト225は、当業者に知られている任意の適切な材料を含みうる。1つ以上の実施形態では、ワード線コンタクト225は、金属、金属シリサイド、ポリシリコン、アモルファスシリコン、又はEPIシリコンのうちの1つ以上を含む。1つ以上の実施形態では、コンタクト抵抗を低減するために、ワード線コンタクト225には、N型ドーパント又はP型ドーパントのいずれかがドープされる。1つ以上の実施形態では、ワード線コンタクト225の金属は、銅(Cu)、コバルト(Co)、タングステン(W)、チタン(Ti)、モリブデン(Mo)、ニッケル(Ni)、ルテニウム(Ru)、銀(Ag)、金(Au)、イリジウム(Ir)、タンタル(Ta)、又は白金(Pt)のうちの1つ以上から選択される。
図23は、1つ以上の実施形態による電子デバイス100の斜視図を示している。1つ以上の実施形態では、半導体メモリデバイス100は、基板102上のメモリスタック130上の少なくとも1つのドレイン用セレクトゲート(SGD)112であって、メモリスタック130が、交互に配置されたワード線146と誘導体材料(第1の層108)とを含んでいる、少なくとも1つのドレイン用セレクトゲート(SGD)112;メモリスタックを貫通して延在する第1の垂直ホール内の少なくとも1つのドレイン用セレクトゲート(SGD)トランジスタ202であって、第1のゲート材料112を含む、ドレイン用セレクトゲート(SGD)トランジスタ202;及び、メモリスタックを貫通して延在する第2の垂直ホール内の少なくとも1つのメモリトランジスタ204であって、第1のゲート材料112とは異なる第2のゲート材料136を含む、少なくとも1つのメモリトランジスタ204、を備えている。幾つかの実施形態では、第1のゲート材料112は、ゲートオールアラウンド(GAA)ゲートを含む。
他の実施形態では、半導体デバイスを形成する方法が提供される。半導体デバイスは、ドレイン用セレクトゲート(SGD)トランジスタとメモリトランジスタとを含む、3次元垂直メモリストリングを有することができる。1つ以上の実施形態では、半導体デバイスを形成する方法は、メモリスタックの上面にドレイン用セレクトゲート(SGD)のゲートを形成することであって、メモリスタックが基板上に第1の層と第2の層との交互の層を含む、ドレイン用セレクトゲート(SGD)のゲートを形成すること;メモリストリングを形成することであって、メモリストリングがドレイン用セレクトゲート(SGD)のゲート及びメモリスタックを貫通して延在する、メモリストリングを形成すること;メモリストリングの上面にビット線パッドを形成すること;ビット線パッドの上面に自己整合マスクを形成すること;ドレイン用セレクトゲート(SGD)のゲートに第1の開口部を形成すること;第1の開口部に誘導体材料を充填すること;ドレイン用セレクトゲート(SGD)のゲートの上面からメモリスタックを貫通して基板まで延在するスリットを形成すること;第1の層を除去して第2の開口部を形成すること;及び、第2の開口部にワード線を形成すること、を含む。
本開示の追加の実施形態は、図24に示されるように、記載されるメモリデバイス及方法を形成するための処理ツール900を対象とする。
クラスタツール900は、複数の側面を備えた少なくとも1つの中央移送ステーション921、931を含む。ロボット925、935は、中央移送ステーション921、931内に位置づけられ、ロボットブレード及びウエハを複数の側面のそれぞれに移動させるように構成される。
クラスタツール900は、中央移送ステーションに接続された、処理ステーションとも呼ばれる複数の処理チャンバ902、904、906、908、910、912、914、916、及び918を含む。さまざまな処理チャンバは、隣接する処理ステーションから隔離された別個の処理領域を提供する。処理チャンバは、予洗浄チャンバ、バッファチャンバ、(一又は複数の)移送スペース、ウエハ・オリエンタ/ガス抜きチャンバ、低温冷却(cryo cooling)チャンバ、堆積チャンバ、アニーリングチャンバ、エッチングチャンバ、選択的酸化チャンバ、酸化物層薄化チャンバ、又はワード線堆積チャンバを含むがこれらに限定されない、任意の適切なチャンバでありうる。処理チャンバ及び構成要素の特定の配置は、クラスタツールに応じて変更することができ、本開示の範囲を限定するものと解釈されるべきではない。
幾つかの実施形態では、クラスタツール900は、ドレイン用選択ゲート(SGD)パターン形成チャンバを含む。同じ実施形態のドレイン用選択ゲート(SGD)パターン形成チャンバは、1つ以上の選択的エッチングチャンバを含む。
図24に示される実施形態では、ファクトリインターフェース950がクラスタツール900の前面に接続される。ファクトリインターフェース950は、ファクトリインターフェース950の前面951にローディングチャンバ954及びアンローディングチャンバ956を含む。ローディングチャンバ954が左側に示され、アンローディングチャンバ956が右側に示されているが、当業者は、これが1つの可能な構成の単なる代表であることを理解するであろう。
ローディングチャンバ954及びアンローディングチャンバ956のサイズ及び形状は、例えば、クラスタツール900において処理される基板に応じて、変化しうる。示される実施形態では、ローディングチャンバ954及びアンローディングチャンバ956は、カセット内に複数のウエハが位置づけられたウエハカセットを保持するようにサイズ決めされる。
ロボット952は、ファクトリインターフェース950内にあり、ローディングチャンバ954とアンローディングチャンバ956との間を移動することができる。ロボット952は、ウエハを、ローディングチャンバ954内のカセットからファクトリインターフェース950を介してロードロックチャンバ960に移送することができる。ロボット952はまた、ウエハを、ロードロックチャンバ962からファクトリインターフェース950を介してアンローディングチャンバ956内のカセットに移送することもできる。当業者に理解されるように、ファクトリインターフェース950は、複数のロボット952有することができる。例えば、ファクトリインターフェース950は、ウエハをローディングチャンバ954とロードロックチャンバ960との間で移送する第1のロボット、並びにウエハをロードロック962とアンローディングチャンバ956との間で移送する第2のロボットとを有することができる。
示されるクラスタツール900は、第1のセクション920と第2のセクション930とを有する。第1のセクション920は、ロードロックチャンバ960、962を介してファクトリインターフェース950に接続される。第1のセクション920は、少なくとも1つのロボット925がその中に位置付けられた第1の移送チャンバ921を含む。ロボット925は、ロボットウエハ搬送機構とも呼ばれる。第1の移送チャンバ921は、ロードロックチャンバ960、962、処理チャンバ902、904、916、918、及びバッファチャンバ922、924に関して中央に配置される。幾つかの実施形態のロボット925は、一度に複数のウエハを独立して移動することができるマルチアームロボットである。幾つかの実施形態では、第1の移送チャンバ921は、複数のロボットウエハ移送機構を含む。第1の移送チャンバ921内のロボット925は、該第1の移送チャンバ921の周りのチャンバ間にウエハを移動するように構成される。個々のウエハは、第1のロボット機構の遠位端に配置されたウエハ搬送ブレード上で運ばれる。
第1のセクション920でウエハを処理した後、該ウエハは、通過チャンバを介して第2のセクション930に渡すことができる。例えば、チャンバ922、924は、一方向又は双方向の通過チャンバでありうる。通過チャンバ922、924は、例えば、第2のセクション930で処理する前にウエハを低温冷却するために、又は第1のセクション920に戻る前にウエハの冷却又は後処理を可能にするために使用することができる。
システムコントローラ990は、第1のロボット925、第2のロボット935、第1の複数の処理チャンバ902、904、916、918、及び第2の複数の処理チャンバ906、908、910、912、914と通信する。システムコントローラ990は、処理チャンバ及びロボットを制御することができる任意の適切な構成要素でありうる。例えば、システムコントローラ990は、中央処理装置、メモリ、適切な回路およびストレージを含むコンピュータでありうる。
プロセスは、概して、プロセッサによって実行されると、処理チャンバに本開示のプロセスを実行させるソフトウェアルーチンとしてシステムコントローラ990のメモリに格納することができる。ソフトウェアルーチンはまた、プロセッサによって制御されているハードウェアから遠隔に位置している第2のプロセッサ(図示せず)によって格納及び/又は実行することができる。本開示の方法の幾つか又はすべてをハードウェアで実行することもできる。したがって、プロセスは、ソフトウェアに実装されてもよく、かつ、例えば、ハードウェア内のコンピュータシステムを特定用途向け集積回路又は他の種類のハードウェアの実装、若しくはソフトウェアとハードウェアとの組合せとして使用して、プロセスを実行することもできる。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実行されるようにチャンバの動作を制御する特定用途向けコンピュータ(コントローラ)に変換する。
1つ以上の実施形態では、処理ツールは、ウエハを移動させるように構成されたロボットを含む中央移送ステーション;各処理ステーションが中央移送ステーションに接続され、隣接する処理ステーションの処理領域から分離された処理領域を提供する、複数の処理ステーションであって、ドレイン用選択ゲート(SGD)をパターン形成するチャンバを含む、複数の処理ステーション;及び、中央移送ステーション及び複数の処理ステーションに接続されたコントローラであって、ロボットを起動して、ウエハを処理ステーション間で移動させ、処理ステーションの各々で行われる処理を制御するように構成された、コントローラ、を含む。
1つ以上の実施形態は、処理チャンバのコントローラによって実行されると、処理チャンバに、基板上に第1の層と第2の層との交互の層を含むメモリスタックに第1の開口部を形成する動作;第1の開口部を通して第2の層をリセスして第1の凹部領域を形成する動作;第1の開口部及び第1の凹部領域にドレイン用選択ゲート(SGD)分離を形成する動作;メモリスタックを貫通してメモリストリングの形成を形成する動作;メモリスタックの上面から基板まで延びるスリットを形成する動作;第2の層を除去して第2の開口部を形成する動作;及び、第2の開口部及びスリットに絶縁材料を充填する動作を実行させる命令を含む、非一時的コンピュータ可読媒体を提供する。
本明細書で論じられる材料及び方法を説明する文脈(とりわけ、以下の請求項の文脈)での「a」及び「an」及び「the」という用語、並びに同様の指示対象の使用は、本明細書に別段の記載がない限り、又は文脈に明確に矛盾しない限り、単数形及び複数形の両方に及ぶと解釈されるべきである。本明細書の値の範囲の列挙は、本明細書に別段の記載がない限り、範囲内に入る各個別の値を個別に参照する簡単な方法として機能することを単に意図しており、各個別の値は、本明細書に個別に記載されているかのように本明細書に組み込まれる。本明細書に記載されるすべての方法は、本明細書に別段の指示がない限り、又は文脈によって明らかに矛盾しない限り、任意の適切な順序で実施することができる。本明細書で提供される任意及びすべての例、又は例示的な言語(例えば、「など」)の使用は、単に材料及び方法をより明らかにすることを意図しており、特に明記しない限り、特許請求の範囲に制限を課すことはない。明細書のいかなる文言も、特許請求されていない要素が開示された材料及び方法の実施に不可欠であることを示していると解釈されるべきではない。
この明細書全体を通じての、「一実施形態」、「ある特定の実施形態」、「1つ以上の実施形態」、又は、「実施形態」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。ゆえに、この明細書全体のさまざまな箇所での「1つ以上の実施形態で」、「ある実施形態で」、「一実施形態で」、又は「実施形態において」などの表現の表出は、必ずしも、本開示の同一の実施形態に言及するものではない。さらには、特定の特徴、構造、材料、又は特性は、1つ以上の実施形態において、任意の適切な方法で組み合わせることができる。
本明細書の開示は具体的な実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の単なる例示であるものと理解されたい。本開示の主旨及び範囲から逸脱することなく、本開示の方法及び装置に対してさまざまな修正及び変形を行うことができることは、当業者にとって明らかであろう。したがって、本開示は、添付の特許請求の範囲及びその等価物の範囲内である修正及び変形を含むことが意図されている。

Claims (20)

  1. 半導体メモリデバイスにおいて、
    基板上のメモリスタック上の少なくとも1つのドレイン用セレクトゲート(SGD)であって、前記メモリスタックが、交互に配置されたワード線と誘導体材料とを含む、少なくとも1つのドレイン用セレクトゲート(SGD)、
    前記メモリスタックを貫通して延在する第1の垂直ホール内の少なくとも1つのドレイン用セレクトゲート(SGD)トランジスタであって、第1のゲート材料を含む、少なくとも1つのドレイン用セレクトゲート(SGD)トランジスタ、及び
    前記メモリスタックを貫通して延在する第2の垂直ホール内の少なくとも1つのメモリトランジスタであって、前記第1のゲート材料とは異なる第2のゲート材料を含む、少なくとも1つのメモリトランジスタ
    を含む、半導体メモリデバイス。
  2. 前記少なくとも1つのドレイン用セレクトゲート(SGD)トランジスタが第1のゲート誘電体をさらに含み、前記少なくとも1つのメモリトランジスタが第2のゲート誘電体を含み、前記第1のゲート誘電体と前記第2のゲート誘電体とが同じ材料を含む、請求項1に記載の半導体メモリデバイス。
  3. 前記ドレイン用セレクトゲート(SGD)トランジスタ及び前記メモリトランジスタが、独立して、酸化アルミニウム(AlO)、ブロッキング酸化物、トラップ材料、トンネル酸化物、及びチャネル材料から選択される1つ以上のトランジスタ層を含む、請求項1に記載の半導体メモリデバイス。
  4. 前記ドレイン用セレクトゲート(SGD)トランジスタのドレイン側上のビット線パッドと、前記ビット線パッド上の自己整合マスクとをさらに含む、請求項3に記載の半導体メモリデバイス。
  5. 前記第1のゲート材料が、ゲートオールアラウンド(GAA)ゲートである、請求項2に記載の半導体メモリデバイス。
  6. 自己整合マスクが、窒化ケイ素(SiN)、酸化アルミニウム(AlO)、酸化ハフニウム(HfO)、耐火性金属、耐火性金属シリサイド、耐火性金属酸化物、窒化チタン(TiN)、タングステン(W)、モリブデン(Mo)、タンタル(Ta)、窒化タンタル(TaN)、ルテニウム(Ru)、白金(Pt)、及びオスミウム(Os)のうちの1つ以上を含む、請求項4に記載の半導体メモリデバイス。
  7. 前記ワード線が、金属、金属窒化物、導電性金属化合物、及び半導体材料のうちの1つ以上を含む、請求項1に記載の半導体メモリデバイス。
  8. 前記金属が、タングステン(W)、モリブデン(Mo)、タンタル(Ta)、ニオブ(Nb)、オスミウム(Os)、ジルコニウム(Zr)、イリジウム(Ir)、レニウム(Re)、又はチタン(Ti)のうちの1つ以上から選択され、前記金属窒化物が、窒化チタン(TiN)、窒化タンタル(TaN)、窒化タングステン(WN)、窒化モリブデン(MoN)、及び窒化ジルコニウム(ZrN)のうちの1つ以上から選択される、請求項7に記載の半導体メモリデバイス。
  9. 前記導電性金属化合物が、酸化タングステン(WOx)、酸化ルテニウム(RuOx)、及び酸化イリジウム(IrOx)のうちの1つ以上から選択される、請求項7に記載の半導体メモリデバイス。
  10. 前記半導体材料が、ケイ素(Si)、シリコンゲルマニウム(SiGe)、及びゲルマニウム(Ge)のうちの1つ以上から選択される、請求項7に記載の半導体メモリデバイス。
  11. 前記少なくとも1つのドレイン用セレクトゲート(SGD)トランジスタに隣接して前記メモリスタックを貫通して延在する少なくとも1つの充填されたスリットをさらに含む、請求項1に記載の半導体メモリデバイス。
  12. 前記充填されたスリットが、酸化ケイ素、窒化ケイ素、及び酸窒化ケイ素のうちの1つ以上から選択される絶縁体材料を含む、請求項11に記載の半導体メモリデバイス。
  13. 前記基板が、犠牲層、酸化物層、及びポリシリコン層を含む、共通ソース線である、請求項1に記載の半導体メモリデバイス。
  14. 半導体メモリデバイスにおいて、
    基板上のメモリスタック上の少なくとも1つのドレイン用セレクトゲート(SGD)であって、前記メモリスタックが、交互に配置されたワード線と誘導体材料とを含む、少なくとも1つのドレイン用セレクトゲート(SGD)、
    前記メモリスタックを貫通して延在する垂直ストリングであって、少なくとも1つのSGDトランジスタと少なくとも1つのメモリトランジスタとを含む、垂直ストリング、
    前記垂直ストリングの上面のビット線パッドであって、第1のサイズを有する、ビット線パッド、及び
    前記ビット線パッドの上面の自己整合マスク層であって、前記第1のサイズより1nmから50nm大きい第2のサイズを有する、自己整合マスク層
    を含む、半導体メモリデバイス。
  15. 自己整合マスクが、窒化ケイ素(SiN)、酸化アルミニウム(AlO)、酸化ハフニウム(HfO)、耐火性金属、耐火性金属シリサイド、耐火性金属酸化物、窒化チタン(TiN)、タングステン(W)、モリブデン(Mo)、タンタル(Ta)、窒化タンタル(TaN)、ルテニウム(Ru)、白金(Pt)、及びオスミウム(Os)のうちの1つ以上を含む、請求項14に記載の半導体メモリデバイス。
  16. ドレイン用セレクトゲート(SGD)トランジスタとメモリトランジスタとを含む3次元垂直メモリストリングを有する半導体メモリデバイスを形成する方法であって、
    メモリスタックの上面にドレイン用セレクトゲート(SGD)のゲートを形成することであって、前記メモリスタックが、基板上に第1の層と第2の層との交互の層を含む、ドレイン用セレクトゲート(SGD)のゲートを形成すること、
    メモリストリングを形成することであって、前記メモリストリングが前記ドレイン用セレクトゲート(SGD)のゲート及び前記メモリスタックを貫通して延在するメモリストリングを形成すること、
    前記メモリストリングの上面にビット線パッドを形成すること、
    前記ビット線パッドの上面に自己整合マスクを形成すること、
    前記ドレイン用セレクトゲート(SGD)のゲートに第1の開口部を形成すること、
    前記第1の開口部に誘導体材料を充填すること、
    前記ドレイン用セレクトゲート(SGD)のゲートの上面から前記メモリスタックを貫通して前記基板まで延在するスリットを形成すること、
    前記第1の層を除去して第2の開口部を形成すること、及び
    前記第2の開口部にワード線を形成すること
    を含む、方法。
  17. 前記メモリストリングを形成することが、
    前記ドレイン用セレクトゲート(SGD)のゲートの上面から前記メモリスタックを貫通して前記基板の底面まで延在するメモリホールをパターン形成すること、及び
    前記メモリホール内にトランジスタ層を堆積することであって、前記トランジスタ層が、酸化アルミニウム(AlO)層、ブロッキング酸化物層、トラップ層、トンネル酸化物層、及びチャネル層のうちの1つ以上を含む、トランジスタ層を堆積すること
    を含む、請求項16に記載の方法。
  18. 前記自己整合マスクが1nmから100nmの範囲の厚さを有し、前記自己整合マスクが1nmから50nmの範囲の量で前記ビット線パッドから張り出している、請求項16に記載の方法。
  19. 前記基板が、犠牲層、酸化物層、及びポリシリコン層を含む共通ソース線であり、前記方法が、前記共通ソース線から前記犠牲層を除去して共通ソース開口部を形成することをさらに含む、請求項16に記載の方法。
  20. ワード線コンタクトを形成することをさらに含む、請求項16に記載の方法。
JP2023560476A 2021-04-01 2022-03-30 3d nandのための選択ゲート分離 Pending JP2024512700A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202163169380P 2021-04-01 2021-04-01
US63/169,380 2021-04-01
US17/705,744 US20220319601A1 (en) 2021-04-01 2022-03-28 Selection gate separation for 3d nand
US17/705,744 2022-03-28
PCT/US2022/022421 WO2022212426A1 (en) 2021-04-01 2022-03-30 Selection gate separation for 3d nand

Publications (1)

Publication Number Publication Date
JP2024512700A true JP2024512700A (ja) 2024-03-19

Family

ID=83448271

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023560476A Pending JP2024512700A (ja) 2021-04-01 2022-03-30 3d nandのための選択ゲート分離

Country Status (6)

Country Link
US (1) US20220319601A1 (ja)
JP (1) JP2024512700A (ja)
KR (1) KR20220136913A (ja)
CN (1) CN116941339A (ja)
TW (1) TW202303947A (ja)
WO (1) WO2022212426A1 (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10074665B2 (en) * 2015-09-11 2018-09-11 Toshiba Memory Corporation Three-dimensional semiconductor memory device including slit with lateral surfaces having periodicity
US9859363B2 (en) * 2016-02-16 2018-01-02 Sandisk Technologies Llc Self-aligned isolation dielectric structures for a three-dimensional memory device
KR20180138403A (ko) * 2017-06-21 2018-12-31 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US10192878B1 (en) * 2017-09-14 2019-01-29 Sandisk Technologies Llc Three-dimensional memory device with self-aligned multi-level drain select gate electrodes
JP7279202B2 (ja) * 2019-06-17 2023-05-22 長江存儲科技有限責任公司 ゲート線スリットがない3次元メモリデバイスおよびそれを形成するための方法

Also Published As

Publication number Publication date
US20220319601A1 (en) 2022-10-06
KR20220136913A (ko) 2022-10-11
WO2022212426A1 (en) 2022-10-06
TW202303947A (zh) 2023-01-16
CN116941339A (zh) 2023-10-24

Similar Documents

Publication Publication Date Title
US11849582B2 (en) Memory stacks having silicon nitride gate-to-gate dielectric layers and methods for forming the same
US11424266B2 (en) Memory stacks having silicon oxynitride gate-to-gate dielectric layers and methods for forming the same
US7655525B2 (en) Semiconductor device free of gate spacer stress and method of manufacturing the same
JP7443393B2 (ja) 3d-nandモールド
US11587796B2 (en) 3D-NAND memory cell structure
CN108878529B (zh) 半导体器件及其制造方法
JP2008103613A (ja) 半導体装置及びその製造方法
US11930637B2 (en) Confined charge trap layer
JP2024512700A (ja) 3d nandのための選択ゲート分離
TWI837494B (zh) 用於3d nand之選擇閘極隔離
US20220059555A1 (en) Selection gate separation for 3d nand
US20230040627A1 (en) Selection gate structure and fabrication method for 3d memory
US20230164993A1 (en) Nand cell structure with charge trap cut
TWI811991B (zh) 半導體裝置及其製造方法
US20220367560A1 (en) Poly-silicon based word line for 3d memory

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231128