JP2023547098A - ゲートオールアラウンドデバイスの形成 - Google Patents

ゲートオールアラウンドデバイスの形成 Download PDF

Info

Publication number
JP2023547098A
JP2023547098A JP2023524366A JP2023524366A JP2023547098A JP 2023547098 A JP2023547098 A JP 2023547098A JP 2023524366 A JP2023524366 A JP 2023524366A JP 2023524366 A JP2023524366 A JP 2023524366A JP 2023547098 A JP2023547098 A JP 2023547098A
Authority
JP
Japan
Prior art keywords
nanosheet channel
layer
channel layers
thickness
epitaxial layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023524366A
Other languages
English (en)
Inventor
ミョンソン キム,
アンディ ロー,
エリック デービー,
マイケル ストルフィ,
ベンジャミン コロンボー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023547098A publication Critical patent/JP2023547098A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

水平ゲートオールアラウンドデバイス及びその製造方法が説明される。hGAAデバイスは、デバイスのソース領域とドレイン領域との間に酸化物層及びナノシートチャネル層を備える。該方法は、ナノシートチャネル層上に共形(conformal)エピタキシャル層を成長させ、その後に、共形エピタキシャル層を酸化するためのラジカルプラズマ酸化(RPO)を含む。代替的な方法は、ナノシートチャネル層上に共形エピタキシャル層を成長させ、その後に、表面処理、次いで、共形エピタキシャル層を酸化するためのラジカルプラズマ酸化(RPO)を含む。【選択図】図5B

Description

[0001] 本開示の実施形態は、広くは、基板フィーチャを充填するための方法に関する。特に、本開示の実施形態は、高品質のI/O酸化物を形成するための方法を対象とする。
[0002] トランジスタは、ほとんどの集積回路の主要な構成要素である。トランジスタの駆動電流、したがって速度は、トランジスタのゲート幅に比例するので、より速いトランジスタは、一般に、より大きなゲート幅を必要とする。したがって、トランジスタのサイズと速度の間にはトレードオフがあり、最大駆動電流と最小サイズとを有するトランジスタの対立する目標に対処するために、「フィン」電界効果トランジスタ(finFET)が開発されている。FinFETは、トランジスタの設置面積を大幅に増加させることなく、トランジスタのサイズを大幅に増加させるフィン形状のチャネル領域を特徴とし、現在多くの集積回路で利用されている。しかし、finFETは、それ自体の欠点を有する。
[0003] トランジスタデバイスの特徴サイズが、より大きな回路密度及びより高い性能を実現するために縮小し続けるにつれて、静電結合を改善し、寄生容量やオフ状態漏れ(off-state leakage)などのような悪影響を低減させるために、トランジスタデバイス構造を改良する必要がある。トランジスタデバイス構造の例としては、平面構造、フィン電界効果トランジスタ(FinFET)構造、及び水平ゲートオールアラウンド(hGAA)構造が挙げられる。hGAAデバイス構造は、積層構成内で懸架され、ソース/ドレイン領域によって接続された幾つかの格子整合チャネルを含む。hGAA構造は、良好な静電制御を提供し、相補型金属酸化膜半導体(CMOS)ウエハ製造における幅広い採用を見出すことができる。
[0004] 従来のI/O酸化物プロセスは、質の悪い自然酸化物を直ちに再成長させるエクスシトゥ(現場外)予洗浄、密度の低いALD型酸化物堆積、ナノシート間のスペースを直線的に減少させる堆積、下流の集積化(すなわち、マルチVt)の制限、及びALD膜を緻密化するための更なる後処理の必要性をもたらす。それは、費用や複雑さを追加する。したがって、水平ゲートオールアラウンドデバイスを形成するための改善された方法が必要とされている。
[0005] 本開示の1以上の実施形態は、半導体デバイスを形成する方法を対象とする。1以上の実施形態では、半導体デバイスを形成する方法が、複数のナノシートチャネル層を予洗浄することであって、複数のナノシートチャネル層の各々は第1の厚さを有する、予洗浄すること、複数のナノシートチャネル層の各々上に共形(conformal)犠牲エピタキシャル層を形成すること、自然酸化物及び/又は残留物を除去するために、共形犠牲エピタキシャルシリコン層を上に有する複数のナノシートチャネル層を予洗浄すること、並びに、ナノシート上にシリコン酸化物層を形成するために、プラズマを使用して共形犠牲エピタキシャルシリコン層を酸化することであって、ナノシートは第2の厚さを有する、酸化することを含む。
[0006] 本開示の更なる実施形態は、半導体デバイスを形成する方法を対象とする。1以上の実施形態では、半導体デバイスを形成する方法が、複数のナノシートチャネル層を予洗浄することであって、複数のナノシートチャネル層の各々は第1の厚さを有する、予洗浄すること、複数のナノシートチャネル層の各々上に共形犠牲エピタキシャル層を形成すること、共形犠牲エピタキシャル層を上に有する複数のナノシートチャネル層をアニーリングすること、及び、複数のナノシートチャネル層の各々上に酸化物層を形成するために、プラズマを使用して共形犠牲エピタキシャル層を酸化することであって、複数のナノシートチャネル層の各々は第2の厚さを有する、酸化することを含む。
[0007] 本開示の更なる複数の実施形態は、水平ゲートオールアラウンドデバイスを対象とする。1以上の実施形態では、水平ゲートオールアラウンドデバイスが、ソース領域とドレイン領域との間の複数の水平ナノシートチャネル層であって、複数の水平ナノシートチャネル層の各々は、上面、下面、及び2つの側面を有し、2nmから15nmの範囲の第1のチャネル層厚さを有する、複数の水平ナノシートチャネル層、並びに、複数の水平ナノシートチャネル層の各々の上面、下面、及び2つの側面上の酸化物層であって、約2.5nmから約10nmの範囲の酸化物層厚さを有する酸化物層を備える。第1のチャネル層厚さは、酸化物層が形成される前の第2のチャネル層厚さと実質的に等しい。
[0008] 本開示の更なる複数の実施形態は、コンピュータ可読媒体を対象とする。1以上の実施形態では、非一時的なコンピュータ可読媒体が指示命令を含む。該指示命令は、処理チャンバのコントローラによって実行されると、処理チャンバに複数の動作を実行させる。該複数の動作は、、複数のナノシートチャネル層を予洗浄することであって、複数のナノシートチャネル層の各々は第1の厚さを有する、予洗浄すること、複数のナノシートチャネル層の各々上に共形犠牲エピタキシャル層を形成すること、共形犠牲エピタキシャル層を上に有する複数のナノシートチャネル層をアニーリングすること、及び、複数のナノシートチャネル層の各々上に酸化物層を形成するために、プラズマを使用して共形犠牲エピタキシャル層を酸化することであって、複数のナノシートチャネル層の各々は第2の厚さを有する、酸化することである。
[0009] 本開示の更なる複数の実施形態は、コンピュータ可読媒体を対象とする。1以上の実施形態では、非一時的なコンピュータ可読媒体が指示命令を含む。該指示命令は、処理チャンバのコントローラによって実行されると、処理チャンバに複数の動作を実行させる。該複数の動作は、複数のナノシートチャネル層を予洗浄することであって、複数のナノシートチャネル層の各々は第1の厚さを有する、予洗浄すること、複数のナノシートチャネル層の各々上に共形犠牲エピタキシャル層を形成すること、自然酸化物及び/又は残留物を除去するために、共形犠牲エピタキシャルシリコン層を上に有する複数のナノシートチャネル層を予洗浄すること、並びに、ナノシート上にシリコン酸化物層を形成するために、プラズマを使用して共形犠牲エピタキシャルシリコン層を酸化することであって、ナノシートは第2の厚さを有する、酸化することである。
[0010] 上述の本開示の特徴を詳細に理解し得るように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかし、添付の図面は、本開示の典型的な実施形態のみを例示しており、本開示は他の等しく有効な実施形態も許容し得るので、添付の図面は、本開示の範囲を限定すると見なすべきではないことに留意されたい。
[0011] 本開示の幾つかの実施形態による、半導体デバイスを形成するための方法のプロセスフロー図を示す。 [0012] 本開示の幾つかの実施形態による、半導体デバイスを形成するための方法のプロセスフロー図を示す。 [0013] 1以上の実施形態によるデバイスの断面図を示す。 [0014] 1以上の実施形態によるデバイスの断面図を示す。 [0015] 1以上の実施形態によるデバイスの断面図を示す。 [0016] 1以上の実施形態によるデバイスの拡大断面図を示す。 [0017] 1以上の実施形態によるデバイスの拡大断面図を示す。 [0018] 1以上の実施形態によるデバイスの拡大断面図を示す。 [0019] 1以上の実施形態によるデバイスの拡大断面図を示す。 [0020] 先行技術によるデバイスの拡大断面図を示す。 [0021] 先行技術によるデバイスの拡大断面図を示す。 [0022] 1以上の実施形態によるデバイスの拡大断面図を示す。 [0023] 1以上の実施形態によるデバイスの拡大断面図を示す。 [0024] 1以上の実施形態によるデバイスの断面図を示す。 [0025] 1以上の実施形態による、図6Aのデバイスの拡大断面図を示す。 [0026] 1以上の実施形態によるクラスタツールを示す。
[0027] 理解し易くするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。図は縮尺どおりではなく、分かりやすくするために簡略化されていることがある。一実施形態の要素及び特徴は、更なる記述がなくとも、その他の実施形態に有益に組み込まれてよい。
[0028] 詳細な説明
[0029] 本開示の幾つかの例示的な実施形態を説明する前に、本開示が以下の説明で提示される構成又はプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行することができる。
[0030] 本明細書及び添付の特許請求の範囲において使用される「基板」という用語は、処理が作用する表面又は表面の一部分を表している。これも当業者には当然のことであるが、基板に対して言及がなされるとき、文脈上他のことが明示されない限り、基板の一部分のみを指す場合がある。更に、基板上への堆積に対して言及がなされるとき、それは、ベア基板と、1以上の膜又は特徴が堆積又は形成された基板と、の両方を意味し得る。
[0031] 本明細書で使用されるときに、「基板」とは、その上で製造プロセス中に膜処理が実行されるところの、任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理が実施され得る基板表面には、用途に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレーター(silicon on insulator:SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及びその他の導電性材料といった、他の任意の材料が含まれる。基板は、半導体ウエハを含むが、それに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化(又はさもなければ化学官能性を付与するためにターゲット化学部分(chemical moieties)を生成又はグラフトする)、アニール及び/又はベークする前処理プロセスに曝されてもよい。基板自体の表面上で直接膜処理することに加えて、本開示では、開示された任意の膜処理工程が、以下でより詳細に開示される基板上に形成された下層上で実施されてよい。「基板表面」という用語は、文脈が示すように、こうした下層を含むことが意図されている。したがって、例えば基板表面上に膜/層又は部分的な膜/層が堆積している場合には、新たに堆積した膜/層の露出面が基板表面になる。所与の基板表面が何を含むかは、どのような膜が堆積されるか、及び使用される特定の化学的性質に左右される。
[0032] 本明細書及び添付の特許請求の範囲で使用されるときに、「前駆体」、「反応物質」、「反応ガス」などの用語は、基板表面と反応することができる任意のガス種を指すために、相互交換可能に使用される。
[0033] トランジスタは、半導体デバイス上に形成されることが多い、回路構成要素又は回路素子である。回路設計に応じて、キャパシタ、インダクタ、抵抗器、ダイオード、導電ラインなどに加えて、半導体デバイス上にトランジスタが形成される。概して、トランジスタは、ソース領域とドレイン領域との間に形成されるゲートを含む。1以上の実施形態では、ソース領域及びドレイン領域が、ドープされた基板領域を含み、特定の用途に適したドーピングプロファイルを示す。ゲートは、チャネル領域の上に配置されるものであり、基板のゲート電極とチャネル領域との間に介在するゲート誘電体を含む。
[0034] 本明細書で使用されるときに、「電界効果トランジスタ」又は「FET」という用語は、電界を使用してデバイスの電気的挙動を制御するトランジスタを指す。エンハンスメント型電界効果トランジスタは、概して、低温で非常に高い入力インピーダンスを示す。ドレイン端子とソース端子の間の導電性は、デバイス内の電界によって制御される。その電界は、デバイスの本体とゲートとの間の電圧差によって生成される。FETの3つの端子は、そこを通ってキャリアがチャネルに入るソース(S)、そこを通ってキャリアがチャネルを離れるドレイン(D)、及びチャネルの導電性を調節する端子であるゲート(G)である。従来、ソース(S)においてチャネルに入る電流は、ISと指定され、ドレイン(D)においてチャネルに入る電流は、IDと指定される。ドレインとソースとの間の電圧は、VDSと指定される。ゲート(G)に電圧を印加することによって、ドレインにおいてチャネルに入る電流(すなわち、ID)が制御され得る。
[0035] 金属酸化膜半導体電界効果トランジスタ(MOSFET)は、電界効果トランジスタ(FET)の一種である。これは絶縁ゲートを有するものであり、絶縁ゲートの電圧が、デバイスの導電率を決定する。印加される電圧量に応じて導電率を変化させるこの能力は、電子信号を増幅させるか、又は切り替えるために使用される。MOSFETは、本体電極と、本体の上方に位置付けられ且つゲート誘電体層によって全ての他のデバイス領域から絶縁されたゲート電極と、の間の金属酸化膜半導体(MOS)容量による、電荷濃度の変調に基づくものである。MOSキャパシタと比較して、MOSFETは、2つの更なる端子(ソースとドレイン)を含み、それらの各々は、本体領域によって分離された別々の高度にドープされた領域に接続されている。これらの領域はp型でもn型でもよいが、いずれも同じ型であり、本体領域とは反対の型でなければならない。ソースとドレインは(本体とは異なり)、ドーピングの型の後に“+”の記号で示されているように、高度にドープされている。
[0036] MOSFETが、nチャネル又はnMOSFETである場合、ソース及びドレインはn+領域であり、本体はp領域である。MOSFETが、pチャネル又はpMOSFETである場合、ソース及びドレインはp+領域であり、本体はn領域である。ソースは、チャネルを通って流れる電荷キャリア(nチャネル用の電子、pチャネル用の正孔)の供給源であるため、そのように名前が付けられ、同様に、ドレインは、電荷キャリアがチャネルを離れるので、そのように名前が付けられている。
[0037] 本明細書で使用されるときに、「フィン電界効果トランジスタ(FinFET)」は、ゲートがチャネルの2つ又は3つの側面に配置され、二重又は三重ゲート構造を形成する、基板上に構築されたMOSFETトランジスタを指す。FinFETデバイスは、チャネル領域が基板上に「フィン」を形成しているため、FinFETという一般名称が付けられている。FinFETデバイスは、高速なスイッチング時間と高い電流密度を有する。
[0038] 本明細書で使用されるときに、「ゲートオールアラウンド(GAA)」という用語は、電子デバイス、例えば、トランジスタを指すために使用され、その場合、ゲート材料は、全ての側面のチャネル領域を取り囲んでいる。GAAトランジスタのチャネル領域は、ナノワイヤ若しくはナノスラブ若しくはナノシート、バー形状チャネル、又は当業者に知られている他の適切なチャネル構成を含んでよい。1以上の実施形態では、GAAデバイスのチャネル領域が、垂直方向に離隔した複数の水平ナノワイヤ又は水平バーを有し、GAAトランジスタを、積層水平ゲートオールアラウンド(hGAA)トランジスタとする。
[0039] 本明細書で使用されるときに、「ナノワイヤ」という用語は、ナノメートル(10-9メートル)のオーダーの直径を有するナノ構造を指す。ナノワイヤはまた、長さと幅の比が1000を越えているとも規定され得る。代替的に、ナノワイヤは、厚さや直径が数十ナノメートル以下に制約され、長さが制約されない構造として規定され得る。ナノワイヤは、トランジスタや一部のレーザー用途に使用され、1以上の実施形態では、半導体材料、金属材料、絶縁材料、超伝導材料、又は分子材料で作られている。1以上の実施形態では、ナノワイヤが、論理CPU、GPU、MPU、並びに揮発性(例えば、DRAM)及び不揮発性(例えば、NAND)デバイス用のトランジスタで使用される。本明細書で使用されるときに、「ナノシート」という用語は、約0.1nmから約1000nmの範囲のスケールの厚さを有する二次元ナノ構造を指す。
[0040] 1以上の実施形態の方法では、ゲートオールアラウンドトランジスタが、標準的なプロセスフローを使用して製造される。ダミーゲートの除去後、シリコンナノシートの全面に犠牲エピタキシャル層(例えば、エピタキシャルシリコン層)が、共形に(conformally)成長される。1以上の実施形態では、基板が、有機残留物を除去するために、任意選択的な第1の予洗浄を受ける。引き続いて、基板は、シリコンナノスラブの界面において自然酸化物、例えば酸化ケイ素(SiOx)を除去するために、第2の予洗浄を受ける。次いで、基板は、共形ラジカルプラズマ酸化(RPO)及びスペーサ処理を受ける。本明細書で使用されるときに、「共形(conformal)」という用語は、層がフィーチャ又は層の外形に適応することを意味する。層の共形性は、通常、フィーチャの側壁に堆積された層の平均厚さと、基板のフィールド(又は上面)の同じ堆積層の平均厚さとの比によって定量化される。
[0041] 本開示の複数の実施形態は、複数の図面によって説明される。複数の図面は、本開示の1以上の実施形態による、デバイス(例えば、トランジスタ)及びトランジスタを形成するためのプロセスを示している。図示されるプロセスは、開示されるプロセス用の単に例示的な可能な使用であり、本開示のプロセスが、図示されている用途に限定されないことを、当業者は認識するであろう。
[0042] 本開示の1以上の実施形態は、複数の図面を参照しながら説明される。1以上の実施形態の方法では、ゲートオールアラウンドトランジスタが、標準的なプロセスフローを使用して製造される。1以上の実施形態では、ダミーゲートの除去後、ナノシート上に共形犠牲エピタキシャル層が成長され、ダミーゲート酸化物を除去するために、統合された(インシトゥ(その場))の予洗浄が実行される。1以上の実施形態では、ダミーゲート酸化物が除去された後で、減圧を壊すことなしに、共形犠牲エピタキシャル層の成長が行われる。エピタキシと予/洗浄RPとの間に、減圧のブレークが存在してよい。
[0043] 他の複数の実施形態では、ゲートオールアラウンドトランジスタが、標準的なプロセスフローを使用して製造される。ダミーゲートの除去後に、共形犠牲エピタキシャル層がナノシート上に成長され、移動度を改善し、バイアス温度不安定性(BTI)を改善するために、統合された表面処理が実行される。1以上の実施形態では、表面処理が実行された後で、減圧を壊すことなしに、共形犠牲エピタキシャル層の成長が行われる。幾つかの実施形態では、エピタキシと表面処理との間に、減圧のブレークが存在してよい。
[0044] 図1Aは、本開示の幾つかの実施形態による、半導体デバイスを形成するための方法100Aのプロセスフロー図を示している。方法100Aは、図2A~図6Bに関連して以下で説明される。図2A~図6Bは、本開示の幾つかの実施形態による、半導体構造の製造の複数の段階を描いている。図1Bは、本開示の幾つかの実施形態による、半導体デバイスを形成するための方法100Bのプロセスフロー図を示している。方法100Bは、図2A~図6Bに関連して以下で説明される。図2A~図6Bは、本開示の幾つかの実施形態による、半導体構造の製造の複数の段階を描いている。図2A~図6Bは、1以上の実施形態による電子デバイス(例えば、hGAA)の断面図である。方法100A及び100Bは、半導体デバイスのマルチステップ製造プロセスの部分であってよい。したがって、方法100A及び100Bは、クラスタツールに結合された任意の適切なプロセスチャンバ内で実行されてよい。クラスタツールは、半導体デバイスの製造に用いられるエッチング、堆積、物理的気相堆積(PVD)、化学気相堆積(CVD)、酸化、又は任意の他の適切なチャンバなどの、半導体デバイスを製造するための処理チャンバを含んでよい。
[0045] 方法100A及び100Bは、それぞれ、動作102で、(図2Aで示されているように)上面202を有する基板200を提供することによって開始する。幾つかの実施形態では、基板200が、バルク半導体基板であってよい。本明細書で使用されるときに、「バルク半導体基板」という用語は、基板の全体が半導体材料で構成されている基板を指す。バルク半導体基板は、半導体構造を形成するための任意の適切な半導体材料及び/又は半導体材料の組み合わせで構成することができる。例えば、半導体層は、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン(IBMが開発したもの)、シリコンゲルマニウム、ドープされた若しくはドープされていないポリシリコン、ドープされた若しくはドープされていないシリコンウエハ、パターニングされた若しくはパターニングされていなウエハ、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、又は他の適切な半導体材料などの、1以上の材料を含んでよい。幾つかの実施形態では、半導体材料がシリコン(Si)である。1以上の実施形態では、半導体基板200が、半導体材料、例えば、シリコン(Si)、炭素(C)、ゲルマニウム(Ge)、シリコンゲルマニウム(SiGe)、ゲルマニウム錫(GeSn)、他の半導体材料、又はそれらの任意の組み合わせを含む。1以上の実施形態では、基板200が、シリコン(Si)、ゲルマニウム(Ge)、ガリウム(Ga)、ヒ素(As)、又はリン(P)のうちの1以上を含む。基板が形成されてよい材料の幾つかの例が本明細書で説明されるが、パッシブ及びアクティブ電子デバイス(例えば、トランジスタ、メモリ、キャパシタ、インダクタ、抵抗器、スイッチ、集積回路、増幅器、光電子デバイス、又は任意の他の電子デバイス)が構築されてよい基礎として機能してよい任意の材料が、本開示の精神及び範囲内に含まれる。
[0046] 幾つかの実施形態では、半導体材料が、n型ドープされたシリコン(n-Si)又はp型ドープされたシリコン(p-Si)などの、ドープされた材料であってよい。幾つかの実施形態では、基板が、イオン注入プロセスなどの任意の適切なプロセスを使用してドープされてよい。本明細書で使用されるときに、「n型」という用語は、製造中に真性半導体に電子供与元素をドープすることによって作られる半導体を指す。n型という言葉は、電子が持つ負の電荷に由来する。n型半導体では、電子が多数キャリアで、正孔が少数キャリアである。本明細書で使用されるときに、「p型」という用語は、正電荷のウェル(又は正孔)を指す。n型半導体に対して、p型半導体は電子濃度よりも正孔濃度が高い。p型半導体では、正孔が多数キャリアで、電子が少数キャリアである。1以上の実施形態では、ドーパントが、ホウ素(B)、ガリウム(Ga)、リン(P)、ヒ素(As)、他の半導体ドーパント、又はそれらの組み合わせのうちの1以上から選択される。幾つかの実施形態では、無給電のボトムデバイスがオンになることを防止するために、基板200の表面の第1の位置に高用量のドーパントを提供するように、基板がドープされてよい。1以上の実施形態では、超格子構造204が、第1の位置の上に形成される。例えば、幾つかの実施形態では、基板の表面が、約1018原子/cm3から約1019原子/cm3のドーパント密度を有してよい。
[0047] 少なくとも1つの超格子構造204が、基板200の上面202の上に形成される(図2Aで描かれているように)。超格子構造204は、複数の積層対内に交互に配置された複数の半導体材料層226と複数のナノシートチャネル層224とを含む。幾つかの実施形態では、複数の積層された層群が、シリコン(Si)とシリコンゲルマニウム(SiGe)との群を含む。幾つか実施形態では、複数の半導体材料層226がシリコンゲルマニウム(SiGe)を含み、複数のナノシートチャネル層224がシリコン(Si)を含む。他の複数の実施形態では、複数のナノシートチャネル層224がシリコンゲルマニウム(SiGe)を含み、複数の半導体材料層がシリコン(Si)を含む。
[0048] 幾つかの実施形態では、複数の半導体材料層226と対応する複数のナノシートチャネル層224とが、超格子構造204を形成するのに適した任意の数の格子整合材料対を含み得る。幾つかの実施形態では、複数の半導体材料層226と対応する複数のナノシートチャネル層224とが、約2から約50対の格子整合材料を含む。
[0049] 1以上の実施形態では、複数の半導体材料層226及び複数のナノシートチャネル層224の厚さt1が、約2nmから約50nmの範囲、約3nmから約20nmの範囲、又は約2nmから約15nmの範囲にある。
[0050] 幾つかの実施形態では、誘電材料246が、従来の化学気相堆積法を使用して、基板200上に堆積される。幾つかの実施形態では、誘電材料246が、基板200の上面202の下方に凹んでいる。それによって、超格子構造204の下部が基板200から形成される。
[0051] 幾つかの実施形態では、置換ゲート構造(例えば、ダミーゲート構造208)が、超格子構造204の上に及び超格子構造204に隣接して形成される。ダミーゲート構造208は、ドランジスタデバイスのチャネル領域を画定する。ダミーゲート構造208は、当該技術分野で知られている任意の適切な従来の堆積及びパターニングプロセスを使用して形成されてよい。
[0052] 幾つかの実施形態では、側壁スペーサ210が、ダミーゲート構造208の外側側壁に沿って形成される。幾つかの実施形態の側壁210は、当該技術分野で知られている適切な絶縁材料、例えば、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、炭化ケイ素などを含む。幾つかの実施形態では、側壁スペーサ210が、当該技術分野で知られている任意の適切な従来の堆積及びパターニングプロセス、例えば、原子層堆積、プラズマ原子層堆積、プラズマ化学気相堆積、又は低圧化学気相堆積を使用して形成される。
[0053] 幾つかの実施形態では、埋め込まれたソース領域232とドレイン領域234が、それぞれ、ソーストレンチとドレイントレンチを形成する。幾つかの実施形態では、ソース領域232が、超格子構造204の第1の端部に隣接して形成され、ドレイン領域234が、超格子構造の第2の反対側の端部に隣接して形成される。図2Aで示されている一実施形態では、ソース領域232又はドレイン領域234のうちの一方が、超格子構造204の前面において示されていない。超格子構造204の他の端部は、ソース領域232又はドレイン領域234のうちの他方を有する。幾つかの実施形態では、ソース領域232及び/又はドレイン領域234が、任意の適切な半導体材料、例えば、シリコン、ゲルマニウム、シリコンゲルマニウム、シリコンリン、シリコンヒ素から形成されるが、これらに限定されない。幾つかの実施形態では、ソース領域232とドレイン領域234とが、エピタキシャル堆積プロセスなどの任意の適切な堆積プロセスを使用して形成されてよい。
[0054] 幾つかの実施形態では、層間誘電体(ILD)層220が、ソース/ドレイン領域232、234、ダミーゲート構造208、及び側壁スペーサ210を含む、基板200の上にブランケット堆積される。ILD層220は、従来の化学気相堆積法(例えば、プラズマ化学気相堆積や低圧化学気相堆積)を使用して堆積されてよい。1以上の実施形態では、ILD層220が、任意の適切な誘電材料、例えば、ドープされていない酸化ケイ素、ドープされた酸化ケイ素(例えば、BPSGやPSG)、窒化ケイ素、及び酸窒化ケイ素から形成されるが、これらに限定されない。1以上の実施形態では、ILD層220が、次いで、ダミーゲート構造208の上部を露出させるために、従来の化学機械平坦化法を用いて研磨し戻される。幾つかの実施形態では、ILD層220が、ダミーゲート構造208の上部及び側壁スペーサ210の上部を露出させるために研磨される。
[0055] 動作104では、図2Bで示されているように、ダミーゲート構造208が、超格子構造204のチャネル領域214を露出させるために除去される。ILD層220は、ダミーゲート構造208の除去中に、ソース/ドレイン領域232、234を保護する。ダミーゲート構造208は、プラズマドライエッチングやウェットエッチングなどの任意の従来のエッチング方法を使用して除去されてよい。幾つかの実施形態では、ダミーゲート構造208がポリシリコンを含み、ダミーゲート構造208は、選択的エッチングプロセスによって除去される。幾つかの実施形態では、ダミーゲート構造208がポリシリコンを含み、超格子構造204が、シリコン(Si)とシリコンゲルマニウム(SiGe)の交互層を含む。
[0056] 動作106では、図2Cで示されているように、複数の半導体材料層226が、超格子構造204内の複数のナノシートチャネル層224の間で、選択的にエッチングされる。例えば、超格子構造204が、シリコン(Si)層とシリコンゲルマニウム(SiGe)層で構成されている場合、チャネルナノワイヤ240を形成するために、シリコンゲルマニウム(SiGe)が選択的にエッチングされる。複数の半導体材料層226、例えばシリコンゲルマニウム(SiGe)は、複数のナノシートチャネル層224に対して選択的な任意の周知のエッチャントを使用して除去されてよい。その場合、エッチャントは、複数のナノシートチャネル層224よりも著しく高い速度で、複数の半導体材料層226をエッチングする。幾つかの実施形態では、選択的なドライエッチング又はウェットエッチングプロセスが使用されてよい。複数のナノシートチャネル層224がシリコン(Si)であり、複数の半導体材料層226がシリコンゲルマニウム(SiGe)である幾つかの実施形態では、シリコンゲルマニウムの層が、ウェットエッチャント、例えば、カルボン酸/硝酸/HF水溶液、及びクエン酸/硝酸/HF水溶液を使用して、選択的に除去されてよいが、これらに限定されない。複数の半導体材料層226が除去されることによって、複数のナノシートチャネル層224の間にボイド228が残される。複数のナノシートチャネル層224の間のボイド228は、約3nmから約20nmの厚さを有する。残りのナノシートチャネル層224は、ソース/ドレイン領域232、234に結合されたチャネルナノワイヤ240の垂直アレイを形成する。チャネルナノワイヤ240は、基板200の上面202と平行に延在し、チャネルナノワイヤ240の単一の列を形成するように、互いに整列している。ソース領域232及びドレイン領域234の形成並びに任意選択的な側方エッチング停止層の形成は、有利なことに、チャネル構造の形成における自己整合性及び構造的完全性を提供する。
[0057] 幾つかの実施形態では、ダミーゲートの除去後に、統合された(インシトゥの)予洗浄が実行される。デバイスは、ゲート上の任意の酸化物を除去するために、インシトゥで予洗浄される。予洗浄は、ゲートの表面上に存在する自然酸化物を除去し得る。予洗浄プロセスは、ドライエッチングプロセス、ウェットエッチングプロセス、又はそれらの両方の組み合わせを含んでよい。
[0058] 動作106の等方性エッチングプロセスは、複数のナノシートチャネル層224の半導体材料に対して選択的な任意の適切なエッチングプロセスを含んでよい。幾つかの実施形態では、動作106の等方性エッチングプロセスが、ウェットエッチング又はドライエッチングのうちの1以上を含む。幾つかの実施形態では、動作106の等方性エッチングプロセスが、ドライエッチングプロセスを含む。
[0059] そのような複数の実施形態では、ドライエッチングプロセスが、従来のプラズマエッチング、又は、サンタクララのカリフにあるアプライドマテリアルズ社から利用可能なSiCoNi(商標)エッチングプロセスなどの遠隔プラズマ支援型ドライエッチングプロセスを含んでよい。SiCoNi(商標)エッチングプロセスでは、デバイスが、H2、NF3、及び/又はNH3プラズマ種、例えば、プラズマ励起された水素及びフッ素種に曝露される。例えば、幾つかの実施形態では、デバイスが、H2、NF3、及びNH3プラズマへの同時曝露を受けてよい。SiCoNi(商標)エッチングプロセスは、SiCoNi(商標)エッチングPrecleanチャンバ内で実行されてよい。SiCoNi(商標)エッチングPrecleanチャンバは、Centura(登録商標)、Dual ACP、Producer(登録商標)GT、及びEndura(登録商標)プラットフォームを含む、様々なマルチ処理プラットフォームのうちの1つの中に統合されてよく、これらは全て、アプライドマテリアルズ(登録商標)社から購入できる。ウェットエッチングプロセスは、フッ化水素(HF)酸最終プロセス(すなわち、いわゆる「HF最終(HF last)」プロセス)を含んでよく、このプロセスでは、表面のHFエッチングが実施され、これにより、表面は水素終端されたままとなる。代替的に、任意の他の液体ベースのエピタキシャル前の予洗浄プロセスが採用されてよい。幾つかの実施形態では、プロセスが、自然酸化物除去用の昇華型エッチングを含む。エッチングプロセスは、プラズマ方式と熱方式がある。プラズマプロセスは、任意の適切なプラズマ(例えば、導電結合プラズマ、誘導結合プラズマ、マイクロ波プラズマ)であり得る。
[0060] 図2Cの基板の拡大された断面図245a、245bである図2D及び図2Eを参照すると、1以上の実施形態では、複数のナノシートチャネル層224の厚さt1が、約2nmから約50nmの範囲、約3nmから約20nmの範囲、又は約2nmから約15nmの範囲にある。複数のナノシートチャネル層224は、ソース/ドレイン領域232/234の間で延在する。
[0061] 図3A及び図3Bを参照すると、動作108で、犠牲エピタキシャル層250が、複数のナノシートチャネル層224上で共形に成長又は形成される。幾つかの実施形態では、共形犠牲エピタキシャル層250が、シリコンを含む。ボイド228を介して複数のナノシートチャネル層224の各々上に、エピタキシャル再成長プロセスが行われる。幾つかの実施形態のエピタキシャル再成長プロセスは、選択的エピタキシプロセスである。幾つかの実施形態では、選択的エピタキシプロセスが、ボイド228(トレンチとも呼ばれる)を介して、犠牲エピタキシャル層250をエピタキシャル成長させる。幾つかの実施形態のエピタキシャル成長は、犠牲エピタキシャル層250を、ナノシートチャネル層224と接触するように融合させる。幾つかの実施形態では、複数のナノシートチャネル層224がシリコンを含み、エピタキシは、ナノシートチャネル層224を融合させ、ボイド228からピンチオフさせる。幾つかの実施形態では、エピタキシプロセスが、Si<100>の成長を引き起こす。幾つかの実施形態では、エピタキシプロセスが、Si<110>の成長を引き起こす。幾つかの実施形態では、エピタキシプロセスが、円錐形状、上部が平らな、又は円錐台形状を有する接触をもたらす。
[0062] 幾つかの実施形態では、動作108のエピタキシャル再成長が、従来のエピタキシャルプロセスよりも低い温度で行われる。1以上の実施形態では、エピタキシャル再成長が、500℃から900℃、若しくは500℃から800℃、若しくは500℃から750℃、又は500℃から720℃の範囲の温度で実行される。1以上の実施形態では、エピタキシャル再成長が、5Torrから20Torrの範囲の圧力で行われる。
[0063] 1以上の実施形態では、犠牲エピタキシャル層250が、エピタキシャル成長されたシリコン(Si)を含む。幾つかの実施形態では、犠牲エピタキシャル層250の厚さが、約0.5nmから約2.5nm、若しくは約1.2nmから約2.5nmの範囲、又は約1.5nmから約2.5nmの範囲にある。
[0064] 図1Aを参照すると、方法100Aでは、動作110で、デバイスが、犠牲エピタキシ上の任意の酸化物を除去するために、インシトゥで予洗浄される。予洗浄は、犠牲エピタキシの表面上に存在する自然酸化物を除去し得る。予洗浄プロセスは、ドライエッチングプロセス、ウェットエッチングプロセス、又はそれらの両方の組み合わせを含んでよい。幾つかの実施形態では、動作104及び106が、減圧を壊すことなしに統合される。幾つかの実施形態では、動作110及び112が、減圧を壊すことなしに統合される。
[0065] 幾つかの実施形態では、装置又はプロセスツールが、動作110のトリミング前の洗浄プロセス後に酸化物層の形成を防止するために、基板を減圧条件下に維持するように構成される。この種の複数の実施形態では、プロセスツールが、基板を大気条件に曝露することなしに、基板を予洗浄チャンバからエッチングプロセスチャンバに移動させるよう構成される。
[0066] 図1Bを参照すると、1以上の代替的な実施形態では、方法100Bの動作111において、デバイスが、移動度及びバイアス温度不安定性(BTI)を改善するために、表面処理を受ける。1以上の実施形態では、表面処理が、水素(H2)の雰囲気内でのアニーリングを含む。アニーリングは、当業者に知られている任意の適切なアニーリングプロセスであってよい。幾つかの実施形態では、アニーリングが、急速熱処理(RTP)アニーリングである。幾つかの実施形態では、アニーリングが、500℃から900℃の範囲、若しくは600℃から900℃の範囲、又は600℃から800℃の範囲の温度で行われる。幾つかの実施形態では、アニーリングが、5Torrから20Torrの範囲の圧力で行われる。
[0067] 幾つかの実施形態では、動作104及び106が、減圧を壊すことなしに統合される。幾つかの実施形態では、動作111及び112が、減圧を壊すことなしに統合される。
[0068] 幾つかの実施形態では、装置又はプロセスツールが、動作111の表面処理後に酸化物層の形成を防止するために、基板を減圧条件下に維持するよう構成される。この種の複数の実施形態では、プロセスツールが、基板を大気条件に曝露することなしに、基板を表面処理チャンバ(例えば、アニーリングチャンバ)から動作112用のプラズマ酸化チャンバに移動させるよう構成される。
[0069] 図4A及び図4Bを参照すると、先行技術によるプロセスでは、酸化物層255を形成するためのデバイスの直接ラジカルプラズマ酸化(RPO)が、結果として、シリコンナノシート、すなわち複数のナノシートチャネル層224の消費をもたらす。したがって、先行技術によれば、RPO後の複数のナノシートチャネル層224の厚さtnは、RPO前の複数のナノシートチャネル層224の厚さt1未満である。
[0070] 図5A及び図5Bを参照すると、1以上の実施形態では、動作112で、酸化物層260が、複数のナノシートチャネル層224上に形成される。1以上の実施形態では、酸化物層260が、周囲圧力にある水素(H2)ガス及び酸素(O2)ガスの雰囲気内での約700℃から約900℃の範囲の温度における犠牲エピタキシャル層250のラジカルプラズマ酸化(RPO)によって形成される。酸化物層260は、当業者に知られている任意の適切な材料を含んでよい。1以上の実施形態では、酸化物層260が酸化ケイ素(SiOx)を含む。1以上の実施形態では、犠牲エピタキシャル層250がシリコン(Si)を含み、酸化物層260が酸化ケイ素(SiOx)を含む。
[0071] 図5Aは、複数のナノシートチャネル層224上で酸化物層260がいかに成長するかの概略図である。1以上の実施形態では、酸化物層260が、複数のナノシートチャネル層224の表面上に共形に成長(又は形成)される。犠牲エピタキシャル層250が直接酸化されるため、酸化物層260は緻密である。酸化は、犠牲エピタキシャル層250を消費するが、一方で、有利なことに、ナノシートチャネル層224を消費しない。1以上の実施形態では、犠牲エピタキシャル層250がシリコン(Si)を含み、酸化物層260が酸化ケイ素(SiOx)を含み、酸化ケイ素(SiOx)とシリコン(Si)の比率は約3:1である。
[0072] 1以上の実施形態では、RPO酸化後で、複数のナノシートチャネル層224は第2の厚さt2を有する。1以上の実施形態では、複数のナノシートチャネル層224の第2の厚さt2は、約2nmから約50nmの範囲、約3nmから約20nmの範囲、約2nmから約15nmの範囲、2.5nmから10nmの範囲、4nmから8nmの範囲、又は2.5nmから7.5nmの範囲にある。1以上の実施形態では、第2の厚さt2が第1の厚さt1に等しく、RPO酸化中に下層のナノシートチャネル層224が消費されないことを示している。
[0073] 1以上の実施形態では、第2の厚さt2が第1の厚さt1と実質的に等しく、RPO酸化中に下層のナノシートチャネル層224が消費されないことを示している。本明細書で使用される場合、「実質的に等しい」とい用語は、第2の厚さが、第1の厚さの±0.5nm、±0.4nm、±0.3nm、±0.2nm、又は±0.1nmの範囲内にあることを意味する。
[0074] 1以上の実施形態では、犠牲エピタキシャル層250が、ナノシートチャネル層224を酸化から保護する、したがって、ナノシートチャネル層224の間の距離が、デバイス性能を維持しながら調整されることを可能にする。
[0075] 他の複数の実施形態では、第1の厚さt1が第2の厚さt2よりも大きく、RPO酸化中に下層のナノシートチャネル層224の一部分が消費されることを示している。1以上の実施形態では、下層のナノシートチャネル層224の約0.5nmから約1.5nmが、RPO酸化中に消費される。
[0076] 酸化が犠牲エピタキシャル層250を消費することに加えて、動作114で、スペーサ210が部分的に酸化され、スペーサ210の誘電率(k-value)を押し下げる。幾つかの実施形態では、動作112及び動作114が、単一の動作113で同時に行われる。
[0077] 1以上の実施形態では、方法100の動作116が、1以上の酸化後処理動作を表している。1以上の酸化後プロセスは、hGAAデバイスの完成のための当業者に知られているプロセスのいずれかであり得る。図6A及び図6Bを参照すると、高誘電率(high-k)誘電体層254が、酸化物層260上に形成されている。高誘電率誘電体層254は、当業者に知られている任意の適切な堆積技法によって堆積される任意の適切な高誘電率誘電材料であり得る。幾つかの実施形態の高誘電率誘電体層254は、酸化ハフニウムを含む。幾つかの実施形態では、TiN窒化物(TiN)、タングステン(W)、コバルト(Co)、アルミニウム(Al)などの導電性材料256が、高誘電率誘電体層254上に堆積される。導電性材料256は、ナノシートチャネル層224の各々の周りに均一な厚さを有する層が形成されることを確実にするために、原子層堆積(ALD)などの任意の適切な堆積プロセスを使用して形成されてよいが、これに限定されない。
[0078] 幾つかの実施形態では、ゲート電極242が、基板200上に形成され、ナノシートチャネル層224の各々を取り囲む。ゲート電極242は、当該技術分野で知られている任意の適切なゲート電極材料から形成されてよい。ゲート電極材料は、ゲート電極242がナノシートチャネル層224の各々の周り及び間で形成されることを確実にするために、原子層堆積(ALD)などの任意の適切な堆積プロセスを使用して堆積される。1以上の実施形態では、ゲート電極がCVDによって堆積される。というのも、ゲート電極が適合するためのナノシート間の利用可能なスペースが限られているからである。本明細書で説明される方法を使用して形成された結果として生じるデバイスは、本開示の一実施形態による水平ゲートオールアラウンドデバイスである。本開示の幾つかの実施形態は、ソース領域とドレイン領域との間のチャネル内のナノシートによって作られたチャネル層(すなわち、ナノシートチャネル層224)を備える水平ゲートオールアラウンドデバイスを対象とする。
[0079] 本開示の1以上の実施形態は、半導体デバイスを形成する方法を対象とする。1以上の実施形態では、半導体デバイスを形成する方法が、複数の積層対内に交互に配置された複数の半導体材料層と対応する複数のナノシートチャネル層を含む超格子構造を選択的にエッチングして、半導体材料層の各々を除去し、超格子構造内の複数のボイド、及びソース領域とドレイン領域との間で延在する複数のナノシートチャネル層を形成すること、複数のナノシートチャネル層上に共形犠牲エピタキシャル層を成長させることであって、複数のナノシートチャネル層の各々は第1の厚さを有する、共形犠牲エピタキシャル層を成長させること、共形犠牲エピタキシャル層を上に有する複数のナノシートチャネル層をアニーリングすること、並びに、プラズマを使用して共形犠牲エピタキシャル層を酸化して、複数のナノシートチャネル層の各々上に酸化物層(例えば、酸化ケイ素)を形成することであって、複数のナノシートチャネル層の各々は第2の厚さを有する、酸化物層を形成することを含む。
[0080] 1以上の実施形態は、水平ゲートオールアラウンドデバイスを対象とする。1以上の実施形態の最終GAAデバイスは、常に、ソースからドレインに延在する互いから分離された離散的なチャネルを有する。1以上の実施形態では、ゲート材料が、全ての側面で離散的なチャネルの周りを完全に包む。これは、従来のGAAデバイスとは異なる。従来のGAAデバイスは、3つの側面のみが覆われている。1以上の実施形態では、hGAAデバイスが、ソース領域とドレイン領域との間の複数の水平ナノシートチャネル層であって、上面、下面、及び2つの側面を有する複数の水平ナノシートチャネル層、並びに、複数の水平ナノシートチャネル層の上面、下面、及び2つの側面上の酸化物層を備える。1以上の実施形態では、酸化物層が、約4nmから約8nmの範囲の厚さを有する。その厚さは、そこから酸化物層が生成されるところのエピタキシャル層の厚さと等しい。他の複数の実施形態では、酸化物層が、約2.5nmから7.5nmの範囲の厚さを有する。幾つかの実施形態では、酸化物層が酸化ケイ素を含む。幾つかの実施形態では、複数の水平チャネル層がシリコン(Si)を含む。幾つかの実施形態では、複数の水平チャネル層が、酸化物層を形成するための酸化中に消費されていない。したがって、1以上の実施形態では、複数の水平チャネル層の各々が、酸化物層を形成する前の複数の水平チャネル層の各々の厚さと実質的に等しい厚さを有する。本明細書で使用されるときに、「実質的に等しい」という用語は、最終デバイス内の水平チャネル層の各々の厚さが、デバイスを酸化する前の水平チャネル層の±0.5nm、±0.4nm、±0.3nm、±0.2nm、又は±0.1nmの範囲内であることを意味する
[0081] 本開示の更なる複数の実施形態は、図7で示されているように、説明されたGAAデバイスの形成及び方法のための処理ツール300を対象とする。アプライドマテリアルズ(登録商標)社から入手できるCentura(登録商標)、Dual ACP、Producer(登録商標)GT、及びEndura(登録商標)プラットフォームを含む、様々なマルチ処理プラットフォーム、ならびに他の処理システムが利用されてよい。クラスタツール300は、複数の側面を有する少なくとも1つの中央移送ステーション314を含む。ロボット316が、中央移送ステーション314内に配置され、ロボットブレード及びウエハを複数の側面の各々に移動させるように構成されている。
[0082] クラスタツール300は、中央移送ステーションに接続された(プロセスステーションとも呼ばれる)複数の処理チャンバ308、310、及び312を備える。様々な処理チャンバは、隣接するプロセスステーションから隔離された別個の処理領域を提供する。処理チャンバは、前洗浄、予洗浄チャンバ、堆積チャンバ、アニーリングチャンバ、エッチングチャンバ、選択的エッチングチャンバなどを含む、任意の適切なチャンバであり得るが、これらに限定されない。プロセスチャンバ及び構成要素の特定の配置は、クラスタツールに応じて変更することができ、本開示の範囲を限定するものとして解釈されるべきではない。
[0083] 幾つかの実施形態では、クラスタツール300が、共形エピタキシャル層250を成長させるためのエピタキシャル成長チャンバ、及び、酸化物層260を形成するための共形エピタキシャル層250のラジカルプラズマ酸化(RPO)用のラジカルプラズマ酸化チャンバを含む。幾つかの実施形態では、クラスタツール300が、中央移送ステーションに接続された予洗浄チャンバを含む。他の複数の実施形態では、クラスタツール300が、中央移送ステーションに接続されたたアニーリングチャンバを含む。また更なる複数の実施形態では、クラスタツール300が、中央移送ステーションに接続された予洗浄チャンバとアニーリングチャンバの両方を含む。
[0084] 図7で示されている実施形態では、ファクトリインターフェース318が、クラスタツール300の前面に接続されている。ファクトリインターフェース318は、ファクトリインターフェース318の前面319上に、ローディング及びアンローディングのためのチャンバ302を含む。
[0085] ローディングチャンバ及びアンローディングチャンバ302のサイズ及び形状は、例えば、クラスタツール300内で処理される基板に応じて変更され得る。図示されている実施形態では、ローディングチャンバ及びアンローディングチャンバ302が、カセット内に配置された複数のウエハを有するウエハカセットを保持するようにサイズ決定されている。
[0086] ロボット304が、ファクトリインターフェース318内にあり、ローディングチャンバとアンローディングチャンバ302との間で移動することができる。ロボット304は、ローディングチャンバ302内のカセットからファクトリインターフェース318を通してロードロックチャンバ320に、ウエハを移送することができる。ロボット304はまた、ロードロックチャンバ320からファクトリインターフェース318を通してアンローディングチャンバ302内のカセットに、ウエハを移動することもできる。
[0087] 幾つかの実施形態のロボット316は、一度に複数のウエハを独立して移動させることができるマルチアームロボットである。ロボット316は、移送チャンバ314の周囲のチャンバ間でウエハを移動させるように構成されている。個々のウエハは、第1のロボット機構の遠位端に位置付けられたウエハ移送ブレード上に担持される。
[0088] システムコントローラ357が、ロボット316、並びに、複数の処理チャンバ308、310、及び312と通信する。システムコントローラ357は、処理チャンバ及びロボットを制御することができる任意の適切な構成要素であり得る。例えば、システムコントローラ357は、中央処理装置(CPU)392、メモリ394、入力/出力(I/O)396、及びサポート回路398を含む、コンピュータであり得る。
[0089] プロセスは、概して、プロセッサによって実行されたときに、プロセスチャンバに本開示のプロセスを実行させるソフトウェアルーチンとして、システムコントローラ357のメモリ内に記憶されてよい。ソフトウェアルーチンはまた、プロセッサによって制御されるハードウェアから遠隔に位置付けられた第2のプロセッサ(図示せず)によって、記憶及び/又は実行されてもよい。本開示の方法の一部又は全部をハードウェア内で実行することもできる。したがって、プロセスは、ソフトウェア内に実装され、コンピュータシステムを使用して、例えば、特定用途向け集積回路若しくは他の種類のハードウェア実施態様としての、又はソフトウェアとハードウェアとの組み合わせとしてのハードウェア内で実行され得る。ソフトウェアルーチンは、プロセッサよって実行されたときに、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する専用コンピュータ(コントローラ)に変換する。
[0090] 幾つかの実施形態では、システムコントローラ357が、ナノシートチャネル層224上に共形犠牲エピタキシャル層250を成長させるために、エピタキシャル成長チャンバを制御するよう構成される。
[0091] 1以上の実施形態では、処理ツールが、ウエハを移動させるように構成されたロボットを備える中央移送ステーション、複数のプロセスステーションであって、各プロセスステーションが、中央移送ステーションに接続され、隣接するプロセスステーションの処理領域から分離された処理領域を提供する、エピタキシャル成長チャンバ及びラジカルプラズマ酸化(RPO)を備える複数のプロセスステーション、並びに、中央移送ステーション及び複数のプロセスステーションに接続されたコントローラであって、ロボットを起動して、ウエハをプロセスステーション間で移動させ、プロセスステーションの各々において行われるプロセスを制御するように構成されたコントローラを含む。
[0092] 本明細書で説明される材料及び方法を説明する文脈において(殊に、以下の特許請求の範囲の文脈において)、用語「1つの(a)」及び「1つの(an)」並びに「その(the)」と、類似の指示物の使用は、本明細書でその逆が示されているか又は明らかに文脈から矛盾する場合を除いて、単数と複数の両方をカバーすると解釈される。本明細書での値の範囲の列挙は、本明細書で特に明記しない限り、範囲内に入る各個別の値を個別に参照する略記法として機能することを単に意図しており、各個別の値は、本明細書で個別に引用されているかのように明細書に組み込まれる。本明細書で説明される全ての方法は、本明細書でその逆が示されているか又はさもなければ文脈から明らかに矛盾しない限り、任意の適切な順序で実行されてよい。本明細書で提供されている任意の及び全ての実施例又は例示的な言葉(例えば、「などの」)の使用は、単に材料及び方法をより良く説明することを意図したものであり、特に請求されない限り、範囲を限定しない。明細書中の言葉は、開示された材料及び方法の実施に不可欠であると主張されていない要素を示すと解釈されるべきではない。
[0093] この明細書全体を通じて、「一実施形態(one embodiment)」、「特定の実施形態(certain embodiments)」、「1以上の実施形態(one or more embodiments)」、又は「実施形態(an embodiment)」に対する言及は、実施形態に関連して説明されている特定のフィーチャ、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。故に、この明細書全体の様々な箇所での「1以上の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「実施形態で」などの表現は、必ずしも、本開示の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特質は、1以上の実施形態において、任意の適切なやり方で組み合わされ得る。
[0094] 本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の例示にすぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行い得ることが、当業者には明らかになろう。ゆえに、本発明は、付随する特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (34)

  1. 半導体デバイスを形成する方法であって、
    複数のナノシートチャネル層を予洗浄することであって、前記複数のナノシートチャネル層の各々は第1の厚さを有する、予洗浄すること、
    前記複数のナノシートチャネル層の各々上に共形犠牲エピタキシャル層を形成すること、
    自然酸化物及び/又は残留物を除去するために、前記共形犠牲エピタキシャルシリコン層を上に有する前記複数のナノシートチャネル層を予洗浄すること、並びに
    前記ナノシート上にシリコン酸化物層を形成するために、プラズマを使用して前記共形犠牲エピタキシャルシリコン層を酸化することであって、前記ナノシートは第2の厚さを有する、酸化することを含む、方法。
  2. 前記共形犠牲エピタキシャルシリコン層は、約0.5nmから約2.5nmの範囲の厚さを有する、請求項1に記載の方法。
  3. 前記第1の厚さは、約4nmから約8nmの範囲にある、請求項1に記載の方法。
  4. 前記第2の厚さは、約4nmから約8nmの範囲にある、請求項1に記載の方法。
  5. 前記第2の厚さは、約2.5nmから約7.5nmの範囲にある、請求項1に記載の方法。
  6. 前記第1の厚さと前記第2の厚さは、実質的に等しい、請求項1に記載の方法。
  7. 予洗浄前に、複数の積層対内に交互に配置された前記複数のナノシートチャネル層と対応する複数の半導体材料層を備える超格子構造を選択的にエッチングして、前記複数の半導体材料層の各々を除去し、前記超格子構造内の複数のボイド、及びソース領域とドレイン領域との間で延在する前記複数のナノシートチャネル層を形成することを更に含む、請求項1に記載の方法。
  8. 前記ソース領域を前記超格子構造の第1の端部に隣接して形成し、前記ドレイン領域を前記超格子構造の第2の反対側の端部に隣接して形成することを更に含む、請求項7に記載の方法。
  9. 前記超格子構造が、基板の上面上に形成される、請求項7に記載の方法。
  10. 前記共形犠牲エピタキシャル層はシリコンを含み、前記共形犠牲エピタキシャル層を形成することは、500℃から800℃の範囲の温度で前記共形犠牲エピタキシャル層をエピタキシャル成長させることを含む、請求項1に記載の方法。
  11. 前記複数の半導体材料層はシリコンゲルマニウム(SiGe)を含み、前記複数のナノシートチャネル層はシリコン(Si)を含む、請求項7に記載の方法。
  12. 前記複数の半導体材料層はシリコン(Si)を含み、前記複数のナノシートチャネル層はシリコンゲルマニウム(SiGe)を含む、請求項7に記載の方法。
  13. 前記方法は、減圧を壊すことなしに処理チャンバ内で実行される、請求項1に記載の方法。
  14. 前記複数のナノシートチャネル層を予洗浄することは、ドライエッチングプロセスとウェットエッチングプロセスのうちの1以上を含む、請求項1に記載の方法。
  15. 前記共形犠牲エピタキシャル層を酸化することは、周囲圧力にある水素(H2)ガス及び酸素(O2)ガスの雰囲気内での約500℃から約900℃の範囲の温度における前記共形犠牲エピタキシャル層のラジカルプラズマ酸化(RPO)を含む、請求項1に記載の方法。
  16. 前記酸化物層上に高誘電率誘電体層を形成すること、及び
    前記高誘電率誘電体層上に導電層を形成することを更に含む、請求項1に記載の方法。
  17. 半導体デバイスを形成する方法であって、
    複数のナノシートチャネル層を予洗浄することであって、前記複数のナノシートチャネル層の各々は第1の厚さを有する、予洗浄すること、
    前記複数のナノシートチャネル層の各々上に共形犠牲エピタキシャル層を形成すること、
    前記共形犠牲エピタキシャル層を上に有する前記複数のナノシートチャネル層をアニーリングすること、及び
    前記複数のナノシートチャネル層の各々上に酸化物層を形成するために、プラズマを使用して前記共形犠牲エピタキシャル層を酸化することであって、前記複数のナノシートチャネル層の各々は第2の厚さを有する、酸化することを含む、方法。
  18. 前記共形犠牲エピタキシャル層は、0.5nmから2.5nmの範囲の厚さを有する、請求項17に記載の方法。
  19. 前記第1の厚さは、4nmから8nmの範囲にある、請求項17に記載の方法。
  20. 前記第2の厚さは、2.5nmから10nmの範囲にある、請求項17に記載の方法。
  21. 前記第1の厚さと前記第2の厚さは、実質的に等しい、請求項17に記載の方法。
  22. 予洗浄前に、複数の積層対内に交互に配置された前記複数のナノシートチャネル層と対応する複数の半導体材料層を備える超格子構造を選択的にエッチングして、前記複数の半導体材料層の各々を除去し、前記超格子構造内の複数のボイド、及びソース領域とドレイン領域との間で延在する前記複数のナノシートチャネル層を形成することを更に含む、請求項17に記載の方法。
  23. 前記ソース領域を前記超格子構造の第1の端部に隣接して形成し、前記ドレイン領域を前記超格子構造の第2の反対側の端部に隣接して形成することを更に含む、請求項22に記載の方法。
  24. 前記超格子構造を基板の上面上に形成することを更に含む、請求項22に記載の方法。
  25. 前記共形犠牲エピタキシャル層はシリコンを含み、前記共形犠牲エピタキシャル層を形成することは、500℃から800℃の範囲の温度で前記共形犠牲エピタキシャル層をエピタキシャル成長させることを含む、請求項17に記載の方法。
  26. 前記複数の半導体材料層はシリコンゲルマニウム(SiGe)を含み、前記複数のナノシートチャネル層はシリコン(Si)を含む、請求項22に記載の方法。
  27. 前記複数の半導体材料層はシリコン(Si)を含み、前記複数のナノシートチャネル層はシリコンゲルマニウム(SiGe)を含む、請求項22に記載の方法。
  28. 前記方法は、減圧を壊すことなしに処理チャンバ内で実行される、請求項17に記載の方法。
  29. 前記複数のナノシートチャネル層を予洗浄することは、ドライエッチングプロセスとウェットエッチングプロセスのうちの1以上を含む、請求項17に記載の方法。
  30. 前記共形犠牲エピタキシャル層を酸化することは、周囲圧力にある水素(H2)ガス及び酸素(O2)ガスの雰囲気内での約500℃から約900℃の範囲の温度における前記共形犠牲エピタキシャル層のラジカルプラズマ酸化(RPO)を含む、請求項17に記載の方法。
  31. 前記複数のナノシートチャネル層は、水素(H2)を含む雰囲気内で5Torrから20Torrの範囲の圧力において600℃から900℃の範囲の温度でアニーリングされる、請求項17に記載の方法。
  32. 前記酸化物層上に高誘電率誘電体層を形成すること、及び
    前記高誘電率誘電体層上に導電層を形成することを更に含む、請求項17に記載の方法。
  33. 水平ゲートオールアラウンドデバイスであって、
    ソース領域とドレイン領域との間の複数の水平ナノシートチャネル層であって、前記複数の水平ナノシートチャネル層の各々は、上面、下面、及び2つの側面を有し、2nmから15nmの範囲の第1のチャネル層厚さを有する、複数の水平ナノシートチャネル層、並びに
    前記複数の水平ナノシートチャネル層の各々の前記上面、前記下面、及び前記2つの側面上の酸化物層であって、約2.5nmから約10nmの範囲の酸化物層厚さを有する酸化物層を備え、
    前記第1のチャネル層厚さは、前記酸化物層の形成前の第2のチャネル層厚さと実質的に等しい、水平ゲートオールアラウンドデバイス。
  34. 前記酸化物層は酸化ケイ素(SiOx)を含み、前記複数の水平ナノシートチャネル層はシリコン(Si)を含む、請求項33に記載の水平ゲートオールアラウンドデバイス。
JP2023524366A 2020-10-20 2021-10-13 ゲートオールアラウンドデバイスの形成 Pending JP2023547098A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US202063093829P 2020-10-20 2020-10-20
US63/093,829 2020-10-20
US202163195243P 2021-06-01 2021-06-01
US63/195,243 2021-06-01
US17/498,098 US20220123123A1 (en) 2020-10-20 2021-10-11 Formation of gate all around device
US17/498,098 2021-10-11
PCT/US2021/054647 WO2022086764A1 (en) 2020-10-20 2021-10-13 Formation of gate all around device

Publications (1)

Publication Number Publication Date
JP2023547098A true JP2023547098A (ja) 2023-11-09

Family

ID=81185249

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023524366A Pending JP2023547098A (ja) 2020-10-20 2021-10-13 ゲートオールアラウンドデバイスの形成

Country Status (6)

Country Link
US (1) US20220123123A1 (ja)
JP (1) JP2023547098A (ja)
KR (1) KR20220052288A (ja)
CN (1) CN116508160A (ja)
TW (1) TW202230533A (ja)
WO (1) WO2022086764A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240015016A (ko) * 2022-07-26 2024-02-02 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스들을 형성하기 위한 프로세싱 방법들및 클러스터 툴들

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8101473B2 (en) * 2009-07-10 2012-01-24 Hewlett-Packard Development Company, L.P. Rounded three-dimensional germanium active channel for transistors and sensors
CN106033769B (zh) * 2015-03-12 2020-10-27 联华电子股份有限公司 纳米线结构及其制作方法
WO2017052644A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Fabrication of multi-channel nanowire devices with self-aligned internal spacers and soi finfets using selective silicon nitride capping
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
US10700064B1 (en) * 2019-02-15 2020-06-30 International Business Machines Corporation Multi-threshold voltage gate-all-around field-effect transistor devices with common gates

Also Published As

Publication number Publication date
TW202230533A (zh) 2022-08-01
KR20220052288A (ko) 2022-04-27
US20220123123A1 (en) 2022-04-21
WO2022086764A1 (en) 2022-04-28
CN116508160A (zh) 2023-07-28

Similar Documents

Publication Publication Date Title
US20200411656A1 (en) Horizontal gate all around device nanowire air gap spacer formation
US11508828B2 (en) Selective silicon etch for gate all around transistors
US20220123123A1 (en) Formation of gate all around device
US20230014586A1 (en) Horizontal gaa nano-wire and nano-slab transistors
US20220037529A1 (en) Conformal oxidation for gate all around nanosheet i/o device
US20230040606A1 (en) Template for nanosheet source drain formation with bottom dielectric
US20230067331A1 (en) Source drain formation in gate all around transistor
US20230260908A1 (en) Gate all around backside power rail formation with multi-color backside dielectric isolation scheme
US20240014214A1 (en) INTEGRATING STRAIN SiGe CHANNEL PMOS FOR GAA CMOS TECHNOLOGY
US20240128355A1 (en) Sacrificial source/drain for metallic source/drain horizontal gate all around architecture
US20220246742A1 (en) Gate all around device with fully-depleted silicon-on-insulator
US20230260909A1 (en) Gate all around backside power rail with diffusion break
KR20230034172A (ko) 다수의 트랜지스터 소스들에 대한 자가 정렬된 넓은 후면 파워 레일 접촉부들

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230615

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240416