JP2023109724A - サーバプロセッサおよびラックサーバユニットのための統合スケーリングおよびストレッチングプラットフォーム - Google Patents

サーバプロセッサおよびラックサーバユニットのための統合スケーリングおよびストレッチングプラットフォーム Download PDF

Info

Publication number
JP2023109724A
JP2023109724A JP2023010134A JP2023010134A JP2023109724A JP 2023109724 A JP2023109724 A JP 2023109724A JP 2023010134 A JP2023010134 A JP 2023010134A JP 2023010134 A JP2023010134 A JP 2023010134A JP 2023109724 A JP2023109724 A JP 2023109724A
Authority
JP
Japan
Prior art keywords
monolithic die
package
dram
die
monolithic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023010134A
Other languages
English (en)
Inventor
ル チャオ-チュン
chao-chun Lu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Invention and Collaboration Laboratory Pte Ltd
Original Assignee
Invention and Collaboration Laboratory Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Invention and Collaboration Laboratory Pte Ltd filed Critical Invention and Collaboration Laboratory Pte Ltd
Publication of JP2023109724A publication Critical patent/JP2023109724A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/06Containers; Seals characterised by the material of the container or its electrical properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/34Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto
    • H01L24/39Structure, shape, material or disposition of the strap connectors after the connecting process
    • H01L24/40Structure, shape, material or disposition of the strap connectors after the connecting process of an individual strap connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K9/00Screening of apparatus or components against electric or magnetic fields
    • H05K9/0007Casings
    • H05K9/0049Casings being metallic containers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/34Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto
    • H01L2224/39Structure, shape, material or disposition of the strap connectors after the connecting process
    • H01L2224/40Structure, shape, material or disposition of the strap connectors after the connecting process of an individual strap connector
    • H01L2224/401Disposition
    • H01L2224/40105Connecting bonding areas at different heights
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/34Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto
    • H01L2224/39Structure, shape, material or disposition of the strap connectors after the connecting process
    • H01L2224/40Structure, shape, material or disposition of the strap connectors after the connecting process of an individual strap connector
    • H01L2224/401Disposition
    • H01L2224/40151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/40221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/40225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48105Connecting bonding areas at different heights
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73207Bump and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73255Bump and strap connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06506Wire or wire-like electrical connections between devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1432Central processing unit [CPU]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1437Static random-access memory [SRAM]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B80/00Assemblies of multiple devices comprising at least one memory device covered by this subclass

Abstract

【課題】HPCによる論理チップと大記憶ボリュームを有するSRAMチップとを含む新規の集積システムを提案する
【解決手段】ICパッケージは、基板と、第1のモノリシックダイと、第2のモノリシックダイと、第3のモノリシックダイとを備える。処理ユニット回路が第1のモノリシックダイに形成される。複数のSRAMアレイが第2のモノリシックダイに形成され、複数のSRAMアレイが少なくとも5~20Gバイトを有する。複数のDRAMアレイが第3のモノリシックダイに形成され、複数のDRAMアレイが少なくとも64~512Gバイトを有する。第1のモノリシックダイ、第2のモノリシックダイ、および第3のモノリシックダイは、基板上に垂直に積層される。第3のモノリシックダイが、第2のモノリシックダイを介して第1のモノリシックダイに電気的に接続される。
【選択図】図19(a)

Description

本開示は、一般に半導体構造に関し、より詳しくは、それぞれ処理ユニット回路を有する複数のモノリシックダイ、複数のスタティックランダムアクセスメモリ(SRAM)アレイ、または複数のダイナミックランダムアクセスメモリ(DRAM)アレイを有するプロセッサ集積回路(IC)に関する。
工場、医療、運輸など、あらゆる事業や企業において、情報技術(IT)システムは急速に進化している。今やシステム・オン・チップ(SOC)または人工知能(AI)は、工場のスマート化、患者の予後の改善、自律走行車の安全性向上など、ITシステムの要となっている。製造設備、センサ、マシンビジョンシステムからのデータは、1日あたり優に合計1ペタバイトに達する可能性がある。そのため、このようなペタバイトのデータを扱うには、高性能コンピューティング(HPC)SOCまたはAIチップが必要となる。
一般にAIチップは、グラフィック処理ユニット(GPU)、フィールド・プログラマブル・ゲート・アレイ(FPGA)、特定用途向けIC(ASIC)に分類することができる。本来GPUは、並列処理を使用する図形処理用途に設計されたものであるが、AIの学習用に使われることが多くなり始めた。GPUの学習速度と効率は、一般に汎用CPUの10~1000倍と言われている。
FPGAは相互に作用する論理のブロックを持ち、エンジニアが、特定のアルゴリズムを支援するために設計することができ、AIの推論に適している。FPGAは、サイズが大きく、速度が遅く、消費電力が大きいという欠点があるが、市場投入までの時間が短く、コストが低く、柔軟性が高いため、ASIC設計よりも好まれている。FPGAは柔軟性が高いため、要件に応じてFPGAの任意の部分を部分的にプログラムすることが可能である。FPGAの推論速度と効率は、汎用CPUの10~100倍である。
一方、ASICは回路を直接調整するため、一般にFPGAよりも効率的である。カスタマイズされたASICの場合、学習/推論速度や効率は汎用CPUの10~1000倍となる。しかし、AIアルゴリズムの進化に合わせてカスタマイズしやすいFPGAとは異なり、ASICは新規のAIアルゴリズムの開発に伴い、徐々に陳腐化する傾向にある。
GPU、FPGA、ASIC(または他の同様のSOC、CPU、NPUなど)を問わず、論理回路とSRAM回路は主要な2つの回路であり、その組み合わせでAIチップサイズの約90%を占めている。AIチップの残りの10%は、入出力パッド回路を含むことができる。とはいえ、AIチップを製造するためのスケーリングプロセス/テクノロジーノードは、より優れた効率と性能を提供するため、AIマシンを効率的且つ迅速に学習させるためにますます必要になってきている。集積回路の性能とコストの向上は、主に、ムーアの法則に従ったプロセススケーリング技術によって達成されてきたが、3~5nmまでのスケーリング技術は多くの技術的困難に遭遇し、半導体業界の研究開発と設備への投資コストは劇的に増加している。
例えば、大容量SRAMの実現に必要な、記録密度向上のためのSRAMデバイスのスケーリング、スタンバイ電力消費の低減のための動作電圧(VDD)の低減、および歩留まり向上は、ますます達成が困難になってきている。28nm(または以下)まで微細化した場合は、その製造プロセスが課題となる。
図1は、6つのトランジスタ(6-T)SRAMセルであるSRAMセルアーキテクチャを示す。このSRAMセルアーキテクチャは、2つのクロスカップルインバータ(PMOSプルアップトランジスタPU-1およびPU-2、ならびにNMOSプルダウントランジスタPD-1およびPD-2)と、2つのアクセストランジスタ(NMOSパスゲートトランジスタPG-1およびPG-2)とからなる。高レベル電圧VDDはPMOSプルアップトランジスタPU-1およびPU-2に結合され、低レベル電圧VSSはNMOSプルダウントランジスタPD-1およびPD-2に結合される。ワード線(WL)がイネーブルとなった場合(すなわち、アレイにおいて行が選択された場合)、アクセストランジスタはON状態となり、記憶ノード(ノード-1/ノード-2)を垂直方向に延びるビット線(BLおよびBLバー)に接続する。
図2は、SRAMの6つのトランジスタの配置と接続を表す「スティック図」である。スティック図は、通常、SRAMの6つのトランジスタのプルダウントランジスタPDおよびプルアップトランジスタPUを形成する活性領域(横棒)とゲート線(縦棒)のみを含む。当然ながら、6個のトランジスタに直接結合しているものもあれば、一方では、ワード線(WL)、ビット線(BLおよびBLバー)、高レベル電圧VDD、低レベル電圧VSSなどに結合しているものもあり、依然としてコンタクトの数は多い。
最小フィーチャーサイズが減少した場合のλまたはFによって表されるSRAMセルの総面積の劇的な増加の理由のいくつかは、以下のように説明され得る。従来の6TのSRAMは、複数の相互接続を使用して接続された6つのトランジスタを有し、その第1の相互接続層M1は、トランジスタのゲートレベル(「Gate」)と、ソース領域およびドレイン領域(これらの領域は全般的に「Diffusion」と呼ばれる)の拡散レベルとに接続する。M1のみを使用することによってダイサイズを大きくすることなく、信号伝送(ワード線(WL)および/またはビット線(BLおよびBLバー)など)を容易にするため第2の相互接続層M2および/または第3の相互接続層M3を増加する必要があり、したがって、いくつかの種類の導体材料から構成される構造Via-1が第2の相互接続層M2を第1の相互接続層M1へ接続するために形成される。
それによって、DiffusionからContact(Con)を介しての第1の相互接続層M1への接続、すなわち「Diffusion-Con-M1」という垂直構造が存在する。同様に、GateをContact構造を介して第1の相互接続層M1に接続する他の構造は、「Gate-Con-M1」として形成され得る。加えて、第2の相互接続層M2相互接続に接続するためにVia1を介した第1の相互接続層M1相互接続からの接続構造が形成される必要がある場合、「M1-Via1-M2」と呼ばれる。Gateレベルから第2の相互接続層M2へのより複雑な相互接続構造は、「Gate-Con-M1-Via1-M2」と記述され得る。さらに、積層相互接続システムは、「M1-Via1-M2-Via2-M3」または「M1-Via1-M2-Via2-M3-Via3-M4」構造などを有し得る。
2つのアクセストランジスタ(図1に示すように、NMOSパスゲートトランジスタPG-1およびPG-2)におけるGateとDiffusionは、第2の相互接続層M2または第3の相互接続層M3において配置され得るワード線(WL)および/またはビット線(BLおよびBLバー)に接続されるものであるため、従来のSRAMでは、そのような金属接続は、第1の相互接続層M1を通る必要がある。すなわち、SRAMにおける最先端の相互接続システムは、GateまたはDiffusionがM1構造をバイパスせずに第2の相互接続層M2に直接接続することを可能としない場合がある。
その結果、一方のM1相互接続と他方のM1相互接続との間の必要な空間がダイサイズを増加させ、いくつかの場合において、配線接続が、M1領域を越えるためにM2を直接使用する何らかの効率的なチャネリングの意図を妨げ得る。加えて、Via1とContactとの間の自己整合構造を形成するのは困難であり、同時に、Via1とContactとの両方が、それぞれ、それ自体の相互接続システムに接続される。
加えて、従来の6T-SRAMにおいて、近傍内に互いの隣に形成されたP基板とNウェルとのいくつかの隣り合った領域内部にそれぞれ配置された1つのNMOSトランジスタおよび1つのPMOSトランジスタが少なくとも存在し、N+/P/N/P+寄生バイポーラデバイスと呼ばれる寄生接合構造が、NMOSトランジスタのN+領域から始まり、pウェル、隣りのnウェル、さらにPMOSトランジスタのp+領域までの外形を有して形成される。
n+/p接合またはp+/n接合のいずれかにおいて顕著な雑音が発生し、非常に大きな電流がこのn+/p/n/p+接合を異常に流れ、CMOS回路のいくつかの動作を遮断する可能性があり、チップ全体の故障を引き起こし得る。このようなラッチアップと呼ばれる異常現象は、CMOS動作には好ましくなく、回避される必要がある。確実にCMOSの弱点であるラッチアップに対する耐性を高める一手法は、n+領域からp+領域への距離を増加させることである。したがって、ラッチアップ問題を回避するためのn+領域からp+領域への距離の増加は、SRAMセルのサイズも増加させる。
しかしながら、製造プロセスを28nm以下(いわゆる「最小フィーチャーサイズ」、「ラムダ(λ)」または「F」)まで微細化しても、コンタクトのサイズ間の干渉に起因して、ワード線(WL)、ビット線(BLおよびBLバー)、高レベル電圧VDD、および低レベル電圧VSSなどを接続する金属線のレイアウトの中でも、λまたはFによって表されるSRAMセルの総面積は、図3(非特許文献1から引用)に示すように、最小フィーチャーサイズが減少した時に劇的に増加する。
同様の状況は、論理回路のスケーリングでも起こる。大容量論理回路の実現に必要な、記録密度向上のための論理回路のスケーリング、スタンバイ電力消費の低減のための動作電圧(Vdd)の低減、および歩留まり向上は、ますます達成が困難になってきている。スタンダードセルは、論理回路で一般的に使用される基本要素である。スタンダードセルは、基本的な論理機能セル(インバータセル、NORセル、NANDセルなど)を備え得る。
同様に、28nm以下まで製造プロセスを微細化しても、コンタクトのサイズと金属線のレイアウトの干渉により、最小フィーチャーサイズが小さくなると、λまたはFで表されるスタンダードセルの総面積が劇的に増加する。
図4(a)は、ある半導体企業の5nm(UHD)スタンダードセルのPMOSトランジスタとNMOSトランジスタのレイアウトと接続を表す「スティック図」である。このスティック図は、活性領域(横棒)とゲート線(縦棒)のみを含む。以下、活性領域を「フィン」と呼ぶことにする。当然ながら、PMOSトランジスタやNMOSトランジスタに直接結合しているものもあれば、他方では、入力端子、出力端子、高レベル電圧Vdd、低レベル電圧VSS(または接地「GND」)などに結合しているものもあり、多くのコンタクトが残っている。特に、各トランジスタには、2つの活性領域またはフィン(グレーの破線矩形で表示)があり、W/L比を許容範囲内に維持することができるように、トランジスタのチャネルを形成する。インバータセルの面積サイズはX×Yに等しく、X=2×Cpp、Y=Cell_Height、Cppはコンタクトポリピッチ(Cpp)の距離である。
このスタンダードセルのPMOS/NMOSには、PMOSとNMOSとの間に一部の活性領域またはフィン(「ダミーフィン」と呼ばれる)が利用されていないことがわかるが、その潜在的理由はPMOSとNMOSとの間のラッチアップ問題に関係していると考えられる。よって、ただしFpがフィンピッチの場合、図4(a)におけるPMOSとNMOSの間のラッチアップ距離は3×Fpとなる。5nm(UHD)スタンダードセルのCpp(54nm)とセル高さ(216nm)に関する利用可能なデータに基づいて、セル面積はX×Yで23328nm(または933.12λ、ただしラムダ(λ)は5nmのような最小フィーチャーサイズ)に等しく計算することができる。図4(b)は、上記の5nmスタンダードセルおよびその寸法を示す。図4(b)に示すように、PMOSとNMOSの間のラッチアップ距離は15λ、Cppは10.8λ、セルの高さは43.2λである。
図5は、3社の半導体製造工場におけるプロセステクノロジーノード別の面積サイズ(2Cpp×Cell_Height)に関するスケーリング傾向を示している。テクノロジーノードが小さくなるにつれて(例えば22nmから5nmへ)、λで表す場合の従来のスタンダードセル(2Cpp×Cell_Height)の面積のサイズが劇的に増大することは明らかである。従来のスタンダードセルでは、テクノロジープロセスノードが小さければ小さいほど、λで表す面積サイズが大きくなる。このようにλが急激に増加するのは、SRAMでも論理回路でも、λが小さくなるとゲートコンタクト/ソースコンタクト/ドレインコンタクトのサイズが比例して縮小されにくいこと、PMOSとNMOSとの間のラッチアップ距離が比例して小さくなりにくいこと、λが小さくなると金属層が干渉すること等が原因であると考えられる。
別の見方をすれば、SOC、AI、ネットワーク処理装置(NPU)、GPU、CPU、およびFPGAなどの高性能コンピューティング(HPC)チップの場合、現在はモノリシック集積を使用して、できるだけ多くの回路を搭載している。しかし、図6(a)に示すように、各モノリシックダイのダイ面積を最大化するには、最先端の既存のフォトリソグラフィ露光装置のために拡張が難しいリソグラフィステッパの最大レチクルサイズによって制限を受ける。例えば、図6(b)に示すように、現在のi193およびEUVリソグラフィステッパは最大レチクルサイズであるため、モノリシックSOCダイのスキャナ最大露光領域面積(SMFA)は26mm×33mmまたは858mm(https://en.wikichip.org/wiki/mask)である。しかし、高性能コンピューティングまたはAI用途では、ハイエンドのコンシューマー向けGPUが500~600mmで稼働しているようである。その結果、SMFAの制限内で、GPUおよびFPGA(例)のような2つ以上の主要な機能ブロックを単一のダイで構成することがより難しく、または不可能になってきている。また、両主要ブロックの内蔵SRAM(eSRAM)のサイズも十分に大きくするために、最も広く使われている6トランジスタCMOSのSRAMセルはかなり大きい。加えて、外付けDRAMの容量を拡張する必要があるが、ディスクリートPOP(パッケージ・オン・パッケージ、例えばHBM to SOC)またはPOD(Package DRAM on SOC Die)では、チップとダイ間やチップとパッケージ間の信号配線が悪く、望ましい性能を達成することが難しいという制約が依然として残されている。
J. CHANG等、「15.1 A 5nm 135Mb SRAM in EUV and High-Mobility-Channel FinFET Technology with Metal Coupling and Charge-Sharing Write-Assist Circuitry Schemes for High-Density and Low-VMIN Applications」、2020 IEEE International Solid- State Circuits Conference - (ISSCC)、2020年、238~240頁
したがって、近未来のモノリシック集積に基づくより強力で効率的なSOCまたはAIシングルチップを実現するために、上記の問題を解決し得る、HPCによる論理チップと大記憶ボリュームを有するSRAMチップとを含む新規の集積システムを提案する必要がある。
本開示の一態様は、ICパッケージを提供することであり、パッケージICは、基板と、第1のモノリシックダイと、第2のモノリシックダイと、第3のモノリシックダイとを備える。処理ユニット回路が第1のモノリシックダイに形成される。複数のSRAMアレイが第2のモノリシックダイに形成され、複数のSRAMアレイが少なくとも2~15Gバイトを含む。複数のDRAMアレイが第3のモノリシックダイに形成され、複数のDRAMアレイが少なくとも16~256Gバイトを有する。第1のモノリシックダイ、第2のモノリシックダイ、および第3のモノリシックダイは、基板の上に垂直に積層される。
本開示の一実施形態では、第1のモノリシックダイが特定のテクノロジープロセスノードによって定義されるスキャナ最大露光領域面積と同一またはほぼ同一のダイ面積を有し、第2のモノリシックダイが特定のテクノロジープロセスノードによって定義されるスキャナ最大露光領域面積と同一またはほぼ同一のダイ面積を有し、第3のモノリシックダイが特定のテクノロジープロセスノードによって定義されるスキャナ最大露光領域面積と同一またはほぼ同一のダイ面積を有する。
本開示の一実施形態では、スキャナ最大露光領域面積が、26mm×33mmまたは858mm以下である。本開示の一実施形態では、第1のモノリシックダイおよび第2のモノリシックダイが単一のパッケージ内に封入され、第3のモノリシックダイが第2のモノリシックダイを介して第1のモノリシックダイに電気的に接続される。本開示の一実施形態では、複数のDRAMアレイが少なくとも128Gバイト、256Gバイト、または512Gバイトを含む。
本開示の一実施形態では、処理ユニット回路が第1の処理ユニット回路および第2の処理ユニット回路を備えており、第1の処理ユニット回路が複数の第1の論理コアを備えており、複数の第1の論理コアのそれぞれが第1のSRAMセットを備えており、第2の処理ユニット回路が複数の第2の論理コアを備えており、複数の第2の論理コアのそれぞれが第2のSRAMセットを備えており、第1の処理ユニット回路または第2の処理ユニット回路が、グラフィック処理ユニット(GPU)、中央演算装置(CPU)、テンソル処理ユニット(TPU)、ネットワーク処理ユニット(NPU)、およびフィールドプログラマブルゲートアレイ(FPGA)からなるグループから選択される。
本開示の一実施形態では、複数のDRAMアレイが、第3のモノリシックダイの上に対電極を備える。
本開示の一実施形態では、プロセッサICが、第1のモノリシックダイ、第2のモノリシックダイ、および第3のモノリシックダイを密閉するモールドまたはシールドコンパウンドをさらに備えており、対電極の上面は露出され、モールドまたはシールドコンパウンドによって被覆されない。
本開示の一実施形態では、プロセッサICが、対電極および基板の上面に接触される上部リードフレームと、第1のモノリシックダイ、第2のモノリシックダイ、第3のモノリシックダイ、および上部リードフレームを密閉するモールドまたはシールドコンパウンドとをさらに備える。
本開示の他の態様は、ICパッケージを提供することであり、デュアルDRAMパッケージが、基板と、第1のDRAMモノリシックダイと、第2のDRAMモノリシックダイとを備える。第1の複数のDRAMアレイが第1のDRAMモノリシックダイに形成され、第1の複数のDRAMアレイが少なくとも16~256Gバイトを備えており、第1の複数のDRAMアレイが第1のDRAMモノリシックダイの上部分上に第1の対電極を含む。第2の複数のDRAMアレイが第2のDRAMモノリシックダイに形成され、第2の複数のDRAMアレイが少なくとも16~256Gバイトを備えており、第2の複数のDRAMアレイが第2のDRAMモノリシックダイの上部分上に第2の対電極を備える。第1のDRAMモノリシックダイおよび第2のDRAMモノリシックダイが基板上に垂直に積層され、第2のDRAMモノリシックダイの第2の対電極が基板に接触され、第1のDRAMモノリシックダイが第2のDRAMモノリシックダイを介して基板に電気的に接続される。
本開示の一実施形態では、第2のDRAMモノリシックダイが電気的ボンディングによって基板に電気的に結合される。
本開示の他の態様は、集積システムを提供することであり、集積システムが、キャリア基板と、第1のICパッケージと、第2のICパッケージと、金属シールドケースとを備える。第1のICパッケージがキャリア基板に接合され、第2のICパッケージがキャリア基板に接合され、金属シールドケースが第1のICパッケージおよび第2のICパッケージを密閉する。
本開示の一実施形態では、集積システムが、第3のICパッケージと、金属シールドケースとをさらに備えており、第3のICパッケージがキャリア基板に接合され、金属シールドケースが第1のICパッケージ、第2のICパッケージ、および第3のICパッケージを密閉する。
本開示の一実施形態では、金属シールドケースが第2のICパッケージの第1のDRAMモノリシックダイの上部分上の第1の対電極に熱的に結合され、第3のICパッケージの第1のDRAMモノリシックダイの上部分上の第1の対電極に熱的に結合される。
本開示の上記態様および他の態様は、好適であるが限定的でない実施形態の以下の詳細な説明に関してより深く理解されるであろう。以下の説明は、添付図面を参照しながら行われる。
通常の6T-SRAMセルの概略図である。 図1の6T-SRAMに対応するスティック図である。 現在利用可能な製造プロセスによる、異なるプロセス寸法λ(またはF)に対するλ(またはF)に換算したSRAMセルの総面積を示す図である。 ある半導体企業(サムスン)の5nm(UHD)スタンダードセルのPMOSトランジスタとNMOSトランジスタのレイアウトと接続を表す「スティック図」である。 図4(a)で示されるようなサムスンの5nm(UHD)スタンダードセルの寸法を示すスティック図である。 3社の半導体製造工場におけるプロセステクノロジーノード別の面積サイズに関するスケーリング傾向を示す図である。 リソグラフィステッパの最大レチクルサイズによって制限されるスキャナ最大露光領域面積(SMFA)を有するモノリシックSOCダイを示す図である。 リソグラフィステッパの最大レチクルサイズによって制限されるスキャナ最大露光領域面積(SMFA)を有するモノリシックSOCダイを示す図である。 本開示の一実施形態による、新規のスタンダードセルで使用されるmMOSFETの上面図である。 図7(a)に図示されるような切断線C7J1に沿った断面図である。 図7(a)に図示されるような切断線C7J2に沿った断面図である。 本実施形態の一実施形態による新規のスタンダードセルで使用されるPMOSトランジスタとNMOSトランジスタとの組み合わせ構造を示す上面図である。 図8(a)の切断線(X軸)に沿ったPMOSトランジスタおよびNMOSトランジスタの断面図である。 SRAMビットセルサイズ(λに換算)が、3社の異なる企業および本発明から異なるテクノロジーノードにわたって観察され得ることを示す図である。 本発明によって提供される新規のスタンダードセルの面積サイズと、様々な他の企業によって提供される従来の製品の面積サイズとの比較結果を示す図である。 統合スケーリングおよびストレッチングプラットフォームによって提供される集積システムを、本発明の一実施形態による従来の集積システムと比較して示す図である。 本開示の一実施形態による、統合スケーリングおよびストレッチングプラットフォームによって提供される集積システムの単一のモノリシックダイを示す図である。 本開示の他の実施形態による、統合スケーリングおよびストレッチングプラットフォームによって提供される集積システムの単一のモノリシックダイを示す図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォームによって提供される集積システムの単一のモノリシックダイを示す図である。 本開示のさらなる他の実施形態による、統合スケーリングおよびストレッチングプラットフォームによって提供される集積システムの単一のモノリシックダイを示す図である。 統合スケーリングおよびストレッチングプラットフォームによって提供される集積システムを、本開示のさらに他の実施形態による従来の集積システムと比較して示す図である。 本発明の集積システムと異なるテクノロジーノードに基づく3社の半導体製造工場の集積システムとのSRAMセル面積の比較結果を示す図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォームによって提供される集積システムの単一のモノリシックダイを示す図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォームによって提供される集積システムの単一のモノリシックダイを示す図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供される集積システムを示す図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供される集積システムを示す概略図である。 従来の上層サーバプロセッサを示す概略図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるサーバプロセッサを示す概略図である。 本開示の一実施形態によるMセルを作製するための一連の処理構造を示す断面図である。 本開示の一実施形態によるMセルを作製するための一連の処理構造を示す断面図である。 本開示の一実施形態によるMセルを作製するための一連の処理構造を示す断面図である。 本開示の一実施形態によるMセルを作製するための一連の処理構造を示す断面図である。 本開示の一実施形態によるMセルを作製するための一連の処理構造を示す断面図である。 本開示の一実施形態によるMセルを作製するための一連の処理構造を示す断面図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるサーバプロセッサを示す概略図である。 図19(a)に示されるようなサーバプロセッサを示す断面図である。 本開示のさらに他の実施形態によるサーバプロセッサを示す断面図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるISSPラックサーバユニットを示す図である。 図21(a)に示されるようなラックサーバユニットを示す断面図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるISSPラックサーバユニットを示す図である。 本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるISSPラックサーバユニットを示す図である。 図23(a)に示されるようなサーバプロセッサを示す断面図である。
本開示は、集積システムを提供する。本開示の上記態様および他の態様は、好適であるが限定的でない実施形態の以下の詳細な説明によってより深く理解されるであろう。以下の説明は、添付図面を参照しながら行われる。
添付図面を参照して本開示のいくつかの実施形態が以下に開示される。ただし、実施形態で開示される構造および内容は例示目的および説明目的に過ぎず、本開示の保護範囲は、その実施形態に限定されない。本開示が全ての可能な実施形態を示しておらず、本開示の技術分野の当業者は、本開示の趣旨を侵害することなく実際の必要性を満たすために、以下に開示される詳細に基づいて適切な修正または変更をなし得ることに留意されたい。本開示は、本明細書で開示されない他の実施に適用可能である。
<実施形態1>
例えば、図7(a)は、本開示の一実施形態による、MOSFET構造を示す上面図である。図7(b)は、図7(a)に図示されるような切断線C7J1に沿った断面図である。図7(c)は、図7(a)に図示されるような切断線C7J2に沿った断面図である。提案されるMOSFETにおいて、ゲート端子のシリコン領域(シリコン領域702cなど)およびソース/ドレイン端子のシリコン領域のそれぞれは、露出され、種領域に基づいて柱(第1の導体柱部分731aおよび第3の導体柱部分731bなど)を成長させる選択的エピタキシャル成長法(SEG)のための種領域を有する。
さらに、第1の導体柱部分731aおよび第3の導体柱部分731bのそれぞれも、その上部分に種領域または種柱を有し、そのような種領域または種柱は、後続の選択的エピタキシャル成長のために使用され得る。その後、第2の導体柱部分732aが第2の選択的エピタキシャル成長によって第1の導体柱731aに形成され、第4の導体柱部分732bが第3の導体柱部分731bに形成される。
本実施形態は、図7(a)~図7(c)に示されるように、選択的エピタキシャル成長法に従うように構成された導電端子および導体柱部分の上部分に種部分または種柱が存在する限り、M1相互接続(いわゆる導電端子)または導電層が1つの垂直な導電または導体プラグを介して自己整合的にMX相互接続層に直接(導電層M2、M3、・・・MX-1に接続することなく)接続されることができるように適用され得る。種部分または種柱は、シリコンに限定されておらず、以下の選択的エピタキシャル成長に従うために構成された種として使用され得る任意の材料が許容可能である。
図8(a)は、本実施形態の一実施形態によるPMOSトランジスタ52とNMOSトランジスタ51との組み合わせ構造を示す上面図である。図8(b)は、図8(a)の切断線(X軸)に沿ったPMOSトランジスタ52およびNMOSトランジスタ51の断面図である。PMOSトランジスタ52の構造は、NMOSトランジスタ51の構造と同一である。ゲート誘電層331およびゲート導電層332(ゲート金属など)を備えるゲート構造33は、半導体基板(シリコン基板など)の水平方向の表面上または元の表面上に形成される。誘電キャップ333(酸化膜層および窒化膜層の複合物など)は、ゲート導電層332にわたって存在する。さらに、酸化膜層341および窒化膜層342の複合物を含み得るスペーサ34は、ゲート構造33の側壁を被覆するために使用される。シリコン基板にトレンチが形成され、ソース領域55およびドレイン領域56の全部または少なくとも一部が、それぞれ、対応トレンチに配置される。MOSトランジスタ52におけるソース(またはドレイン)領域は、N+領域または他の適切なドーピングプロファイル領域(P-領域とP+領域との漸進的または段階的な変化など)を含んでもよい。
さらに、局所絶縁48(窒化材料または他の高K誘電材料など)は、1つのトレンチに配置され、ソース領域の下に位置決めされ、他の局所絶縁48は他のトレンチに配置され、ドレイン領域の下に位置決めされる。そのような局所絶縁48は、シリコン基板の水平シリコン表面(水平シリコン表面:HSS)の下方にあり、シリコン基板への局所絶縁(localized isolation into silicon substrate:LISS)48と呼ばれ得る。LISS48は、厚い窒化膜層または誘電層の複合物であり得る。例えば、局所絶縁またはLISS48は、トレンチの少なくとも部分的側壁を被覆する酸化膜層481と、トレンチの少なくとも部分的底壁を被覆する他の酸化膜層482とを含む複合局所絶縁を備え得る。酸化膜層481および482は、熱酸化プロセスによって形成されるL字型酸化膜層でもよい。
複合局所絶縁48は、酸化膜層482および/または酸化膜層481にわたって存在する窒化膜層483をさらに含み得る。シャロートレンチアイソレーション(STI)領域は、STI-1層491およびSTI-2層492を含む複合STI49を含んでもよく、STI-1層491およびSTI-2層492は、それぞれ、異なるプロセスによって厚い酸化膜材料から形成され得る。
さらに、ソース(またはドレイン)領域は、複合ソース領域55および/またはドレイン領域56を含み得る。例えば、NMOSトランジスタ52において、複合ソース領域55(またはドレイン領域56)は、トレンチにおいて、少なくとも低濃度ドープドレイン(LDD)551およびN+高濃度ドープ領域552を含む。特に、低濃度ドープドレイン(LDD)551が、均一な(110)結晶配向を有する露出シリコン表面に対して当接することに留意されたい。露出シリコン表面は、ゲート構造の縁部とは対照的に、その垂直境界が適切な窪んだ厚さを有する。露出シリコン表面は、ゲート構造とほぼ整列している。露出シリコン表面は、トランジスタのチャネルの端面であり得る。
複合ソース領域55またはドレイン領域56の新規に形成された結晶の結晶構造の変化(110)に対してシーディング効果がないLISS領域を横切って適切に組織された(110)新規の格子を形成するように結晶種として使用される露出TEC領域からシリコンを成長させるために、選択的エピタキシャル成長(SEG)法(または、原子層成長法ALDまたは選択的成長ALD-SALDでもよい他の適切な技術)に基づいて、低濃度ドープドレイン(LDD)551およびN+高濃度ドープ領域552が形成され得る。そのような新規に形成された結晶(低濃度ドープドレイン(LDD)551およびN+高濃度ドープ領域552を含む)は、TEC-Siと呼ばれる場合がある。
一実施形態では、TECは、ゲート構造33の縁部と整列またはほぼ整列しており、LDD551の長さは調節可能であり、TECに対向するLDD551の側壁は、スペーサ34の側壁と整列またはほぼ整列し得る。複合ソース(またはドレイン)領域は、ソース/ドレイン領域全体を完成させるためにTEC-Si部分に水平方向の接続で形成された、いくつかのタングステン(または、チタン/タングステンなど、他の適切な金属材料)プラグ553をさらに備えてもよい。金属-1層などの将来的な金属相互接続に流れるアクティブチャネル電流は、LDD551およびN+高濃度ドープ領域552を通って、従来のシリコン-金属コンタクトよりも大幅に低い抵抗を有する何らかの良好な金属-金属オーミックコンタクトによって金属-1に直接接続されたタングステン553(または他の金属材料)へ流される。
NMOSトランジスタ52のソース/ドレイン接触抵抗は、ソース/ドレイン構造において利用される融合金属半導体接合の構造にしたがって適度な範囲に抑えることが可能である。このソース/ドレイン構造における融合金属半導体接合は、電流集中効果を改善し、接触抵抗を低減できる。加えて、底部酸化物(酸化膜層482)に起因して、ソース/ドレイン構造の底面が基板から分離されるため、n+からn+またはp+からp+の絶縁を適度な範囲に抑えることができる。したがって、PMOSトランジスタの2つの隣り合った活性領域(図示せず)の間隔は、2λまで縮小され得る。上記の底部酸化物(酸化膜層482)は、ソース/ドレイン接合での漏洩電流を大幅に減少させることができ、したがってn+からn+またはp+からp+の漏洩電流を減少させる。
n+/p接合点からpウェル(またはp基板)/nウェル接合点を通ってn/p+接合点に至る経路が大幅に長くなる。図8(b)に示すように、LDD-n/p接合点からPウェル/Nウェル接合点を通ってn/LDD-p接合点に至るラッチアップの可能性のある経路は、図8(b)に示した長さ丸1、長さ丸2(1つのLISS領域の底壁の長さ)、長さ丸3、長さ丸4、長さ丸5、長さ丸6、長さ丸7(別のLISS領域の底壁の長さ)、および長さ丸8である(「丸X」は、文字「X」を丸で囲んだ囲み文字を指す)。このようなラッチアップの可能性のある経路は、従来のCMOS構造よりも長い。したがって、デバイスレイアウトの観点からは、PMOSトランジスタ52とNMOSトランジスタ51との間に確保された縁間距離(X+X)は、従来のCMOS構造より小さくすることが可能である。例えば、確保された縁間距離(X+X)は2~4λ程度、例えば3λ程度になり得る。
さらに、選択的に成長したソース/ドレイン領域が複合STI49によって閉じ込められて複合STI49上に存在しないように、複合STI49が隆起される(STI-2層492が元の半導体表面より高く、さらにゲート構造の上面までの高さなど)ことも可能である。金属接触プラグ(タングステンプラグ553など)は、別の接触マスクを使用してコンタクトホールを創製せずに、複合STI49とゲート構造との間のホールに堆積され得る。さらに、高濃度ドープ領域552の上面および一側壁は、金属接触プラグに直接接触され、ソース/ドレイン領域の接触抵抗は劇的に低減され得る。
さらに、従来の設計では、高レベル電圧Vddおよび低レベル電圧Vss(または接地)のための金属線は、シリコン基板の元のシリコン表面上に配線され、それらの金属線間に十分な空間がない場合、そのような配線は、他の金属線に干渉する。本発明は、高レベル電圧Vddおよび/または低レベル電圧Vssのための金属線をシリコン基板の元のシリコン表面の下に配することができる新規のスタンダードセルまたはSRAMセルをさらに開示しており、よって、スタンダードセルのサイズを縮小しても、コンタクトサイズ間の干渉、高レベル電圧Vddおよび低レベル電圧Vssを接続する金属線のレイアウト間の干渉などは回避することができる。
例えば、NMOS51のドレイン領域では、タングステンまたは他の金属材料553は、Vddに電気的に結合されたpウェルに直接結合されている(LISS48を除くことによる)。同様に、NMOS51のソース領域では、タングステンまたは他の金属材料553は、接地と電気的に結合しているpウェルまたはp基板と直接結合されている(LISS48を除くことによる)。よって、Vddまたは接地接続のために、元はソース/ドレイン領域と金属-2層(M2)または金属-3層(M3)との電気的結合に使用されるソース/ドレイン領域用の開口部は、新規のスタンダードセルおよびスタンダードセルでは省略されてもよい。
まとめると、少なくとも以下の利点がある。
(1)組み込まれた米国特許出願第17/138,918号で示されるように、スタンダードセル/SRAMにおけるトランジスタのソース、ドレインおよびゲートの長さ寸法が精密に制御され、その長さ寸法は最小フィーチャーサイズであるラムダ(λ)程度に小さいことが可能である。したがって、2つの隣り合ったトランジスタがドレイン/ソースを介してともに接続された場合、トランジスタの長さ寸法は、3λ程度に小さくなり、2つの隣り合ったトランジスタのゲートの縁部間の距離が2λ程度に小さくてもよい。当然ながら、耐性を目的とした場合、トランジスタの長さ寸法は、およそ3λ~6λ以上となり、2つの隣り合ったトランジスタのゲートの縁部間の距離は、8λ以上でもよい。
(2)M1の接続のために従来のコンタクトホール開口マスクおよび/または金属-0の移行層を用いることなく、第1の金属相互接続(M1層)が、自己整合の微細化されたコンタクトを介して、ゲート、ソース、および/またはドレイン領域を直接接続するようになる。
(3)Gate領域および/またはDiffusion(ソース/ドレイン)領域が、金属-1(M1)層を接続せずに金属-2(M2)相互接続層に自己整合で直接接続されている。したがって、一方の金属-1(M1)相互接続層と他方の金属-1(M1)相互接続層との間の必要な空間と、いくつかの配線接続における阻止問題とが削減される。さらに、同一の構造が導体柱によって上部金属層に直接接続される下部金属層に対して適用され得るが、この導体柱は、下部金属層と上部金属層との間の任意の中間金属層に電気的に接続されない。
(4)スタンダードセルにおける高レベル電圧VDD、および/または低レベル電圧VSSのための金属線がシリコン基板の元のシリコン表面の下に配線されてもよく、それによって、コンタクトのサイズ間の干渉、高レベル電圧Vdd、および低レベル電圧Vssを接続する金属線のレイアウト間の干渉などが、スタンダードセルのサイズが縮小されても回避され得る。さらに、Vddまたは接地接続のために、元はソース/ドレイン領域と金属-2層(M2)または金属-3層(M3)との電気的結合に使用されるソース/ドレイン領域用の開口部が、新規のスタンダードセルおよびスタンダードセルでは省略されてもよい。
上記に基づいて、図9(a)は、SRAMビットセルサイズ(λに換算)が、3社の異なる企業および本発明から異なるテクノロジーノードにわたって観察され得ることを示す図である。図9(b)は、本発明によって提供される新規のスタンダードセルの面積サイズと、様々な他の企業によって提供される従来の製品の面積サイズとの比較結果を示す図である。図9(a)に示すように、新規の提案されるSRAMセル(本発明)の面積はおよそ100λであることが可能で、図3に示す従来の5nmのSRAMセル(異なる3社による)の面積のほぼ8分の1(1/8)である。さらに、図9(b)に示すように、新規の提案されるスタンダードセルの面積(例えば、インバータセルは200λ程度に小さくてもよい)は、図5に示す従来の5nmのスタンダードセルの面積のおよそ1/3.5である。
したがって、ダイの元の基本回路が面積を2~3倍以上縮小できるように、そのモノリシックダイ設計における統合スケーリングおよび/またはストレッチングプラットフォーム(ISSP)のイノベーションが、提案される技術(新規トランジスタ、トランジスタへの相互接続、SRAMセル、およびスタンダードセルの設計など)の任意の組み合わせによる集積システムを提供するために提案される。
別の見方をすれば、より多くのSRAMまたはより多くの主要な異なる機能ブロック(CPUまたはGPU)が、単一のモノリシックダイの元のサイズで形成され得る。したがって、集積システムを製造するためのテクノロジーノードを縮小せずに、同一サイズを有する従来の集積システムと比較すると、集積システム(例えばAIチップまたはSOC)のデバイス密度および計算性能が大幅に増加されることが可能である。
5nmテクノロジープロセスノードを例にとると、図9(a)に示すように、CMOS6TのSRAMセルサイズを約100F(Fはシリコンウェーハ上で作られる最小フィーチャーサイズ)に縮小することが可能である。つまり、F=5nmとすると、最先端のセル面積が論文に基づくと約800F(すなわち、~8倍縮小)であるのに対して、SRAMセルが約2500nmを占有できる。さらに、8フィンガーCMOSインバータ(図4(a)および図4(b)に示す)は、公開されている従来のCMOSインバータの700F(図9(b)の5nmプロセスノードの場合)より大きいダイ面積に対して、本発明に基づくと200Fのダイ面積を占有することになる。
すなわち、単一のモノリシックダイが、テクノロジープロセスノードに基づくダイ面積(Ynmなど)を占有する回路(SRAM回路、論理回路、SRAM+論理回路の組み合わせ、または主要な機能ブロック回路CPU、GPU、FPGAなど)を有する場合、本発明の助けにより、モノリシックダイが、依然として同じテクノロジープロセスノードによって製造されても、同じ基本回路を有するモノリシックダイの総面積は縮小することができる。さらに、モノリシックダイで同じ基本回路が占める新規のダイ面積は、元のダイ面積よりも小さくなり、例えば、Ynmの20%~80%(または30%~70%)となる。
例えば、図10は、従来の集積システムと比較した場合の、本発明の統合スケーリングおよびストレッチングプラットフォーム(ISSP)に基づく集積システム1000を示す図である。図10に示すように、ISSP集積システム1000および従来のシステム1010は、少なくとも1つの処理ユニット/回路または主要な機能ブロック(例えば、論理回路1011AおよびSRAM回路1011B)とパッド領域1011Cとを有する少なくとも1つの単一のモノリシックダイ1011を備えており、本発明のISSPによって提供される集積システム1000は、さらに、論理回路1001A、SRAM回路1011B、およびパッド領域1001Cを有する少なくとも1つの単一のモノリシックダイ1001を備える。従来のシステム1010とISSP集積システム1000との間でモノリシックダイ1011および1001の構成を比較すると、従来の性能(モノリシックダイ1001)を劣化させることなく、本発明のISSPは、集積システムのサイズを縮小できる、または同じスキャナ最大露光領域面積(モノリシックダイ1001’)内でより多くの装置を追加できる、のいずれかが可能であることが示され得る。
ISSP集積システム1000のサイズを縮小する一見解によれば、図10の中間部分に示すように、ISSP集積システム1000の単一のモノリシックダイ1001は、従来のモノリシックダイ1011と同一の回路または主要な機能ブロックを有し(すなわち、単一のモノリシックダイ1001の論理回路1001AおよびSRAM回路1010Bは、単一のモノリシックダイ1011の論理回路1011AおよびSRAM回路1011Bと同一である)、単一のモノリシックダイ1001は、従来のモノリシックダイ1011のスキャナ最大露光領域面積の20%~80%(または30%~70%)のみを占有する。
一実施形態では、単一のモノリシックダイ1001におけるSRAM回路1001Bと論理回路1001Aとの結合面積は、従来のモノリシックダイ1011の面積の3.4倍だけ面積を縮小する。換言すれば、従来のモノリシックダイ1011と比較すると、本発明のISSPは、単一のモノリシックダイ1001の論理回路1001Aの面積を5.3倍だけ縮小することにつながり、単一のモノリシックダイ1001のSRAM回路1001Bの面積を5.3倍だけ縮小することにつながり、単一のモノリシックダイ1001のSRAM回路1001Bおよび論理回路1001Aの結合面積が3.4倍だけ縮小することにつながり得る(図10の中間部分に示す通り)。
図10の右側に示すように、より多くの装置を追加する別の見解によれば、単一のモノリシックダイ1001’および従来のモノリシックダイ1011は、同一のスキャナ最大露光領域面積を有する。すなわち、単一のモノリシックダイ1001’は、従来のモノリシックダイ1011と同一のテクノロジーノード(例えば、5nmまたは7nm)に基づいて作製されており、単一のモノリシックダイ1001’のSRAM回路1001B’の面積は、より多くのSRAMセルを含み得るだけでなく、従来のモノリシックダイ1011にはない追加の主要な機能ブロックを含み得る。本開示の別の実施形態では、単一のモノリシックダイ1001’のダイ面積(図10の右側に示す通り)は、特定のテクノロジープロセスノードによって定義される従来の単一のモノリシックダイ1011のスキャナ最大露光領域面積(SMFA)と類似、またはほぼ同一であり得る。すなわち、本発明のISSPに基づくと、スキャナ最大露光領域面積(SMFA)では、従来のモノリシックダイ1011に含まれるもの(論理回路1011AおよびSRAM回路1001B)以外の追加のSRAMセルまたは追加の主要な機能ブロックを収容するための追加空間が存在する。
図11(a)は、本開示の別のISSP集積システム1100を示す図である。ISSP集積システム1100は、SMFAのサイズを有する少なくとも1つのモノリシックダイ1101を備える。モノリシックダイ1101は、処理ユニット/回路(例えば、XPU1101A)、SRAMキャッシュ(高レベルのキャッシュおよび低レベルのキャッシュを含む)、ならびに入出力回路1101Bを備える。SRAMキャッシュのそれぞれは、SRAMアレイのセットを備える。入出力回路1101Bは、複数のSRAMキャッシュおよび/またはXPU1101Aに電気的に接続される。
本実施形態では、ISSP集積システム1100のモノリシックダイ1101は、共通してSRAMで形成される異なるレベルのキャッシュL1、L2およびL3を含む。キャッシュL1およびL2(「低レベルのキャッシュ」と総称する)は、通常、CPUまたはGPUのコアユニットごとに1つずつ割り当てられ、キャッシュL1が命令とデータとをそれぞれ格納するために使用するL1iとL1dとに分割され、キャッシュL2が命令とデータとの間を区別せず、キャッシュL3(「高レベルのキャッシュ」のうちの1つでもよい)も、複数のコアで共有され、通常は命令とデータとを区別しない。キャッシュL1/L2は、通常、CPUまたはGPUのコアごとに1つである。
したがって、高速動作のために、本開示のISSPに基づくと、モノリシックダイ1101のダイ面積は、特定のテクノロジープロセスノードによって定義されるスキャナ最大露光領域面積(SMFA)と同一またはほぼ同一であり得る。ただし、ISSP集積システム1100のキャッシュL1/L2(低レベルのキャッシュ)およびキャッシュL3(高レベルのキャッシュ)の記憶ボリュームは、増加され得る。図11(a)に示すように、複数のコアを有するGPUは、高レベルのキャッシュが64MB以上(128、256、512MBなど)のSRAMを有し得るSMFA(26mm×33mm、または858mmなど)を有する。さらに、GPUの追加論理コアは、性能を向上させるために同一のSMFAに挿入され得る。別の実施形態では、広帯域幅の入出力1101B内のメモリコントローラ(図示せず)も同様である。
もしくは、既存の主要な機能ブロック以外に、FPGAなどの別の異なる主要な機能ブロックがともに同一のモノリシックダイに集積可能である。図11(b)は、本開示の他の実施形態による、ISSP集積システム1100’の単一のモノリシックダイ1101’を示す図である。本実施形態では、モノリシックダイ1101’が、少なくとも1つの広帯域幅入出力1101B’と、XPU1101A’およびYPU1101Cなどの複数の処理ユニット/回路とを備える。処理ユニット(XPU1101A’およびYPU1101C)は主要な機能ブロックを有し、そのそれぞれが、NPU、GPU、CPU、FPGA、またはTPU(テンソル処理ユニット)の役割を果たし得る。XPU1101A’の主要な機能ブロックは、YPU1101Cのものとは異なり得る。
例えば、ISSP集積システム1100’のXPU1101A’はCPUの役割を果たしてもよく、ISSP集積システム1100’のYPU1101CがGPUの役割を果たしてもよい。XPU1101A’およびYPU1101Cのそれぞれは複数の論理コアを有し、各コアは、低レベルのキャッシュ(512Kまたは1M/128Kビットを有するキャッシュL1/L2など)と、XPU1101A’およびYPU1101Cによって共有される高ボリュームの高レベルのキャッシュ(例えば32MB、64MB、またはそれ以上を有するキャッシュL3)とを有し、これらの3つのレベルのキャッシュは、それぞれ複数のSRAMアレイを備える。
AI学習のためにCPUがますます重要になっているという事実のため、FPGAは、相互に作用するロジックのブロックを持ち、エンジニアが特定のアルゴリズムを支援するために設計することができ、AIの推論に適している。したがって、本開示のいくつかの実施形態では、単一のモノリシックダイ1101’’を有するISSP集積システム1100’’は、図11(c)に示すように、GPUおよびFPGAを備え得る。モノリシックダイ1101’’のXPU1101A’’がGPUまたはCPUであり、モノリシックダイ1101’’のYPU1101C’がFPGAであることを除いて、図11(c)のモノリシックダイ1101’’の構成は、図11(b)のモノリシックダイ1101’のものと類似している。このアプローチにより、一方でモノリシックダイ1101’’が優れた並列計算、訓練速度、および効率を有し、また他方で市場投入までの時間が短く、低コストで、柔軟性が高い優れたAI推論能力も有している。
加えて、図11(c)に示すように、処理ユニット/回路(すなわち、XPU1101A’’およびYPU1101C’)は、高レベルのキャッシュ(例えば、キャッシュL3)を共有する。この場合、別のモードレジスタ(図示せず)での設定によって、またはモノリシックダイ1101’’の動作中に適応的に構成可能とすることによって、のいずれかで、XPU1101A’’とYPU1101C’との間で共有される高レベルのキャッシュ(キャッシュL3など)が構成可能である。例えば、一実施形態では、モードレジスタを設定することにより、高レベルのキャッシュの1/3がXPU1101A’’によって使用され、高レベルのキャッシュの2/3がYPU1101C’によって使用されることができる。XPU1101A’’またはYPU1101C’のための高レベルのキャッシュ(例えば、キャッシュL3)の上記のような共有のボリュームはまた、集積システム1100’’を形成するために統合スケーリングおよび/またはストレッチングプラットフォーム(ISSP)の動作に基づいて劇的に変更することもできる。
図11(d)は、本開示のさらなる他の実施形態による、ISSP集積システム1100’’’の単一のモノリシックダイ1101’’’を示す図である。図11(d)のモノリシックダイ1101’’’の構成は、高レベルのキャッシュがキャッシュL3およびキャッシュL4を含むことを除いて、図11(b)のモノリシックダイ1101’のものと類似しており、処理ユニット/回路(XPU1101A’’’およびYPU1101C’’など)のそれぞれは、それ自体のコアに共有されるキャッシュL3を有し、32MB以上を有するキャッシュL4は、XPUおよびYPUによって共有される。
本開示のいくつかの実施形態では、本発明によるSRAMセル設計の面積が小さいため、容量がやや大きい共有SRAM(または内蔵SRAM、「eSRAM」)を1つのモノリシック(単一)ダイに設計することができることである。大記憶ボリュームのeSRAMが使用可能であるため、従来の内蔵DRAMまたは外部DRAMと比較した場合に、より高速で効果的である。そのため、スキャナ最大露光領域面積(SMFA、26mm×33mm、または858mm2など)と同一またはほぼ同一(80%~99%など)のダイサイズを有する単一のモノリシックダイ内に高帯域幅/大記憶ボリュームのSRAMを有することが適切かつ可能である。
したがって、本開示の統合スケーリングおよび/またはストレッチングプラットフォーム(ISSP)によって提供される集積システム1200は、少なくとも2つの単一のモノリシックダイを備えてもよく、それらの2つのモノリシックダイは同一またはほぼ同一のサイズを有してもよい。例えば、図12(a)は、本開示のさらに他の実施形態による別のISSP集積システム1200を従来のISSP集積システム1210と比較して示す図である。ISSP集積システム1200は、単一のパッケージ内に単一のモノリシックダイ1201および単一のモノリシックダイ1202を備える。単一のモノリシックダイ1201は、主として、論理処理ユニット回路と、そこに形成された低レベルのキャッシュとを有し、第2のモノリシックダイ1202は、複数のSRAMアレイと、そこに形成された入出力回路とのみを有する。この場合、複数のSRAMアレイが、2G~10Gバイトなど、少なくとも2~20Gバイトを含む。
図12(a)に示すように、単一のモノリシックダイ1201は、主として、論理回路および入出力回路1201A、ならびにSRAMアレイ1201Bからなる小型の低レベルのキャッシュ(L1およびL2キャッシュなど)を備えており、単一のモノリシックダイ1202は、単に、2~10Gバイト以上(1~20Gバイトなど)を有する高帯域幅SRAM回路1202Bおよび高帯域幅SRAM回路1202B用の入出力回路1202Aのみを備える。本実施形態では、単一のモノリシックダイ1201および単一のモノリシックダイ1202のSMFAは、およそ26mm×33mmでもよい。単一のモノリシックダイ1202のSMFAの50%(50%のSRAMセル使用率)が、高帯域幅SRAM回路1202BのSRAMセルのために使用され、SMFAの残りが、高帯域幅SRAM回路1202Bの入出力回路のために使用されることを想定する。
図12(b)は、本発明の集積システム1200と異なるテクノロジーノードに基づく3社の半導体製造工場の集積システムとのSRAMセル面積の比較結果を示す図である。ある単一のモノリシックダイ(例えば単一のモノリシックダイ1202)の26mm×33mmのSMFA内の総バイト数(SRAMセル毎に1ビット)は、図12(b)に示すようなSRAMセル面積を参照することにより推定可能である。例えば、本実施形態では、単一のモノリシックダイ1202のSMFA(26mm×33mm)が、5nmのテクノロジーノードにおける21GBのSRAM(SRAMセル面積は0.0025μM)を収容でき、SRAMセル使用率が増加され得る場合に24GB以上を実現し得る。
図12(b)によれば、従来のSRAMセル面積(3社の半導体製造工場による)は、本発明のSRAMセル面積の2~8倍となる場合があり、したがってISSP集積システム1200が26mm×33mmのSMFA内の先行技術のものよりも多いバイト(SRAMセル毎に1ビット)を収容できる。異なるテクノロジーノードに基づく26mm×33mmのSMFA内の総バイト数(SRAMセル毎に1ビット)を以下の表1に示す。
当然ながら、本明細書で提案される異なる技術および従来のバックエンドオブライン技術の選択的使用を考慮すると、単一のモノリシックダイ1202のSMFA(26mm×33mm)は、上記表1では異なるテクノロジーノードのSRAMサイズの1/4~3/4倍など、より小さいボリュームのSRAMを収容し得る。例えば、単一のモノリシックダイ1202は、本明細書で提案される異なる技術および従来のバックエンドオブライン技術の選択的使用のため、およそ2~15GB(例えば、5~15GBまたは2.5GB~7.5GBのSRAMなど)を収容し得る。
図13(a)は、本発明の形態による、他のISSP集積システム1300の単一のモノリシックダイ1301を示す図である。本実施形態の単一のモノリシックダイ1301が広帯域幅入出力回路1301Aと、両方が複数のコアを有するXPU1301BおよびYPU1301Cなどの2つ以上の主要な機能ブロックとを備える高性能コンピューティング(HPC)のモノリシックダイであることが可能であり、XPU1301BおよびYPU1301Cのそれぞれのコアが、それ自体のキャッシュL1および/またはキャッシュL2(L1:128KB、およびL2:512KB~1MB)を有することを除いて、単一のモノリシックダイ1301の構成は、図12(a)の単一のモノリシックダイ1201の構成と類似している。図13(a)のXPU1301BまたはYPU1301Cの主要な機能ブロックは、それぞれが主要な機能ブロックを有するNPU、GPU、CPU、FPGA、またはTPU(テンソル処理ユニット)であり得る。XPU1301BまたはYPU1301Cは、異なる主要な機能ブロックを有してもよい。
図13(b)は、ISSP集積システム1300の単一のモノリシックダイ1302を示す図である。単一のモノリシックダイ1302が高帯域幅SRAM(HBSRAM)であることを除いて、単一のモノリシックダイ1302の構成は、図12(a)の単一のモノリシックダイ1202の構成と類似している。本実施形態では、単一のモノリシックダイ1302は、最先端のSMFAと同一のSMFAを有し(またはおよそ80~99%の領域を有し)、複数のSRAMアレイを有するキャッシュL3および/またはL4と、SRAM入出力回路1302Aのための広帯域幅1302B入出力を有するSRAM入出力回路1302Aとのみを備える。単一のモノリシックダイ1302における総SRAMは、SRAMセルの使用率に応じて、2~5GB、5~10GB、10~15GB、15~20GB、またはそれ以上であり得る。このような単一のモノリシックダイ1302は、高帯域幅SRAM(HBSRAM)であり得る。
図13(a)および図13(b)に示すように、単一のモノリシックダイ1301および単一のモノリシックダイ1302のそれぞれは、例えば64ビット、128ビット、または256ビットのデータバスなど、広帯域幅入出力バスを有する。単一のモノリシックダイ1301および単一のモノリシックダイ1302は、同一のICパッケージまたは異なるICパッケージ中に存在し得る。例えば、いくつかの実施形態では、単一のモノリシックダイ1301(例えば、HPCダイ)は、(例えば、ワイヤボンディング、フリップチップボンディング、はんだボンディング、2.5Dインターポーザシリコン貫通電極(TSV)ボンディング、3D銅マイクロ柱ダイレクトボンディングによって)単一のモノリシックダイ1302に接合され、図14に示すように集積システム1400を形成するために単一のパッケージに封入され得る。本実施形態では、単一のモノリシックダイ1301および単一のモノリシックダイ1302の両方が同一またはほぼ同一のSMFAを有し、したがって上記のようなボンディングは、少なくとも単一のモノリシックダイ1301を有する(または複数のダイを有する)ウェーハ14Aを、少なくとも単一のモノリシックダイ1302を有する(または複数のダイを有する)他のウェーハ14Bに直接接合することによって完了されてもよく、その後、本開示のISSPによって提供される集積システム1400を形成するために、接合されたウェーハ14Aおよび14Bを複数のSMFAブロックにスライスする。TSVを有する他のインターポーザが、単一のモノリシックダイ1301と単一のモノリシックダイ1302との間に挿入され得ることも可能である。
図15は、本開示による別のISSP集積システム1500を示す図である。集積システム1500は、ともに接合された2つ以上の単一のモノリシックダイ1302(図13(b)に示すような2つのHBSRAMダイ)を備えており、その2つの単一のモノリシックダイ1302のうちの一方は、その後、単一のモノリシックダイ1301(例えば、図13(a)に示すようなHPCダイ)に接合され、その後、3つ以上のダイの全てが単一のパッケージに封入される。そのため、そのようなパッケージは、HPCダイと、42、48、または96GBより多いHBSRAMとを含み得る。当然ながら、それらの2つ以上の単一のモノリシックダイ1302と、広帯域幅入出力バスを有する単一のモノリシックダイ1301とは、垂直に積層され、最先端のボンディング技術に基づいてともに接合され得る。
当然ながら、3個、4個、またはそれ以上のHBSRAMダイが集積システム1500の単一のパッケージに集積可能であり、したがって、集積システム1500におけるキャッシュL3およびL4は、128GBまたは256GBよりも多いSRAMであり得る。本開示のいくつかの実施形態では、集積システム1500の単一のモノリシックダイ1301および1302は、同一のICパッケージに封入され得る。
12個のDRAMチップのスタックに基づいておよそ24GBを含む現在利用可能なHBM DRAMメモリと比較すると、本発明は、HBM3メモリを、より多くのHBSRAM(およそ5~10GBまたは15~20GBを有する1つのHBSRAMチップなど)と置き換えることができる。したがって、ISSPにおいて、HMBメモリが必要ない、または少数のHBMメモリ(4GBまたは8GB未満のHBMなど)しか必要としない。
本発明の統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供される集積システムの用途は上記に限定されず、ISSPは、DRAMデュアルインラインメモリモジュール(DRAM DIMM)を有するラックサーバなどのDRAMセル構造を用いて集積システムを形成するためにも適用可能である。
現在では、ラックサーバは、一般的にデータセンタおよびクラウドコンピューティングアプリケーションのために使用される。各ラックサーバは、1つまたは2つの上層サーバプロセッサと、DRAM DIMMを挿入するための4~8個のメモリスロットとを備え得る。図16に示すような、AMD(登録商標)第3世代EPYC(登録商標)プロセッサなどの従来のハイエンドサーバプロセッサ1600は、64個までの処理コアと他の回路を備えることができ(例えばセキュリティ回路、通信回路を有する入出力ダイ)、PCB基板1610上に搭載された後に、金属シールドケース1611によって密閉される9個のパッケージ化IC(8~64個のコア1601~1608を有する8個の処理チップと、入出力、セキュリティ、通信回路1609を有する1つの論理ダイ)が存在する。上層サーバプロセッサ1600の各コアは、対応する32MBのL3キャッシュを有し得る。
ただし、ラックサーバのマザーボード上のサーバプロセッサ1600とDIMMスロットとの間の距離は3~10cmでもよく、このサーバプロセッサの動作頻度は、3.5G~4GHzまででもよく、DDR5の動作頻度は4.8GHzまででもよい。したがって、このようなラックサーバにおける信号伝搬歪とEMI問題とは、常に難しい問題である。
この問題は、ラックサーバを形成するために図12(a)および図13(a)~図13(b)で先述したように本発明の統合スケーリングおよびストレッチングプラットフォーム(ISSP)を適用することによって解決可能であり、2~20GB(2~4GB、5GB~10GB、15~20GBなど)またはそれ以上を有する高帯域幅SRAMを備える単一のモノリシックダイは、上記の開示にしたがって利用可能であり得る。論理回路(XPUおよびYPU、または32個もしくは64個より多いコアなど)、入出力回路、および少数のL1およびL2レベルのキャッシュを備える他の単一のモノリシックダイも同様に利用可能である。例えば、図17は、本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるサーバプロセッサ(例えばラックサーバ)1700を示す概略図である。
本実施形態では、単一のモノリシックダイ1701は、それぞれが16または32個のコア(それぞれがL1/L2キャッシュを有する)を備え得る処理チップ17011および17012を備えており、上層サーバプロセッサ1600に元は配置されていた他の回路17013(例えば入出力回路、セキュリティ回路、通信回路)も、単一のモノリシックダイ1701に集積されることが可能であり、上層サーバプロセッサ1600に元は配置されていた2~5GB(または5~10GBまたは10GB~15GB)のL3/L4SRAMキャッシュが第2の単一のモノリシックダイ1702に集積されることが可能である。
それによって、最新のサーバプロセッサ(AMD(登録商標)第3世代EPYC(登録商標)プロセッサ)1600に元は配置された9個の分離したパッケージ化ICが、本発明によって提供されるISSPに基づいた2つの分離したモノリシックダイ1701および1702に移されてもよく、図17に示すように、一方の単一のモノリシックダイ1701は32~64個の処理コアと、L1/L2SRAMキャッシュと、他の回路(例えば、入出力回路、セキュリティ回路、通信回路)とを有しており、モノリシックダイ1702は2~5GB(または5~10GBまたは10GB~15GB)またはそれ以上のL3/L4SRAMキャッシュを有する。
さらに、本発明の統合スケーリングおよびストレッチングプラットフォーム(ISSP)に基づく、その面積が4~6λまたは4-10λ程度に小さくてもよい新規のDRAMセル構造(「Mセル1800」)が開示される。図18(a)~図18(f)は、本開示の一実施形態によるMセル1800を作製するための一連の処理構造を示す断面図である。Mセル1800の形成は、以下のステップを含む。
最初に、複数のアクセストランジスタAQ1、AQ2およびAQ3のワード線およびゲート構造(高kの絶縁層1304およびゲート材料1306を含む)が、基板202の水平シリコン表面(以下「HSS」)のU字型の窪みにおいて形成される。図18(a)に示すように、交差点の正方形で露出される水平半導体表面(HSS)または元の半導体表面(OSS)は、異方性エッチング技術によってエッチングされて、窪み(U字型など)を形成し、このU字型窪みは、上記アクセストランジスタのU字型チャネル1312用であり、例えば、U字型窪みの垂直深さは、HSSからおよそ60nmであり得る。アクセストランジスタのU字型窪みが露出されているため、チャネルドープ設計が、後続の高k金属ゲート構造形成後のアクセストランジスタの所望の閾値電圧のためにU字型窪みのU字型チャネル1312に注入する、ある程度適切に設計されたホウ素(p型ドーパント)濃度によって実現可能である。
適切な高K絶縁層1304が、アクセストランジスタのゲート誘電層として形成され、高K絶縁層1304の2つの縁部の最上部はHSSより高くなり得る。その後、ワード線コンダクタンスに対して適切であり、アクセストランジスタがより低い閾値電圧を有するための目標仕事関数性能を実現できる、適切なゲート材料1306を選択する(適切なゲート材料1306を選択する目的は、昇圧されたワード線電圧レベルを可能な限り低くなるように下げながらも、コンデンサへの十分な充電量の回復を完了する際に、さらに他方で信号感知のためにより高速な電荷転送を促進する際に十分なデバイス駆動を提供することである)。
ゲート材料1306は、2つの隣り合った長手方向の縞(酸化膜-3層1102および窒化膜-2層1104)間のU字型窪みを埋める程度の厚さである。その後、ゲート材料1306がエッチバックされて、2つの隣り合った長手方向の縞(酸化膜-3層1102および窒化膜-2層1104)間に挟まれた長手方向(Y方向)のワード線が結果として得られる。新規で提案される、U字型チャネル1302を有するアクセストランジスタ(以下U型トランジスタと呼ばれる)は、最先端の埋め込みワード線設計で一般的に使用されるリセストランジスタとは異なる。U型トランジスタは、その本体がY方向(すなわちチャネル幅方向)に沿ってCVD-STI-酸化膜2に囲まれた2つの側部を有し、そのチャネル長が、U型トランジスタのドレインに対応する側部上のU字型チャネル1312の1つの縁の深さと、U字型チャネル1312の底部の長さと、U型トランジスタのソースに対応する側部上のU字型チャネル1312のもう1つの縁部の深さとを含む。
U型トランジスタとリセストランジスタとの構造の違いのため、U型トランジスタのチャネル長は非常に良好に制御可能である。加えて、HSSが固定されているため、U型トランジスタのドレインおよびソースのドーパント濃度プロファイルは、それぞれ、どのようにU型トランジスタのドレインおよびソースを完成させるかに関して後述される際により明確に説明するように、デバイス設計パラメータのばらつきが少なく、非常に制御可能である。加えて、2つの隣り合った長手方向の縞(酸化膜-3層1102および窒化膜-2層1104)間における自己整合によってU型トランジスタのゲート構造と長手方向のワード線とを同時に形成するため、ワード線はHSSの下方になく、ワード線がHSSの下方にないことは、一般的に使用されている埋め込みワード線とは非常に異なる設計および性能パラメータを示している。加えて、ワード線(すなわちゲート材料1306)の高さは、エッチバック技術(図18(a)に示す)を使用することによって複合層(酸化膜-3層1102および窒化膜-2層1104から構成される)の高さよりも低くなるように設計される。
次に、酸化膜-7層から形成される酸化膜-7プラグは、HSS-1/3の下のソース領域の中心に形成されるホール-1/3に形成され、金属層2802から形成されるタングステンプラグは、UGBL(HSSの下方のアンダーグラウンドビット線)と接続するためにドレイン領域に形成されるホール-1/2内部に形成され、ネックレス型導電性n+シリコン3202(n+シリコンドレインカラーと呼ばれる)は、アクセストランジスタAQ1、AQ2それぞれのドレイン-1およびドレイン-2として、さらにUGBLとアクセストランジスタAQ1、AQ2との間の導電性ブリッジ(すなわちブリッジ接点)(図18(b)に示す通り)として、ホール-1/2の2つの側部のHSSに接続する。
高(elevated)ソース電極EH-1Sおよび高(elevated)ドレイン電極EH-1Dは、露出されたHSSを種として使用して選択エピタキシーシリコン成長技術によってHSSの垂直方向で上方にそれぞれ形成され、高ソース電極EH-2Sおよび高ドレイン電極EH-2Dは、高品質シリコン種(図18(c)に示す通り)としてソース電極EH-1Sおよびドレイン電極EH-1Dの露出されたシリコン表面を使用して別の選択的エピタキシャルシリコン成長プロセスを実行することによってそれぞれ形成される。
高ソース電極EH-1Sおよび高ドレイン電極EH-1Dは、露出されたHSSを種として使用することによって徐々に良好に成長するため、多結晶または非晶質のシリコン材料ではなく純粋なシリコン材料でもよい。高ソース電極EH-1Sと高ドレイン電極EH-1Dとの両方は、X方向に沿って左側壁と右側壁上でゲート構造/ワード線と酸化膜-5スペーサとによって囲まれる。Y方向に沿った他方の2つの側壁が広く開いているが、CVD-STI-酸化膜2は、選択的エピタキシャルシリコンを成長させるためのシーディング機能を提供できず、したがって選択的エピタキシーシリコン成長は、結果として純シリコン材料が何らかの横方向過成長となるはずであり、CVD-STI-酸化膜2の縁部において止まり、近傍の電極の接続を引き起こす可能性がない。加えて、高ソース電極EH-1Sまたは高ドレイン電極EH-1Dがトランジスタのチャネル領域とのより良好な電気接続を有するように、高ソース電極EH-1Sおよび高ドレイン電極EH-1Dの成長後、任意のRTA(急速な温度アニーリング)のステップが利用されて、高ソース電極EH-1Sまたは高ドレイン電極EH-1Dの下にNLDD(n+低ドープドレイン)4012を形成することができる。
高ソース電極EH-2Sおよび高ドレイン電極EH-2Dを成長させるための選択的エピタキシャルシリコン成長プロセス中に、高ソース電極EH-2S(または高ドレイン電極EH-2D)と後で形成される積層蓄積コンデンサ(SSC)の蓄積電極との間の低抵抗率接続に備えるために、適切に設計されたより高いその場のn+ドーピング濃度が高ソース電極EH-2Sおよび高ドレイン電極EH-2Dで達成可能である。高ソース電極EH-1Sおよび高ソース電極EH-2Sの組み合わせは、高ソース電極EH-1+2Sと呼ばれる(同様に、高ドレイン電極EH-1Dおよび高ドレイン電極EH-2Dの組み合わせは高ドレイン電極EH-1+2Dと呼ばれる)。加えて、高ソース電極EH-1+2Sを例にとると、高ソース電極EH-1+2Sの上部分、すなわち高ソース電極EH-2Sは、何らかの高品質を有し、一側壁および反対側の側壁上のスペーサに直接当接するn+ドープシリコン材料は、ゲート構造/ワード線に近く、他方の2つの側壁は長手方向のワード線に沿ってY方向で広く開いている。高ソース電極EH-1+2Sの高さ(高ドレイン電極EH-1+2Dの高さ)は、スペーサの高さよりも低くなるように適切に設計される。
図18(d)に示すように、酸化膜絶縁層(高品質酸化膜bb層4702の一部分)が、その後、この時点で蓄積コンデンサ用の蓄積電極の一部として使用可能なEH-1+2D電極の底部からドレイン領域を良好に絶縁するために形成される。
図18(e)に示すように、LGS-2D領域およびLGS-2S領域は、それぞれ、高ソース電極EH-2Sと高ドレイン電極EH-2Dに基づいて選択的成長法によってドレイン側とソース側に形成される。さらに、LGS-2DS領域も、LGS-2D領域とLGS-2S領域とを接続するために選択的成長法によって形成される。
次に、図18(f)に示すように、以下の記載においてどのように完成させるかが示される蓄積コンデンサ用のツインタワー状の蓄積電極(電極の2つのツインタワーであり、それぞれ、ドレイン側で高く隆起した電極をLGS-2D-タワーと呼び、ソース側の他方の高く隆起した電極をLGS-2S-タワーと呼ぶ)を創製するための種として露出LGS-2D領域および露出LGS-2S領域を使用することによって、別の選択エピタキシーシリコン成長が実行される。その後、Mセル1800(または、電極の形状がH字型のためHCoTセルと呼ぶ)は、高K誘電絶縁体と厚膜金属層(例えばタングステン)6102とを堆積し、その後、金属層6102をエッチバックするか、またはCMP法を使用して金属層6102を研磨し、結果として平坦な表面を得ることによって形成可能である。この新規発明のHCoTセルは、(蓄積コンデンサの)ツインタワー状のH字型蓄積電極が高K誘電絶縁体2 6002によって完全に囲まれており、その外部は固定電圧(例えば中間VCC)でバスが駆動される(bused)対電極板金属層(すなわち金属層6102)によって完全に被覆される。
まとめると、提案されるHCoTセルは、DRAMセルのサイズをコンパクトにするだけでなく、DRAM動作中の信号対雑音比を改善する。コンデンサがアクセストランジスタ上に配置されアクセストランジスタの大部分を包含するため、さらにはDRAMセルにおけるそれらの重要な微小構造の形状を配置および接続する垂直方向および水平方向の両方の自己整合技法を発明したことによって、新規のHCoTセルアーキテクチャは、最小物理的特性サイズが10ナノメートルよりも大幅に小さい場合でも少なくとも4~10個の四角形のユニットの利点を有し得る。Hコンデンサの面積は、HCoTセルの面積の50%~70%を占有し得る。HCoTセル構造の製造プロセスに関する詳細な説明については、2021年6月2日に出願され、「MEMORY CELL STRUCTURE」と題した米国特許出願第17/337,391号を参照してもよく、内容全体が本明細書に組み込まれている。
さらに、新規HCoTセルアーキテクチャにおけるコンデンサの金属電極は、放熱のために効率的なルートを提供し、したがって動作中のHCoTセルの温度がそれに応じて低くなる場合があり、そのような低い温度は、したがって、コンデンサからの漏洩電流と熱雑音/動作雑音との両方を低減する。加えて、金属電極は、さらに、アクセストランジスタを通過するワード線を包含し、このような包含されたワード線とシリコン表面の下に形成されたアンダーグラウンドビット線(UGBL)との組み合わせが、異なるワード線/ビット線間の相互結合雑音を効果的に遮蔽でき、それによって従来のDRAMセルアレイ動作において問題となっているパターン感度事項が劇的に低減され得る。その上、本発明のシリコン表面の下のUGBLは、ビット線の抵抗率およびキャパシタンスを柔軟に下げることができ、したがってコンデンサとビット線との間の電荷共有期間中の信号感度が改善されることができ、それによってHCoTセルの新規アーキテクチャの動作速度も同様に改善され得る。
Mセルの面積として4λを例にとると、異なるテクノロジーノードに基づく26mm×33mmのSMFA内の総バイト数(50%のDRAMセル使用率、すなわちSMFAの50%がDRAMセルのために使用され、SMFAの残りがDRAMの入出力回路のために使用されることを想定)は、本発明による新規SRAMのサイズが100λであるため、上記の表1のSRAMの総バイト数の25倍となり得る。例えば、テクノロジーノード=5nmで、26mm×33mmのSMFAは、少なくとも537GB(21.5GB×25)のDRAMを収容でき、使用率が50%を上回る場合により多く提供し得る。26mm×33mmのSMFAは、テクノロジーノード=14nmで少なくとも68.5GB(2.74GB×25)のDRAM、テクノロジーノード=10nmで134GB(5.36GB×25)のDRAM、テクノロジーノード=7nmで272.5GB(10.9GB×25)のDRAMを収容できる。それによって、64~512GB(64GB、128GB、256GB、または512GBなど)のモノリシックDRAMダイが利用可能となることができ、モノリシックDRAMダイの上部は対電極によって被覆される。当然ながら、許容範囲、ばらつき、従来のバックエンドオブライン技術を考慮すると、単一のモノリシックダイのSMFA(26mm×33mm)は、上述した異なるテクノロジーノードで1/4~1/2倍のDRAMサイズなど、MセルDRAMのより小さいボリュームを収容し得る。例えば、単一のモノリシックダイは、本明細書で提案される異なる技術および従来のバックエンドオブライン技術の選択的使用のため、およそ16~128GB(例えば、16、32、64、または128GB)または32~256GB(32、64、128、または256GBなど)を収容し得る。
図19(a)は、本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるサーバプロセッサ1900を示す概略図である。図19(b)は、図19(a)に示されるようなサーバプロセッサ1900を示す断面図である。本実施形態では、サーバプロセッサ1900は、単一のモールドパッケージに3つのモノリシックダイを備えており、1つのモノリシックダイは、論理回路(XPUおよびYPU、または32個もしくは64個を上回るコア)、入出力回路、および少数のL1およびL2レベルのキャッシュを備える単一のモノリシックダイ1901であり、もう1つのモノリシックダイは、2~15GB(例えば、5~15GBのSRAM、2.5GB~7.5GB、10GB、20GB、またはそれ以上のL3/L4キャッシュなど)を有するSRAMモノリシックダイ1902であり、残りのモノリシックダイは、16~128GB(例えば、16、32、64、または128GB)または32~256GB(例えば、32、64、128、または256GBなど)またはそれ以上を有するDRAMモノリシックダイ1903である。これらの3つのダイ1901、1902、および1903は、基板(ABF基板またはシリコンインターポーザ基板など)1911上に垂直に積層され、モールドまたはシールドコンパウンド1912によって密閉される。これらの3つのダイ1901、1902、および1903は、それぞれ、はんだバンプ1914ならびにマイクロバンプ1915および1916のうちの少なくとも1つを介して、基板1911に電気的に接続され、ボールグリッドアレイ(BGAボール)1913によって外部装置(図示せず)に電気的に接続される。DRAMモノリシックダイ1903の対電極1903aの上部の金属は、放熱のために露出され得る。
ただし、単一のモールドパッケージの構造は、この点に限定されない。例えば、図20は、本開示のさらに他の実施形態によるサーバプロセッサ2000を示す断面図である。DRAMモノリシックダイ1903の対電極1903aの上部金属が、本実施形態では、基準電圧をDRAMモノリシックダイ1903の対電極1903aに提供するだけでなく、DRAMモノリシックダイ1903のための別の放熱ルートを提示する他の上部リードフレーム2002によって被覆され得ることを除いて、サーバプロセッサ2000の構造は、図19(b)に示すようなサーバプロセッサ1900の構造と類似している。その後、モールド/シールドコンパウンド2001は、3つのダイ1901、1902、および1903を囲む。
さらに、高性能コンピューティングのために、別の基板(ABF基板またはPCB基板など)2101に取り付けられ金属シールドケース2102によって密閉された2つのISSPサーバプロセッサ(例えば、上記で示すようなサーバプロセッサ2000および2000’など)を備える新規のISSPラックサーバユニット2100が提案される。図21(a)は、本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるISSPラックサーバユニット2100を示す図である。図21(b)は、図21(a)に示されるようなラックサーバユニット2100を示す断面図である。このような新規のISSPラックサーバユニット2100は、32~512GBまたは1TBのDRAMと、4~30GBまたは40GBのSRAMとを備え得る。さらに、全DRAMがシールドコンパウンドおよび金属シールドケース2102によって密閉されるため、EMI問題が改善され得る。加えて、DRAMチップ(例えば、DRAMモノリシックダイ1903など)が、各ISSPサーバプロセッサ(例えば、サーバプロセッサ2000など)において論理チップ(例えば、単一のモノリシックダイ1901)に非常に近い(数mm)ため、信号伝搬歪がISSPラックサーバユニット2100において劇的に低減される。
ISSPラックサーバユニット2200におけるDRAM容量を増加するために、Mセル構造1800に基づく2つのモノリシックDRAMチップ2201および2202がモールド/シールドコンパウンド2205に密閉され得る。図22は、本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるISSPラックサーバユニット2200を示す図である。本実施形態では、DRAMチップ(下部チップ)2201が上下反対になり、もう1つのDRAMチップ2202(上部チップ)へ接合され(マイクロバンピングまたは銅柱バンピングによるRDLなど)、下部DRAMチップ2201は、ワイヤボンディング2204によって基板(ABF基板またはシリコンインターポーザ基板など)2203へ電気的に結合される。上部DRAMチップ2202の信号は、下部DRAMチップ2201を介して基板2203へ送信される。上部DRAMチップ2202の対電極2202aは、より良好な放熱のために露出され得る。図22に示すようなこの「デュアルDRAMパッケージ」は、32~512GB(256GB、512GBなど)または1TBの記憶容量を有し得る。
図23(a)は、本開示のさらに他の実施形態による、統合スケーリングおよびストレッチングプラットフォーム(ISSP)によって提供されるISSPラックサーバユニット2300を示す図である。図23(b)は、図23(a)に示されるようなサーバプロセッサ2300を示す断面図である。本実施形態では、大記憶容量用の他のISSPラックサーバユニット2300が提案され、上述した1つのISSPサーバプロセッサ(例えば、図19(a)に示すようなサーバプロセッサ2000)と、基板(ABF基板またはPCB基板など)2301に取り付けられ金属シールドケース2302によって密閉される上述した2つの「デュアルDRAMパッケージ」(すなわち2200および2200’)とを備える。
このような新規のISSPラックサーバユニット2300は、80~640GB(512GBなど)と、1TBまたは2TBのDRAMと、2~15GB(10GBなど)またはそれ以上のSRAMとを備え得る。さらに、全DRAMがシールドコンパウンド1912および金属シールドケース2302によって密閉されるため、EMI問題が改善され得る。加えて、ISSPサーバプロセッサ(サーバプロセッサ1900)およびデュアルDRAMパッケージ(ISSPラックサーバユニット2200)の上部が、DRAMチップの対電極(例えばDRAMモノリシックダイ1903の対電極1903aの上部金属および/または上部DRAMチップ2202の対電極2202a)によって被覆されるため、金属シールドケース2302は、より良好な放熱のために上記の対電極1903aおよび/または2202aに熱的に結合され得る(図示せず)。
ムーアの法則の成功を可能にする単一のダイ上のモノリシック集積は、特に写真印刷技術の限界により、現在限界に直面している。一方では、ダイに印刷された最小フィーチャーサイズは、その寸法を拡大縮小するのに非常にコストがかかるが、また他方では、ダイサイズがスキャナ最大露光領域面積によって制限されている。しかし、プロセッサの機能が増え、多様化していることから、モノリシックダイに集積することが困難になっている。さらに、各々の主要な機能のダイ上の小型のeSRAMと、外付けDRAMまたは内蔵DRAMとがいささか重複して存在するということは、最適化された望ましいソリューションではない。モノリシックダイまたはSOCダイにおける統合スケーリングおよび/またはストレッチングプラットフォーム(ISSP)に基づくと、以下が実現される。
(1)FPGA、TPU、NPU、CPU、またはGPUのような単一の主要な機能ブロックが大幅に小さいサイズに縮小可能である。
(2)モノリシックダイに、より多くのSRAMが形成され得る。
(3)このISSPによって小型化した、GPUおよびFPGA(または他の組み合わせ)などの2つ以上の主要な機能ブロックは、同一のモノリシックダイにおいてともに集積可能である。
(4)モノリシックダイに、より多くのレベルのキャッシュを存在させることができる。
(5)上記のようなISSPモノリシックダイは、不均一集積に基づく他のダイ(例えばeDRAM)と組み合わせることができる。
(6)L1およびL2キャッシュを有するHPCダイ1は、単一のパッケージにおけるL3およびL4キャッシュとして利用される1つまたは複数のHBSRAMダイ2に電気的に接続(ワイヤボンディングまたはフリップチップボンディングなど)可能であり、HPCダイ1およびHBSRAMダイ2のそれぞれがSMFAを有する。
(7)ISSPにおいてHMBメモリが必要ない、またはわずかなHBMメモリしか必要でない。
(8)データセンタおよびクラウドコンピューティングアプリケーションに対して、単一のモールドパッケージにおいて3つのモノリシックダイを有するISSPサーバプロセッサが提案され、1つのモノリシックダイが、論理回路(XPUおよびYPU、32または64個を上回る数のコアなど)と、入出力回路と、L1およびL2レベルの少数のSRAMキャッシュを備える単一のモノリシックダイであり、もう1つのモノリシックダイは、10GB、20GB、またはそれ以上のL3/L4キャッシュを有するSRAMモノリシックダイであり、残りのモノリシックダイは、128GB、256GB、512GB、またはそれ以上を有するDRAMモノリシックダイである。
(9)2つ以上のISSPサーバプロセッサは、高性能コンピューティングのためのISSPラックサーバユニットとして、PCB基板に取り付けられ、金属シールドケースによって密閉され得る。
(10)上述した1つのISSPサーバプロセッサおよび2つの「デュアルDRAMパッケージ」が、大記憶容量のためのISSPラックサーバユニットとして、PCB基板に取り付けられ、その後、金属シールドケースによって密閉され得る。
本発明が、例として、さらに好適な実施形態に関して説明されたが、本発明がそれに限定されないことを理解されたい。逆に、様々な修正ならびに類似の構成および手順を網羅することが意図され、したがって添付の特許請求の範囲には、そのような修正ならびに類似の構成および手順を全て包含するように最も広い解釈が与えられるべきである。
702c シリコン領域
731a 第1の導体柱部分
731b 第3の導体柱部分
732a 第2の導体柱部分
732b 第4の導体柱部分
33 ゲート構造
331 ゲート誘電層
332 ゲート導電層
333 誘電キャップ
34 スペーサ
341 酸化膜層
342 窒化膜層
48 局所絶縁
49 STI
51 NMOSトランジスタ
52 PMOSトランジスタ
55 ソース領域
56 ドレイン領域
1000 集積システム
1001A 論理回路
1001B SRAM回路
1001C パッド領域
1100 ISSP集積システム
1101 モノリシックダイ
1101A XPU
1101B 入出力回路
1101C YPU
1102 酸化膜-3層
1104 窒化膜-2層
1202 単一のモノリシックダイ
1202A 入出力回路
1202B 高帯域幅SRAM回路
1300 他のISSP集積システム
1301 単一のモノリシックダイ
1301A 広帯域幅入出力回路
1301B XPU
1301C YPU
1302 単一のモノリシックダイ
1302A SRAM入出力回路
1302B 広帯域幅入出力
1304 絶縁層
1306 ゲート材料
1312 U字型チャネル
1400 集積システム
14A、14B ウェーハ
1500 ISSP集積システム
1600 上層サーバプロセッサ
1700 サーバプロセッサ
1701、1702 単一のモノリシックダイ
17011、17012 処理チップ
17013 入出力回路および通信回路
1800 Mセル
1900 サーバプロセッサ
1901、1902、1903 モノリシックダイ
1903a 対電極
1902 シールドコンパウンド
1913 BGAボール
1914 はんだバンプ
1915、1916 マイクロバンプ
2200 ISSPラックサーバユニット
2201、2202 DRAMチップ
2202a 対電極
2203 基板
2204 ワイヤボンディング
2300 ISSPラックサーバユニット
2301 基板
2302 金属シールドケース

Claims (14)

  1. 基板と、
    処理ユニット回路が形成される第1のモノリシックダイと、
    複数のスタティックランダムアクセスメモリ(SRAM)アレイが形成される第2のモノリシックダイであって、前記複数のSRAMアレイが少なくとも2~15Gバイトを有する、第2のモノリシックダイと、
    複数のダイナミックランダムアクセスメモリ(DRAM)アレイが形成される第3のモノリシックダイであって、前記複数のDRAMアレイが少なくとも16~256Gバイトを有する、第3のモノリシックダイと
    を備えるICパッケージであって、
    前記第1のモノリシックダイ、前記第2のモノリシックダイ、および前記第3のモノリシックダイは、前記基板の上に垂直に積層される、
    ICパッケージ。
  2. 前記第1のモノリシックダイが特定のテクノロジープロセスノードによって定義されるスキャナ最大露光領域面積と同一またはほぼ同一のダイ面積を有し、前記第2のモノリシックダイが前記特定のテクノロジープロセスノードによって定義される前記スキャナ最大露光領域面積と同一またはほぼ同一のダイ面積を有し、前記第3のモノリシックダイが前記特定のテクノロジープロセスノードによって定義される前記スキャナ最大露光領域面積と同一またはほぼ同一のダイ面積を有する、請求項1に記載のICパッケージ。
  3. 前記スキャナ最大露光領域面積が、26mm×33mmまたは858mm以下である、請求項2に記載のICパッケージ。
  4. 前記第1のモノリシックダイおよび前記第2のモノリシックダイが単一のパッケージ内に封入され、前記第3のモノリシックダイが前記第2のモノリシックダイを介して前記第1のモノリシックダイに電気的に接続される、請求項1に記載のICパッケージ。
  5. 前記複数のDRAMアレイが、少なくとも128Gバイト、256Gバイト、または512Gバイトを備える、請求項1に記載のICパッケージ。
  6. 前記処理ユニット回路が第1の処理ユニット回路および第2の処理ユニット回路を備えており、前記第1の処理ユニット回路が複数の第1の論理コアを備えており、前記複数の第1の論理コアのそれぞれが第1のSRAMセットを備えており、前記第2の処理ユニット回路が複数の第2の論理コアを備えており、前記複数の第2の論理コアのそれぞれが第2のSRAMセットを備えており、前記第1の処理ユニット回路または前記第2の処理ユニット回路が、グラフィック処理ユニット(GPU)、中央演算装置(CPU)、テンソル処理ユニット(TPU)、ネットワーク処理ユニット(NPU)、およびフィールドプログラマブルゲートアレイ(FPGA)からなるグループから選択される、請求項1に記載のICパッケージ。
  7. 前記複数のDRAMアレイが、前記第3のモノリシックダイの上に対電極を備える、請求項1に記載のICパッケージ。
  8. 前記第1のモノリシックダイ、前記第2のモノリシックダイ、および前記第3のモノリシックダイを密閉するモールドまたはシールドコンパウンドをさらに備えており、前記対電極の上面は露出され、前記モールドまたはシールドコンパウンドによって被覆されない、請求項7に記載のICパッケージ。
  9. 前記対電極および前記基板の前記上面に接触される上部リードフレームと、
    前記第1のモノリシックダイ、前記第2のモノリシックダイ、前記第3のモノリシックダイ、および前記上部リードフレームを密閉するモールドまたはシールドコンパウンドと
    をさらに備える、請求項8に記載のICパッケージ。
  10. 基板と、
    第1の複数のDRAMアレイが形成される第1のDRAMモノリシックダイであって、前記第1の複数のDRAMアレイが少なくとも16~256Gバイトを備えており、前記第1の複数のDRAMアレイが前記第1のDRAMモノリシックダイの上部分上に第1の対電極を含む、第1のDRAMモノリシックダイと、
    第2の複数のDRAMアレイが形成される第2のDRAMモノリシックダイであって、前記第2の複数のDRAMアレイが少なくとも16~256Gバイトを備えており、前記第2の複数のDRAMアレイが前記第2のDRAMモノリシックダイの上部分上に第2の対電極を備える、第2のDRAMモノリシックダイと
    を備えるICパッケージであって、
    前記第1のDRAMモノリシックダイおよび前記第2のDRAMモノリシックダイが前記基板の上に垂直に積層され、前記第2のDRAMモノリシックダイの前記第2の対電極が前記基板に接触され、前記第1のDRAMモノリシックダイが前記第2のDRAMモノリシックダイを介して前記基板に電気的に接続される、
    ICパッケージ。
  11. 前記第2のDRAMモノリシックダイが電気的ボンディングによって前記基板に電気的に結合される、請求項10に記載のICパッケージ。
  12. キャリア基板と、
    請求項1に記載の第1のICパッケージであって、前記第1のICパッケージが前記キャリア基板に接合される、第1のICパッケージと、
    請求項1または請求項10に記載の第2のICパッケージであって、前記第2のICパッケージが前記キャリア基板に接合される、第2のICパッケージと、
    前記第1のICパッケージおよび前記第2のICパッケージを密閉する金属シールドケースと
    を備える集積システム。
  13. 請求項10に記載の第3のICパッケージであって、前記第3のICパッケージが前記キャリア基板に接合される、第3のICパッケージと、
    前記第1のICパッケージ、前記第2のICパッケージ、および前記第3のICパッケージを密閉する金属シールドケースであって、前記第2のICパッケージが請求項10に記載のICパッケージである、金属シールドケースと
    をさらに備える、請求項12に記載の集積システム。
  14. 前記金属シールドケースが前記第2のICパッケージの前記第1のDRAMモノリシックダイの上部分上の第1の対電極に熱的に結合され、前記第3のICパッケージの前記第1のDRAMモノリシックダイの上部分上の第1の対電極に熱的に結合される、
    請求項13に記載の集積システム。
JP2023010134A 2022-01-27 2023-01-26 サーバプロセッサおよびラックサーバユニットのための統合スケーリングおよびストレッチングプラットフォーム Pending JP2023109724A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263303542P 2022-01-27 2022-01-27
US63/303,542 2022-01-27

Publications (1)

Publication Number Publication Date
JP2023109724A true JP2023109724A (ja) 2023-08-08

Family

ID=85132958

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023010134A Pending JP2023109724A (ja) 2022-01-27 2023-01-26 サーバプロセッサおよびラックサーバユニットのための統合スケーリングおよびストレッチングプラットフォーム

Country Status (6)

Country Link
US (1) US20230240087A1 (ja)
EP (1) EP4220711A3 (ja)
JP (1) JP2023109724A (ja)
KR (1) KR20230115916A (ja)
CN (1) CN116504774A (ja)
TW (1) TW202401681A (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10672745B2 (en) * 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
JP2022528592A (ja) * 2019-04-15 2022-06-15 長江存儲科技有限責任公司 プロセッサおよびダイナミック・ランダムアクセス・メモリを有する接合半導体デバイスおよびそれを形成する方法
JPWO2020245697A1 (ja) * 2019-06-07 2020-12-10
CN111801799B (zh) * 2020-05-27 2021-03-23 长江存储科技有限责任公司 用于形成三维存储器件的方法
US11270917B2 (en) * 2020-06-01 2022-03-08 Alibaba Group Holding Limited Scalable and flexible architectures for integrated circuit (IC) design and fabrication

Also Published As

Publication number Publication date
KR20230115916A (ko) 2023-08-03
TW202401681A (zh) 2024-01-01
US20230240087A1 (en) 2023-07-27
EP4220711A3 (en) 2023-12-27
EP4220711A2 (en) 2023-08-02
CN116504774A (zh) 2023-07-28

Similar Documents

Publication Publication Date Title
US10163495B2 (en) Two-port SRAM connection structure
KR101643447B1 (ko) 듀얼 포트 sram 시스템
JP7311615B2 (ja) プロセッサおよびnandフラッシュメモリを有する接合半導体デバイスならびにそれを形成する方法
US8582352B2 (en) Methods and apparatus for FinFET SRAM cells
US10153264B2 (en) Static random access memory (SRAM) cell including fin-type transistor
US11201159B2 (en) SRAM structure and connection
US11749586B2 (en) Semiconductor device including through via structure
US11521676B2 (en) SRAM structure with asymmetric interconnection
US20220130761A1 (en) Integrated circuit semiconductor device
TWI820629B (zh) 單片式晶粒和用於重新配置晶片系統的記憶體架構的平台
JP2023109724A (ja) サーバプロセッサおよびラックサーバユニットのための統合スケーリングおよびストレッチングプラットフォーム
EP4220718A1 (en) Integration system with high performance computing die and high storage volume die
US11968818B2 (en) SRAM memory cell for stacked transistors with different channel width
US20240088078A1 (en) Packaged Memory Device and Method
TW202137499A (zh) 半導體元件及其製作方法
CN115083472A (zh) Sram单元

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230508

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240430

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240507