JP2021097210A - 高電圧厚ゲートFinFETデバイスを有する、一体化された高性能ナノリボントランジスタ - Google Patents

高電圧厚ゲートFinFETデバイスを有する、一体化された高性能ナノリボントランジスタ Download PDF

Info

Publication number
JP2021097210A
JP2021097210A JP2020147917A JP2020147917A JP2021097210A JP 2021097210 A JP2021097210 A JP 2021097210A JP 2020147917 A JP2020147917 A JP 2020147917A JP 2020147917 A JP2020147917 A JP 2020147917A JP 2021097210 A JP2021097210 A JP 2021097210A
Authority
JP
Japan
Prior art keywords
transistor
channel
thickness
region
gate dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020147917A
Other languages
English (en)
Inventor
トリヴェディ タヌジュ
Trivedi Tanuj
トリヴェディ タヌジュ
ラマスワミー ラウル
Ramaswamy Rahul
ラマスワミー ラウル
ドン キム ジェオン
Jeong Dong Kim
ドン キム ジェオン
チャン ティン
Ting Chang
チャン ティン
エム. ハーフェツ ワリド
M Hafez Walid
エム. ハーフェツ ワリド
ファラハザード ババク
Fallahazad Babak
ファラハザード ババク
チャン シュー−ユ
Hsu-Yu Chang
チャン シュー−ユ
ニドヒ ニドヒ
Nidhi Nidhi
ニドヒ ニドヒ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2021097210A publication Critical patent/JP2021097210A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】ナノワイヤデバイスと高電圧トランジスタを一体形成した半導体装置を提供する。【解決手段】半導体デバイス100は、基板101、基板上の第1の半導体チャネルの鉛直スタックを含む第1のトランジスタ172A及び第1の半導体チャネルの各々を囲む第1のゲート誘電体112Aを含む。第1のゲート誘電体は、第1の厚さTAを有する。半導体デバイスはさらに、基板上の第2のトランジスタ172Bを含む。第2のトランジスタは、第2の半導体チャネル115を含む。第2の半導体チャネルは、側壁の対及び頂面を含む。第2のゲート誘電体112Bは、第2の半導体チャネルの側壁の対上及び頂面上にある。第2のゲート誘電体は、第1の厚さより大きい第2の厚さTBを有する。【選択図】図1A

Description

本開示の実施形態は半導体デバイスに関し、より具体的には、高電圧厚ゲートトライゲートトランジスタと一体化されたナノリボントランジスタを有する半導体デバイスに関する。
一体化されたデバイスの製造業者が、より大きい回路密度およびより高い性能を実現するようにトランジスタデバイスのフィーチャのサイズの縮小を継続するにつれて、次世代デバイスにおける短チャネル効果、寄生容量、およびオフ状態リークを減少させる一方で、トランジスタドライブ電流に対処する必要がある。フィンおよびナノワイヤベースデバイスなどの非プレーナ型トランジスタは、短チャネル効果の改善された制御を可能にする。例えば、ナノワイヤベースのトランジスタにおいて、ゲートスタックは、ナノワイヤの完全な外周部の周囲を包み込み、チャネル領域のより完全な減少を可能にし、より急な下部閾値電流スイング(SS)およびより小さなドレイン誘起障壁低下(DIBL)に起因する短チャネル効果を減少させる。
ダイ内の異なる機能ブロックは異なる電気的パラメータに関して最適化される必要があるかもしれない。いくつかの例において、電力用途の高電圧トランジスタは、ロジック用途の高速度トランジスタと接合して実装される必要がある。高電圧トランジスタは通常、高リーク電流に悩まされる。ナノワイヤデバイスにおいて、より厚い酸化物は、ナノワイヤの間の間隔を、ほとんどまたは全くゲート金属がナノワイヤ間に配設され得ない程度まで低減させることをもたらす。
一実施形態による、基板上の第1のトランジスタおよび第2のトランジスタの断面図であり、第1のトランジスタはゲートオールアラウンド(GAA)トランジスタであり、第2のトランジスタはトライゲートトランジスタである。
一実施形態による、図1Aの第1のトランジスタの断面図である。
一実施形態による、図1Aの第2のトランジスタの断面図である。
一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。 一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。 一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。 一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。 一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。 一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。
一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。 一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。 一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。 一実施形態による、チャネル層と犠牲層との交互するスタックを含む第1の領域と、単一のチャネル層を含む第2の領域とを形成する過程を示す断面図である。
一実施形態による、第1の領域および第2の領域が、第1のフィンおよび第2のフィンを形成するようにパターニングされた後の断面図である。
一実施形態による、第1のフィンおよび第2のフィンのプロファイルを描く断面図である。
一実施形態による、第1のフィンおよび第2のフィンから第1のトランジスタおよび第2のトランジスタを形成する過程を示す断面図である。 一実施形態による、第1のフィンおよび第2のフィンから第1のトランジスタおよび第2のトランジスタを形成する過程を示す断面図である。 一実施形態による、第1のフィンおよび第2のフィンから第1のトランジスタおよび第2のトランジスタを形成する過程を示す断面図である。 一実施形態による、第1のフィンおよび第2のフィンから第1のトランジスタおよび第2のトランジスタを形成する過程を示す断面図である。 一実施形態による、第1のフィンおよび第2のフィンから第1のトランジスタおよび第2のトランジスタを形成する過程を示す断面図である。 一実施形態による、第1のフィンおよび第2のフィンから第1のトランジスタおよび第2のトランジスタを形成する過程を示す断面図である。 一実施形態による、第1のフィンおよび第2のフィンから第1のトランジスタおよび第2のトランジスタを形成する過程を示す断面図である。
本開示の実施形態の一実装例によるコンピューティングデバイスを示す。
本開示の1または複数の実施形態を実装するインターポーザである。
様々な実施形態による、高電圧厚ゲートトライゲートトランジスタと一体化されたナノリボントランジスタが本明細書に記載される。以下の記載において、様々な態様の例示的な実装が、当業者によって一般に用いられる用語を用いて記載され、それにより、他の当業者にこれらの作業の本質が伝えられるであろう。しかしながら、本発明は、説明される複数の態様のうちのいくつかのみで実施され得ることが当業者には明らかであろう。説明の目的で、特定の数、材料、および構成は、複数の例示的な実装の完全な理解を提供するために記載される。しかしながら、本発明が特定の詳細を用いずに実施され得ることが当業者には明らかであろう。例示的な実装を不明瞭にしないように、他の例において、よく知られた特徴は省略または簡略化されている。
同様に、様々な動作は、本発明を理解するのに最も有用となるように複数の別個の動作として説明されるであろう。しかしながら、記載の順序は、これらの動作が必ず順序に従うことを示唆するものと解釈されるべきではない。具体的には、これらの動作は、表示される順序で実行される必要はない。
ナノリボンデバイスは、以下により詳細に記載される。しかしながら、実質的に類似するデバイスが、ナノワイヤチャネルによって形成されてよいことが、理解されるべきである。ナノワイヤデバイスは、チャネルが実質的に類似する幅寸法および厚さ寸法を有する、デバイスを含んでよく、一方で、ナノリボンデバイスは、実質的に厚さ寸法より大きい、または実質的に厚さ寸法より小さい幅寸法を有するチャネルを含んでよい。本明細書で使用されるように、「高電圧」は、約1.0Vまたはそれより高い電圧を指してよい。
上記で留意されるように、厚いゲート誘電体ナノリボントランジスタを、標準厚さのゲート誘電体ナノリボントランジスタと統合することは、問題を含む。特に、より厚いゲート誘電体は、ナノリボン間で合わさることがある。すなわち、ゲート誘電体は、ナノリボン間の間隙を阻止し、ゲート金属が間隙中に充填されることを阻止する。そのため、厚いゲート誘電体ナノリボントランジスタのゲートオールアラウンド(GAA)制御は、常に可能とは限らない。
従って、本明細書で開示される実施形態は、GAAデバイスと、トライゲートデバイスなどの非プレーナ型トランジスタとの統合を含む。トライゲートデバイス(また、「FinFET」デバイスと称されることがある)は、厚いゲート誘電体を可能とする。これは、ナノリボンデバイスの場合と同様に、チャネルの部分の間の間隙がないからである。GAAデバイスは、チャネル、の周囲全体を包み込むゲート金属(およびゲート誘電体)を必要とする一方で、トライゲートデバイスにおいて、ゲート金属(およびゲート誘電体)は、通常チャネルの3つの表面(例えば、側壁の対および頂面)を覆う。
さらに、厚いゲートデバイスは通常、アナログまたは他の高電圧用途に使用される。そのような用途は、通常、GAAデバイスにより提供される付加的スケーリング(例えば、より良い短チャネル効果)を必要としない。加えて、厚いゲートデバイスに関するスイッチング振動数は通常、ロジック用途のために必要とされるものよりも下部にある。従って、本明細書に開示された実施形態は、トライゲートデバイスを用いた厚いゲートデバイスの製造の容易さを維持する一方、GAAデバイスの付加的な性能改善を活用する。
実施形態において、GAAデバイスとトライゲートデバイスの互いの統合は、基板の異なる領域上に異なデバイスを形成することにより実装される。GAAデバイスは、チャネル層と犠牲層との交互するスタックを含む基板の領域におけるフィンから形成されてよく、トライゲートデバイスは、単一のチャネル層を含む基板の領域におけるフィンから形成されてよい。本明細書で開示される実施形態は、様々なトランジスタ型を形成するために使用される第1の領域および第2の領域の両方を含む基板を提供するために、異なる過程のフローを提供する。
ここで図1Aを参照すると、一実施形態による、半導体デバイス100の断面図が示される。半導体デバイス100は、両方が基板101上に形成される、第1のトランジスタ172および第2のトランジスタ172を含む。例示されている実施形態において、第1のトランジスタ172は、基板101においてブレーク104により第2のトランジスタ172から隔てられている。ブレーク104は、第1のトランジスタ172および第2のトランジスタ172が、基板101の異なる領域に位置付けられてよいこと、および、互いに隣接しなくても、および/または同じ方向に配向されなくてもよいことを示す。
実施形態において、基板101は、半導体基板と、半導体基板101上の分離層(示されない)を含んでよい。実施形態において、下にある半導体基板101は、集積回路を製造するために使用される一般的な加工オブジェクトを表す。半導体基板101は、しばしばシリコンまたは別の半導体材料のウェハまたは他のピースを含む。適切な半導体基板101は、単結晶シリコン、多結晶シリコン、およびシリコンオンインシュレータ(SOI)、ならびに、ゲルマニウム、炭素、またはIII−V族の材料を含む基板などの、他の半導体材料で形成された類似の基板を含むが、それらに限定されない。
図1Aの例示は、2つのトランジスタ172および172のチャネルの長さに沿っている。特定の実施形態において、第1のトランジスタ172は、高速度トランジスタ(例えば、ロジック動作に適切)であり、第2のトランジスタ172は、高電圧トランジスタ(例えば、アナログおよび/または電力動作に適切)である。第1のトランジスタ172は、GAAトランジスタ(例えば、ナノリボントランジスタ)である。第2のトランジスタ172は、トライゲートトランジスタである。
実施形態において、第1のトランジスタ172は、鉛直スタックに配列された複数のナノリボンチャネル110を含んでよい。ナノリボンチャネル110は、任意の適切な半導体材料を含んでよい。例えば、ナノリボンチャネル110は、シリコンまたはIII−V族材料を含んでよい。ナノリボンチャネル110は、これらの間に間隔Sを有してよい。間隔Sは、高速度スイッチング用途に最適化されてよい。例えば、間隔Sは、約10nm以下であってよい。第1のゲート誘電体112は、各ナノリボンチャネル110の外面の周囲全体を包み込んでよい。第1のゲート誘電体112は、第1の厚さTを有してよい。第1の厚さTは、ナノリボンチャネル110の間に第1のゲート誘電体112のピンチングがないように、サイズ決めされてよい。例えば、第1の厚さTは、約3nm以下であってよい。従って、第1のゲート誘電体112の隣接する表面間には間隙が存在する。実施形態において、第1のゲート誘電体112は、コンフォーマル堆積過程(例えば、原子層堆積(ALD))で配設される。コンフォーマル堆積過程はまた、スペーサ122の内面上、および基板101の表面上に、第1のゲート誘電体112を堆積させてよい。しかしながら、他の実施形態において、第1のゲート誘電体112は、(例えば酸化過程によって)成長する。そのような実施形態において、第1のゲート誘電体112は、スペーサ122の内面上に存在しなくてよい。すなわち、ゲート電極130は、スペーサ122と直接コンタクトしてよい。
実施形態において、ゲート電極130は、各ナノリボンチャネル110の外面の周囲全体を包み込むために、ナノリボンチャネル110間の間隙を充填してよい。これは、第1のトランジスタ172に関するGAA制御を提供する。実施形態において、第1のトランジスタ172はまた、ソース/ドレイン領域120の対を含んでもよい。ソース/ドレイン領域120は、スペーサ122の対により、ゲート電極130から隔てられてよい。ナノリボンチャネル110は、ソース/ドレイン領域120とコンタクトするように、スペーサ122を通過してよい。
実施形態において、第2のトランジスタ172は、単一の半導体チャネル115を含んでよい。実施形態において、半導体チャネル115は、フィン形状であってよい。本明細書で使用されるように、半導体チャネル115は、フィンチャネル115と称されてよい。フィンチャネル115は、任意の適切な半導体材料を含んでよい。例えば、フィンチャネル115は、シリコンまたはIII−V族材料を含んでよい。フィンチャネル115は、基板101から上に延在してよい。実施形態において、第2のゲート誘電体112は、フィンチャネル115の表面上に配設され、ゲート電極130は、第2のゲート誘電体112上に配設される。実施形態において、第2のゲート誘電体112は、コンフォーマル堆積過程(例えば、ALD)で堆積されてよい。コンフォーマル堆積過程は、また、スペーサ122の内面上に第2のゲート誘電体112を堆積してもよい。しかしながら、他の実施形態において、第2のゲート誘電体112は、(例えば、酸化過程によって)成長する。そのような実施形態において、第2のゲート誘電体112は、スペーサ122の内面上に存在しなくてよい。すなわち、ゲート電極130は、スペーサ122と直接コンタクトしてよい。いくつかの実施形態において、第2のゲート誘電体112は、第1のゲート誘電体112と同一の材料を含む。他の実施形態において、第2のゲート誘電体112は、第1のゲート誘電体112と異なる材料を含む。
実施形態において、第2のトランジスタ172は、フィンチャネル115の両端上に形成されたソース/ドレイン領域120の対を含む。実施形態において、第2のトランジスタ172はまた、スペーサ122の対を含んでもよい。第2のゲート誘電体112およびゲート電極130は、スペーサ122の内面の間に配設されてよい。フィンチャネル115は、ソース/ドレイン領域120とコンタクトするようにスペーサ122を通過してよい。
図1Aに示された図において、第2のゲート誘電体112およびゲート電極130はフィンチャネル115の頂面上にあるが、第2のゲート誘電体112およびゲート電極130はまた、第2のトランジスタ172のトライゲート制御を提供する側壁に沿って(図1Aの面の中へおよび外へ)延在するであろうことが理解されるべきである。
実施形態において、第2のゲート誘電体112は第2の厚さTを有する。第2の厚さTは、第1の厚さTより大きい。例えば、第2の厚さTは約3nm以上であってよい。フィンチャネル115は、(ナノリボンチャネル110の場合と同様に)チャネルの部分の間の間隙を含まないことに留意すべきである。そのため、第2のゲート誘電体112は、フィンチャネル115の表面の周囲へのゲート電極130の充填を阻止するピンチングについて心配することなく、より大きい厚さで堆積され(または成長され)得る。実施形態において、第1の厚さTに対する第2の厚さTの増加は、第2のトランジスタ172が高い電圧をサポートすることを可能にする。例えば、第2のトランジスタ172は、約1.0Vまたはより高い動作電圧を有してよい。
実施形態において、第1のトランジスタ172および第2のトランジスタ172は、異なチャネル長さを有してよい。例えば、第1のトランジスタ172は、第1のチャネル長さLgAを有してよく、第2のトランジスタ172は、第1のチャネル長さLgAより大きい第2のチャネル長さLgBを有してよい。より大きい第2のチャネル長さLgBが高い電圧のサポートを可能にする一方で、より短い第1のチャネル長さLgAが、速くスイッチングする振動数をサポートする。実施形態において、第2のチャネル長さLgBは約50nm以上であってよく、または約100nm以上であってよい。
実施形態において、第1のゲート誘電体112および第2のゲート誘電体112のために選択された材料は、任意の好適な高誘電体安定材料であってよい。例えば、第1のゲート誘電体112および第2のゲート誘電体112は、例えば、二酸化シリコンまたはhigh−kゲート誘電体材料などの、任意の好適な酸化物であってよい。high−kゲート誘電体材料の例は、例えば、酸化ハフニウム、酸化ハフニウムシリコン、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ジルコニウムシリコン、酸化タンタル、酸化チタン、酸化バリウムストロンチウム、酸化バリウムチタン、酸化ストロンチウムチタン、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウムタンタル、およびニオブ酸鉛亜鉛を含む。
実施形態において、ゲート電極130のために選択された材料は、P型トランジスタまたはN型トランジスタとしての動作のための所望の閾値電圧を提供するために、任意の好適な仕事関数金属であってよい。例えば、メタルゲート電極130がN型仕事関数金属の機能を果たすであろう場合、ゲート電極130は、好ましくは約3.9eVと約4.2eVの間の仕事関数を有する。メタルゲート電極130を形成するように使用されてよいN型材料は、限定されるものではないが、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、および、これらの元素を含む金属カーバイド、例えば、チタンカーバイド、ジルコニウムカーバイド、タンタルカーバイド、ハフニウムカーバイド、およびアルミニウムカーバイドを含む。あるいは、メタルゲート電極130がP型仕事関数金属としての機能を果たすであろう場合、ゲート電極130は、好ましくは、約4.9eVと約5.2eVの間の仕事関数を有する。メタルゲート電極130を形成するように使用されてよいP型材料は、限定されるものではないが、ルテニウム、パラジウム、プラチナ、コバルト、ニッケル、および導電金属酸化物、例えば、酸化ルテニウムを含む。ゲート電極130は、また、仕事関数金属および仕事関数金属上の充填金属(例えば、タングステン)を含んでもよい。
実施形態において、ソース/ドレイン領域120は、エピタキシャルに成長した半導体材料を含んでよい。ソース/ドレイン領域120は、シリコン合金を含んでよい。いくつかの実装例において、ソース/ドレイン領域120は、インサイチュでドープされたシリコンゲルマニウム、インサイチュでドープされたシリコンカーバイド、またはインサイチュでドープされたシリコンであってよい、シリコン合金を含む。代替の実施例において、他のシリコン合金が使用されてよい。例えば、使用されてよい代替シリコン合金材料は、限定されないが、ニッケルシリサイド、チタンシリサイド、コバルトシリサイドを含み、および場合によっては、ホウ素および/またはアルミニウムのうち1または複数によってドープされてよい。他の実施形態において、ソース/ドレイン領域120は、代替の半導体材料(例えば、III−V族元素およびこれらの合金を含む半導体)、または導電材料を含んでよい。
ここで図1Bおよび1Cを参照すると、一実施形態による、図1Aの線B−B'およびC−C'にそれぞれ沿った第1のトランジスタ172および第2のトランジスタ172の断面図が示される。
ここで図1Bを参照すると、一実施形態による、第1のトランジスタ172のチャネル領域にわたっての断面図が示される。示されるように、第1のゲート誘電体112は、ナノリボンチャネル110の各々の外周部の周囲全体を包み込む。加えて、ゲート電極130は、第1のゲート誘電体112の近隣の表面の間の間隙Gを充填することができる。ゲート電極130は、分離層103により基板101から隔てられてよい。いくつかの実施形態において、分離層103の頂面はまた、第1のゲート誘電体112により覆われてもよい(例えば、ALD過程が第1のゲート誘電体112の堆積のために使用される場合)。
ここで図1Cを参照すると、一実施形態による、第2のトランジスタ172のチャネル領域にわたっての断面図が示される。示されるように、フィンチャネル115は、側壁117および頂面118を含む。第2のゲート誘電体112は、分離層103の上方の側壁117上、および頂面118上に配設される。ゲート電極130は、第2のゲート誘電体112を覆う。従って、フィンチャネル115の3つの表面が、第2のトライゲートトランジスタ172を提供するように制御される。いくつかの実施形態において、分離層103の頂面はまた、第2のゲート誘電体112により覆われてよい(例えば、ALD過程が第2のゲート誘電体112の堆積のために使用される場合)。
ここで図2Aから図2Fを参照すると、一実施形態による、第1の領域および第2の領域を有する電子デバイス200を形成する過程を示す一連の断面図が示される。第1の領域は、ナノリボンデバイスを形成するように、交互に並ぶチャネル層および犠牲層のスタックを含んでよい。第2の領域は、トライゲートデバイスを形成するように単一チャネル層を含んでよい。
ここで図2Aを参照すると、一実施形態による、電子デバイス200の断面図が示される。電子デバイス200は、基板201を含む。基板201は、図1Aに関連して上述されたものなどの、半導体基板であってよい。
ここで図2Bを参照すると、一実施形態による、交互に並ぶチャネル層211および犠牲層231のスタック250が形成された後の、電子デバイス200の断面図が示される。実施形態において、チャネル層211は、ナノリボンとしての使用のために選択された材料である。チャネル層211および犠牲層231は、限定されないが、各々がシリコン、ゲルマニウム、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、およびInPなどの材料であってよい。特定の実施形態において、チャネル層211はシリコンであり、犠牲層231はSiGeである。別の特定の実施形態において、チャネル層211はゲルマニウムであり、犠牲層231はSiGeである。チャネル層211および犠牲層231は、エピタキシャル成長過程によって成長されてよい。
例示されている実施形態において、4つのチャネル層211がある。しかしながら、スタック250において任意の数のチャネル層211があってよいことが、理解されるべきである。実施形態において、スタック250の最上層は犠牲層231である。他の実施形態において、スタック250の最上層はチャネル層211であってよい。
ここで図2Cを参照すると、一実施形態による、マスク層255がスタック250上に配設されてパターニングされた後の、電子デバイス200の断面図が示される。実施形態において、マスク層255はレジストまたはハードマスクであってよい。マスク層255は、第1の領域241(マスク255の下方)および第2の領域242(マスク255の外側)を画定する。第1の領域241は、ナノリボントランジスタデバイスが形成されるであろう領域であり、第2の領域242はトライゲートトランジスタデバイスが形成されであろう領域である。
ここで図2Dを参照すると、一実施形態による、スタック250がパターニングされた後の電子デバイス200の断面図が示される。実施形態において、スタック250は、エッチング過程(例えば、ドライエッチング過程)によってパターニングされてよい。エッチング過程は、露出されたチャネル層211および犠牲層231の両方を通ってエッチングするように、もう1つの異なる化学的性質を含んでよい。エッチング過程は、第2の領域242の開口部244を提供する。開口部244は、いくつかの実施形態において、基板201を露出させる。
ここで図2Eを参照すると、一実施形態による、単一のチャネル層213が第2の領域242における基板201上に配設された後の、電子デバイス200の断面図が示される。実施形態において、チャネル層213は基板201と同一の材料であってよく、または、チャネル層213は、基板201と異なる材料であってよい。チャネル層213は、エピタキシャル成長過程によって成長してよい。
実施形態において、チャネル層213は、スタック250の頂面に少なくとも等しい厚さまで成長する。示されるように、チャネル層213の頂面214は、スタック250における最高チャネル層211の頂面209の上方であってよい。スタック250の最上層がチャネル層である実施形態において、最高チャネル層211の頂面209は、チャネル層213の頂面と実質的に同一平面上にあってよい。
ここで図2Fを参照すると、一実施形態による、キャッピング層256がスタック250およびチャネル層213上に配設された後の電子デバイス200の断面図が示される。キャッピング層256は、マスク層255の除去後に配設されてよい。実施形態において、キャッピング層256は酸化または同等のものである。キャッピング層256は、フィンパターニング過程の最中に下層層を保護するように使用されてよい。
ここで図3Aから図3Dを参照すると、一実施形態による、第1の領域および第2の領域を有する電子デバイス300を形成する過程を示す一連の断面図が示される。第1の領域は、ナノリボンデバイスを形成するように、交互に並ぶチャネル層および犠牲層のスタックを含んでよい。第2の領域は、トライゲートデバイスを形成するように単一チャネル層を含んでよい。
ここで図3Aを参照すると、一実施形態による、電子デバイス300の断面図が示される。実施形態において、電子デバイス300は基板301を含む。基板300は、図1Aの基板101に実質的に類似する材料であってよい。
ここで図3Bを参照すると、一実施形態による、マスク層355が基板300上に配設され、基板がパターニングされた後の電子デバイス300の断面図が示される。実施形態において、マスク層355は、第1の領域341(基板301の被覆されていない領域)および第2の領域342(基板301の被覆された領域)を画定する。マスク層355は、基板301をパターニングして開口部344を形成するように使用される。開口部344は、マスク層355の下方の後ろに残されているチャネル層313をもたらす。
ここで図3Cを参照すると、一実施形態による、スタック350が開口部344内に配設された後の半導体デバイス300の断面図が示される。実施形態において、スタック350は、交互に並ぶチャネル層311および犠牲層331を含んでよい。実施形態において、チャネル層311および犠牲層331は、図2Bに関連して上述されたものに類似してよい。実施形態において、最上チャネル層311の頂面309はチャネル層313の頂面314の下方にあってよい。
ここで図3Dを参照すると、一実施形態による、キャッピング層356がスタック350上およびチャネル層313上に配設された後の、半導体デバイス300の断面図が示される。キャッピング層356はマスク層355の除去後に配設されてよい。実施形態において、キャッピング層356は酸化物または同等のものである。キャッピング層356は、フィンパターニング過程の最中に下層層を保護するように使用されてよい。
ここで図4Aを参照すると、一実施形態による、第1のフィン406および第2のフィン416がパターニングされた後の電子デバイス400の断面図が示される。実施形態において、第1のフィン406は、交互に並ぶチャネル層(例えば、チャネル層211または311)および犠牲層(例えば、犠牲層231または331)のスタックを有する第1の領域から形成される。第1の領域をフィン406に変換するパターニングは、ナノリボンチャネル410に変換されたチャネル層(例えば、チャネル層211または311)をもたらす。すなわち、フィン406は、ナノリボンチャネル410および犠牲層431が交互に並ぶ層の形状をしたフィンであるスタック451を含んでよい。第2のフィン416は、単一のチャネル層(例えば、チャネル層213またはチャネル層313)を有する第2の領域から形成される。第2の領域をフィン416に変換するパターニングは、フィンチャネル415に変換された単一のチャネル層(例えば、チャネル層213またはチャネル層313)をもたらす。
図4Aにおいて、フィン416のプロファイルは、フィン形成の理想的な表現である。例えば、図4Aにおいて、フィン416は実質的に鉛直な側壁417と、下にある基板401の表面に平行な頂面418とを有する。しかしながら、第1のフィン406および第2のフィン416のプロファイルは、製造の制限または他の設計的な選択に起因して、異なる変形例を有してよいことが、理解されるべきである。
ここで図4Bを参照すると、付加的な実施形態による、第2のフィン416の対の断面図が示される。示されるように、側壁面417は、ある程度テーパ状であってよい。すなわち、いくつかの実施形態において、側壁面417は、基板401と完全に垂直でなくともよい。実施形態において、基板401に最も近いフィン416の最下部は、フッティング419、またはドライエッチング過程によって形成される高縦横比構造に典型的な他の類似の構造的構造を有してよい。加えて、すべてのフィンのプロファイルは均一でなくともよい。例えば、入れ子のフィンが、分離されたフィンまたはフィンの集まりの最外部フィンであるフィンとは異なるプロファイルを有してよい。例えば、図4Bのフィン416は、最外部フィンと考えられてよく、非対称的プロファイルを示してよい。示されるように、近隣のフィン416に向かって向く側壁面417は、エッチング制限に起因して外側を向く側壁面417より短くてよい。実施形態において、フィン416の頂面418はまた、丸くてもよく、そうでなければ平らでなくてもよい。図4Bは、第2のフィン416の例を示すが、実質的に類似するプロファイルが同様に第1のフィン406において呈されてよいことが、理解されるべきである。
ここで図5Aから図5Gを参照すると、一実施形態による、単一の加工の流れで第1のナノリボントランジスタおよび第2のトライゲートトランジスタを形成する過程を示す一連の断面図が示される。図5Aから図5Gの各々において、断面図が、第1のトランジスタチャネルおよび第2のトランジスタチャネルの長さに沿って提供される。図5Aから図5Gの各々はまた、第1のトランジスタおよび第2のトランジスタの各々に関するチャネルにわたる、断面の対を提供する。
ここで図5Aを参照すると、一実施形態による、第1のフィン506および第2のフィン516がパターニングされた後の、電子デバイス500の断面図のセットが示される。実施形態において、第1のフィン506および第2のフィン516は、図2Aから図2Fまたは図3Aから図3Dの加工の流れを含む加工から、パターニングされてよい。第1のフィン506は、基板501上のスタック551を含む。スタック551は、交互に並ぶナノリボンチャネル510と犠牲層531を含む。第2のフィン516は、フィンチャネル515を含んでよい。フィンチャネル515は、基板501から上に延在してよい。分離層503は、第1のフィン506および第2のフィン516の側部の基板501の表面上に配設されてよい。
ここで図5Bを参照すると、一実施形態による、犠牲ゲート533およびスペーサ522が形成された後の、電子デバイス500の断面図のセットが示される。図5Bはまた、ソース/ドレイン開口部546を提供する、第1のフィン506および第2のフィン516の部分のリセスを示す。犠牲ゲート533はフィン506および516の上部を覆い、フィン506および516の側壁に沿って下へと包み込む。スペーサ522は、犠牲ゲート533の両端に配設されてよい。ナノリボンチャネル510およびフィンチャネル515は、スペーサ522を通って延在する。
ここで図5Cを参照すると、一実施形態による、ソース/ドレイン領域520が形成された後の電子デバイス500の断面図のセットが示される。実施形態において、ソース/ドレイン領域520は、エピタキシャル成長過程によって成長してよい。ソース/ドレイン領域520は、N型またはP型ソース/ドレイン領域520を提供するように、成長の最中にインサイチュでドープされてよい。ソース/ドレイン領域520に適切な材料およびドーパントは、上記により詳細に記載される。
ここで図5Dを参照すると、一実施形態による、犠牲ゲート533が除去された後の電子デバイス500の断面図のセットが示される。犠牲ゲート533は、適切なエッチング過程によって除去されてよい。犠牲ゲート533の除去は、ナノリボンチャネル510およびフィンチャネル515を露出させる。
ここで図5Eを参照すると、一実施形態による、ナノリボンチャネル510をリリースするように犠牲層531が選択的に除去された後の、電子デバイス500の断面図のセットが示される。犠牲層531の除去は、ナノリボンチャネル510の各々の間の間隔Sをクリアにする。実施形態において、間隔Sは約10nm以下であってよい。
犠牲層531は、ナノリボンチャネル510に選択性のある任意の既知のエッチング液を用いて除去されてよい。実施形態において、選択性は100:1より大きい。ナノリボンチャネル510がシリコンであり、犠牲層531がシリコンゲルマニウムである実施形態において、犠牲層531は、限定されないが、含水カルボン酸/クエン酸/HF溶液および含水クエン酸/硝酸/HF溶液などのウェットエッチング液を用いて選択的に除去される。ナノリボンチャネル510がゲルマニウムであり、犠牲層531がシリコンゲルマニウムである実施形態において、犠牲層531は、限定されないが、水酸化アンモニウム(NHOH)、テトラメチルアンモニウム水酸化物(TMAH)、エチレンジアミンピロカテコール(EDP)、または水酸化カリウム(KOH)水溶液などのウェットエッチング液を用いて選択的に除去される。別の実施形態において、犠牲層531は、ウェットおよびドライエッチング過程の組み合わせにより除去される。
ここで図5Fを参照すると、一実施形態による、ナノリボンチャネル510およびフィンチャネル515上にゲート誘電体512が配設された後の、電子デバイス500の断面図のセットが示される。実施形態において、第1のゲート誘電体512は、ナノリボンチャネル510上に配設される。特に、A−A'断面は、ナノリボンチャネル510のGAA制御を可能にするように、ナノリボンチャネル510が第1のゲート誘電体512により完全に包囲されていることを示す。第1のゲート誘電体512は、第1の厚さTを有する。実施形態において、第1の厚さTは約3nm以下であってよい。実施形態において、第1のゲート誘電体512は、ALD過程によって堆積されるか、酸化過程によって成長してよい。第1のゲート誘電体512がALD過程によって堆積される実施形態において、図5Fに示されるように、第1のゲート誘電体512はまた、スペーサ522の内部側壁上に、または絶縁層503の部分上に配設されてもよい。
実施形態において、第2のゲート誘電体512はフィンチャネル515上に配設される。特に、断面B‐B'は、フィンチャネル515のトライゲート制御を可能にするように、フィンチャネル515が側壁面および頂面を包囲されていることを示す。第2のゲート誘電体512は、第2の厚さTを有する。実施形態において、第2の厚さTは第1の厚さTより大きい。例えば、第2の厚さTは、約3nm以上であってよい。実施形態において、第2のゲート誘電体512は、ALD過程によって堆積されるか、酸化過程によって成長してよい。第2のゲート誘電体512がALD過程によって堆積される実施形態において、図5Fに示されるように、第2のゲート誘電体512はまた、スペーサ522の内部側壁上に、または絶縁層503の部分上に配設されてもよい。
実施形態において、第1のゲート誘電体512は、第2のゲート誘電体512と同一の材料であってよい。他の実施形態において、第1のゲート誘電体512は、第2のゲート誘電体512と異なる材料であってよい。いくつかの実施形態において、第1のゲート誘電体512は、第1の過程によって堆積(または成長)してよく、第2のゲート誘電体512は、第1の過程と異なる第2の過程によって堆積(または成長)してよい。他の実施形態において、第1のゲート誘電体512は、第2のゲート誘電体512と並行して堆積(または成長)してよい。そのような実施形態において、第1のゲート誘電体512の所望の第1の厚さTに一旦到達すると、第1のゲート誘電体512は、マスキングをオフにされてよく、過程は、第2のゲート誘電体512の厚さが所望の第2の厚さTへと増加するように継続してよい。
ここで図5Gを参照すると、一実施形態による、ゲート電極530がゲート誘電体512および512上に配設された後の、電子デバイス500の断面図のセットが示される。実施形態において、ゲート電極500は、適切な堆積過程(例えば、ALD、化学気相堆積(CVD)など)によって配設されてよい。ゲート電極530は、P型またはN型トランジスタとしてのトランジスタ572および572の工程に適切な仕事関数金属を含んでよい。断面A−A'に示されるように、ゲート電極530は、ナノリボンチャネル510の側壁ならびに、ナノリボンチャネル510の間の間隙Gを充填する。従って、ナノリボンチャネル510のGAA制御が提供される。断面B−B'に示されるように、ゲート電極530は、フィンチャネル515のトライゲート制御を提供するように、フィンチャネル515の側壁の対および頂面の周囲を包み込む。
図6は、本開示の実施形態の一実装例によるコンピューティングデバイス600を例示する。コンピューティングデバイス600はボード602を収容する。ボード602は、プロセッサ604および少なくとも1つの通信チップ606を含むがこれらに限定されない、複数のコンポーネントを含み得る。プロセッサ604は、ボード602に物理的および電気的に結合される。いくつかの実装例において、少なくとも1つの通信チップ606もまた、ボード602と物理的かつ電気的に結合される。さらなる実装例において、通信チップ606はプロセッサ604の一部である。
コンピューティングデバイス600は、その用途に応じて、ボード602と物理的かつ電気的に結合されてもされなくてもよい他のコンポーネントを含んでよい。これらの他のコンポーネントには、これらに限定されないが、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、フラッシュメモリ、グラフィックスプロセッサ、デジタルシグナルプロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、電池、オーディオコーデック、ビデオコーデック、電力増幅器、グローバルポジショニングシステム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、および、大容量記憶装置(ハードディスクドライブ、コンパクトディスク(CD)、デジタルバーサティルディスク(DVD)など)などが含まれる。
通信チップ606は、コンピューティングデバイス600との間でのデータ転送のための無線通信を可能とする。用語「無線」およびその派生語は、非固体媒体を介し変調電磁放射を用いてデータ通信をし得る回路、デバイス、システム、方法、技術、通信チャネルなどについて説明するのに使用されてよい。この用語は、関連するデバイスが有線をまったく含まないことを示唆するものではないが、いくつかの実施形態においてはそれらはそうではないこともあり得る。通信チップ606は、Wi−Fi(登録商標)(IEEE802.11ファミリ)、WiMAX(登録商標)(IEEE802.16ファミリ)、IEEE802.20、ロングタームエボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、ブルートゥース(登録商標)、これらの派生物、ならびに、3G、4G、5Gおよびそれ以降のものとして指定される任意の他の無線プロトコルを含むがこれらに限定されない、複数の無線規格またはプロトコルのいずれかを実装してよい。コンピューティングデバイス600は、複数の通信チップ606を含んでよい。例えば、第1の通信チップ606は、Wi−Fi(登録商標)およびBluetooth(登録商標)などの短距離無線通信に専用であってよく、第2の通信チップ606は、GPS、EDGE、GPRS、CDMA、WiMAX(登録商標)、LTE、Ev−DOおよびその他のものなどの長距離無線通信に専用であってよい。
コンピューティングデバイス600のプロセッサ604は、プロセッサ604内にパッケージ化された集積回路ダイを含む。実施形態において、本明細書に記載されるように、プロセッサ604の集積回路ダイは、第1のゲート誘電体厚さを有するナノリボントランジスタと、第1のゲート誘電体厚さより大きい第2のゲート誘電体厚さを有するトライゲートトランジスタとを含んでよい。「プロセッサ」という用語は、レジスタおよび/またはメモリからの電子データを処理して、その電子データをレジスタおよび/またはメモリに格納され得る他の電子データへと変換する任意のデバイスまたはデバイスの部分を指し得る。
通信チップ606もまた、通信チップ606内にパッケージ化された集積回路ダイを含む。実施形態において、本明細書に記載されるように、通信チップ606の集積回路ダイは、第1のゲート誘電体厚さを有するナノリボントランジスタと、第1のゲート誘電体厚さより大きい第2のゲート誘電体厚さを有するトライゲートトランジスタとを含んでよい。
さらなる実装例において、本明細書に記載されるように、コンピューティングデバイス600内に収容される別のコンポーネントは、第1のゲート誘電体厚さを有するナノリボントランジスタと、第1のゲート誘電体厚さより大きい第2のゲート誘電体厚さを有するトライゲートトランジスタとを含んでよい。
様々な実装例において、コンピューティングデバイス600は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、タブレット、パーソナルデジタルアシスタント(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンタテインメントコントロールユニット、デジタルカメラ、携帯音楽プレーヤ、またはデジタルビデオレコーダであってよい。さらなる実装例において、コンピューティングデバイス600は、データを処理する任意の他の電子デバイスであってよい。
図7は、本開示の1または複数の実施形態を含むインターポーザ700を示す。インターポーザ700は、第1の基板702を第2の基板704にブリッジするために使用される介在基板である。第1の基板702は、例えば集積回路ダイであってもよい。第2の基板704は、例えば、メモリモジュール、コンピュータマザーボード、または別の集積回路ダイであってもよい。実施形態において、第1の基板702および第2の基板704の両方のうち1つが、本明細書に記載される実施形態による、第1のゲート誘電体厚さを有するナノリボントランジスタと、第1のゲート誘電体厚さより大きい第2のゲート誘電体厚さを有するトライゲートトランジスタとを含んでよい。一般に、インターポーザ700の目的は、接続をより広いピッチに拡張し、またはある接続を異なる接続にルート変更することである。例えば、インターポーザ700は、集積回路ダイをボールグリッドアレイ(BGA)706に結合し得、BGA706は、次に第2の基板704に結合され得る。いくつかの実施形態において、第1および第2の基板702/704は、インターポーザ700の対向する側に取り付けられる。他の実施形態において、第1および第2の基板702/704は、インターポーザ700の同じ側に取り付けられる。複数のさらなる実施形態において、3つまたはそれより多い基板がインターポーザ700により相互接続される。
インターポーザ700は、エポキシ樹脂、ガラス繊維強化エポキシ樹脂、セラミック材料、またはポリイミドなどのポリマー材料で形成されてよい。さらなる実装例において、インターポーザ700は、シリコン、ゲルマニウム、ならびに他のIII−V族およびIV族の材料などの、半導体基板における使用のための上述の材料と同一の材料を含み得る、代替的な剛性または可撓性のある材料で形成されてよい。
インターポーザ700は、金属配線708、および限定されないがシリコン貫通ビア(TSV)712を含むビア710を含んでよい。インターポーザ700は、受動および能動デバイスの両方を含む埋め込みデバイス714をさらに含んでよい。そのようなデバイスは、コンデンサ、デカップリングコンデンサ、レジスタ、インダクタ、ヒューズ、ダイオード、変圧器、センサ、および静電放電(ESD)デバイスを含むが、これらに限定されない。無線周波数(RF)デバイス、電力増幅器、電力管理デバイス、アンテナ、アレイ、センサ、およびMEMSデバイスなどのより複雑なデバイスもまた、インターポーザ700上に形成されてもよい。本開示の実施形態によれば、本明細書に開示される装置または過程が、インターポーザ700の製造において使用されてよい。
よって、本開示の実施形態は、第1のゲート誘電体厚さを有するナノリボントランジスタと、第1のゲート誘電体厚さより大きい第2のゲート誘電体厚さを有するトライゲートトランジスタとを含む半導体デバイス、および結果として生じる複数の構造を含んでよい。
要約書に記載されるものを含む、本発明の例示される複数の実装についての上記の説明は、網羅的であること、または本発明を開示される厳密な形態に限定することを意図しない。例示を目的として本発明の具体的な実装例および本発明の例を本明細書において記載している一方、当業者であれば理解するであろうように、本発明の範囲内において様々な同等の修正が実施可能である。
これらの修正は上記の詳細な説明の観点から本発明になされてよい。以下の特許請求の範囲で使用される用語は、本発明を明細書および特許請求の範囲に開示された具体的な実装例に限定するために解釈されるべきではない。むしろ、本発明の範囲は、以下の特許請求の範囲により専ら判断されるものであり、特許請求の範囲は、特許請求の範囲の解釈において確立された原則により解釈される。
例1:半導体デバイスは、基板と、基板上の第1のトランジスタであって、第1の半導体チャネルの鉛直スタックを含む、第1のトランジスタと、第1の半導体チャネルの各々の周囲の第1のゲート誘電体であって、第1の厚さを有する、第1のゲート誘電体と、基板上の第2のトランジスタであって、第2のトランジスタが第2の半導体チャネルを含み、第2の半導体チャネルは、側壁の対および頂面を含む、第2のトランジスタと、フィンの側壁の対上および頂面上の第2のゲート誘電体であって、第2のゲート誘電体は第1の厚さより大きい第2の厚さを有する、第2のゲート誘電体と、を備える。
例2:例1の半導体デバイスであって、第1の半導体チャネルはナノリボンまたはナノワイヤである。
例3:例1または例2の半導体デバイスであって、第2の半導体チャネルは基板から上に延在するフィンの一部である。
例4:例1−3の半導体デバイスであって、第1の厚さは約3nm以下であり、第2の厚さは約3nm以上である。
例5:例1−4の半導体デバイスであって、第1の半導体チャネルの間の間隔は約10nm以下である。
例6:例1−5の半導体デバイスであって、第1のトランジスタは第1のチャネル長さを有し、第2のトランジスタは第1のチャネル長さより大きい第2のチャネル長さを有する。
例7:例6の半導体デバイスであって、第2のチャネル長さは約50nm以上である。
例8:例1−7の半導体デバイスであって、第1のトランジスタは論理トランジスタであり、第2のトランジスタは高電圧トランジスタである。
例9:例1−8の半導体デバイスであって、第2の半導体チャネルの頂面は、第1の半導体チャネルの鉛直スタックにおける最上部の第1の半導体チャネルの頂面の上方にある。
例10:基板上に第1の領域および第2の領域を形成する段階であって、第1の領域は第1のチャネル層および犠牲層の交互するスタックを含み、第2の領域は単一の第2のチャネル層を含む、段階と、第1の領域において第1のフィン、第2の領域において第2のフィンを形成するように、第1の領域および第2の領域をパターニングする段階と、第1のフィンから第1のトランジスタを形成する段階であって、形成する段階は第1のフィンから犠牲層を除去する段階と、第1のチャネル層の各々の周囲に第1のゲート誘電体を配設する段階を含み、第1のゲート誘電体は第1の厚さを有する、形成する段階と、第2のフィンから第2のトランジスタを形成する段階であって、形成する段階は、第2のフィンの側壁面上および頂面上に第2のゲート誘電体を配設する段階を含み、第2のゲート誘電体は第1の厚さより大きい第2の厚さを有する、形成する段階とを備える、半導体デバイスを形成する方法である。
例11:例10に記載の方法であって、第1の領域および第2の領域を形成する段階は、第1のチャネル層および犠牲層の交互するスタックを形成する段階と、交互するスタックの部分をマスキングする段階であって、交互するスタックのマスキングされた部分は第1の領域を画定し、交互するスタックのマスキングされていない部分は第2の領域を画定する、段階と、基板を露出させるように、交互するスタックのマスキングされていない部分を除去する段階と、基板から上に第2のチャネル層を成長させる段階と、を含む。
例12:例10に記載の方法であって、第1の領域および第2の領域を形成する段階は、基板をマスキングする段階であって、基板のマスキングされた部分は、第2の領域において第2のチャネル層を画定し、基板のマスキングされていない部分は、第1の領域を画定する、段階と、第1の領域において基板をエッチングする段階と、第1の領域において第1のチャネル層および犠牲層の交互するスタックを成長させる段階と、を含む。
例13:例10−12の方法であって、交互するスタックの最上部の層および最下部の層は犠牲層である。
例14:例10−13の方法であって、第1のフィンにおける第1のチャネル層はナノリボンまたはナノワイヤである。
例15:例10−14の方法であって、第1の厚さは約3nm以下であり、第2の厚さは約3nm以上である。
例16:例10−15の方法であって、第1のチャネル層の間の間隔は約10nm以下である。
例17:基板と、基板上のゲートオールアラウンド(GAA)トランジスタであって、第1の厚さを有する第1のゲート誘電体を含む、GAAトランジスタと、基板上のトライゲートトランジスタであって、第1の厚さより大きい第2の厚さを有する第2のゲート誘電体を含むトライゲートトランジスタと、を備える、半導体デバイス。
例18:例17の半導体デバイスであって、GAAトランジスタはナノワイヤトランジスタまたはナノリボントランジスタである。
例19:例17または例18の半導体デバイスであって、第1の厚さは約3nm以下であり、第2の厚さは約3nm以上である。
例20:例17−19の半導体デバイスであって、GAAトランジスタは第1のチャネル長さを有し、トライゲートトランジスタは第1のチャネル長さより大きい第2のチャネル長さを有する。
例21:例17−20の半導体デバイスであって、GAAトランジスタは論理トランジスタであり、トライゲートトランジスタは高電圧トランジスタである。
例22:例21の半導体デバイスであって、高電圧トランジスタの動作電圧は約1.0V以上である。
例23:ボードと、ボードに電気的に連結される半導体パッケージと、半導体パッケージに電気的に連結されるダイであって、基板と、基板上のゲートオールアラウンド(GAA)トランジスタであって、第1の厚さを有する第1のゲート誘電体を含むGAAトランジスタと、基板上のトライゲートトランジスタであって、第1の厚さより大きい第2の厚さを有する第2のゲート誘電体を含むトライゲートトランジスタとを含むダイと、を備える、電子デバイス。
例24:例23の電子デバイスであって、GAAトランジスタは論理トランジスタであり、トライゲートトランジスタは高電圧トランジスタである。
例25:例23または例24の電子デバイスであって、第1の厚さは約3nm以下であり、第2の厚さは約3nm以上である。

Claims (25)

  1. 基板と、
    前記基板上の第1のトランジスタであって、第1の半導体チャネルの鉛直スタックを含む、第1のトランジスタと、
    前記第1の半導体チャネルの各々の周囲の第1のゲート誘電体であって、第1の厚さを有する、第1のゲート誘電体と、
    基板上の第2のトランジスタであって、第2の半導体チャネルを含み、前記第2の半導体チャネルは、側壁の対および頂面を含む、第2のトランジスタと、
    フィンの前記側壁の対上および前記頂面上の第2のゲート誘電体であって、前記第1の厚さより大きい第2の厚さを有する、第2のゲート誘電体と、を備える、
    半導体デバイス。
  2. 前記第1の半導体チャネルはナノリボンまたはナノワイヤである、請求項1に記載の半導体デバイス。
  3. 前記第2の半導体チャネルは前記基板から上に延在するフィンの一部である、請求項1または2に記載の半導体デバイス。
  4. 前記第1の厚さは約3nm以下であり、前記第2の厚さは約3nm以上である、請求項1から3のいずれか一項に記載の半導体デバイス。
  5. 前記第1の半導体チャネルの間の間隔は約10nm以下である、請求項1から4のいずれか一項に記載の半導体デバイス。
  6. 前記第1のトランジスタは第1のチャネル長さを有し、前記第2のトランジスタは前記第1のチャネル長さより大きい第2のチャネル長さを有する、請求項1から5のいずれか一項に記載の半導体デバイス。
  7. 前記第2のチャネル長さは約50nm以上である、請求項6に記載の半導体デバイス。
  8. 前記第1のトランジスタは論理トランジスタであり、前記第2のトランジスタは高電圧トランジスタである、請求項1から7のいずれか一項に記載の半導体デバイス。
  9. 前記第2の半導体チャネルの前記頂面は、前記第1の半導体チャネルの前記鉛直スタックにおける最上部の第1の半導体チャネルの頂面の上方にある、請求項1から8のいずれか一項に記載の半導体デバイス。
  10. 半導体デバイスを形成する方法であって、
    基板上に第1の領域および第2の領域を形成する段階であって、前記第1の領域は第1のチャネル層および犠牲層の交互するスタックを含み、前記第2の領域は単一の第2のチャネル層を含む、段階と、
    前記第1の領域において第1のフィン、前記第2の領域において第2のフィンを形成するように、前記第1の領域および前記第2の領域をパターニングする段階と、
    前記第1のフィンから第1のトランジスタを形成する段階であって、前記形成する段階は、前記第1のフィンから犠牲層を除去する段階と、前記第1のチャネル層の各々の周囲に第1のゲート誘電体を配設する段階を含み、前記第1のゲート誘電体は第1の厚さを有する、形成する段階と、
    前記第2のフィンから第2のトランジスタを形成する段階であって、前記形成する段階は、前記第2のフィンの側壁面上および頂面上に第2のゲート誘電体を配設する段階を含み、前記第2のゲート誘電体は前記第1の厚さより大きい第2の厚さを有する、形成する段階と、
    を備える、方法。
  11. 前記第1の領域および前記第2の領域を形成する段階は、
    第1のチャネル層および犠牲層の前記交互するスタックを形成する段階と、
    前記交互するスタックの部分をマスキングする段階であって、前記交互するスタックのマスキングされた部分は前記第1の領域を画定し、前記交互するスタックのマスキングされていない部分は前記第2の領域を画定する、段階と、
    前記基板を露出させるように、前記交互するスタックの前記マスキングされていない部分を除去する段階と、
    前記基板から上に前記第2のチャネル層を成長させる段階と、を含む、
    請求項10に記載の方法。
  12. 前記第1の領域および前記第2の領域を形成する段階は、
    前記基板をマスキングする段階であって、前記基板のマスキングされた部分は、前記第2の領域において第2のチャネル層を画定し、前記基板のマスキングされていない部分は、前記第1の領域を画定する、段階と、
    前記第1の領域において前記基板をエッチングする段階と、
    前記第1の領域において第1のチャネル層および犠牲層の交互するスタックを成長させる段階と、を含む、
    請求項10に記載の方法。
  13. 前記交互するスタックの最上部の層および最下部の層は犠牲層である、請求項10から12のいずれか一項に記載の方法。
  14. 前記第1のフィンにおける前記第1のチャネル層はナノリボンまたはナノワイヤである、請求項10から13のいずれか一項に記載の方法。
  15. 前記第1の厚さは約3nm以下であり、前記第2の厚さは約3nm以上である、請求項10から14のいずれか一項に記載の方法。
  16. 前記第1のチャネル層の間の間隔は約10nm以下である、請求項10から15のいずれか一項に記載の方法。
  17. 基板と、
    前記基板上のゲートオールアラウンド(GAA)トランジスタであって、第1の厚さを有する第1のゲート誘電体を含む、GAAトランジスタと、
    前記基板上のトライゲートトランジスタであって、前記第1の厚さより大きい第2の厚さを有する第2のゲート誘電体を含むトライゲートトランジスタと、
    を備える、半導体デバイス。
  18. 前記GAAトランジスタはナノワイヤトランジスタまたはナノリボントランジスタである、請求項17に記載の半導体デバイス。
  19. 前記第1の厚さは約3nm以下であり、前記第2の厚さは約3nm以上である、請求項17または18に記載の半導体デバイス。
  20. 前記GAAトランジスタは第1のチャネル長さを有し、前記トライゲートトランジスタは前記第1のチャネル長さより大きい第2のチャネル長さを有する、請求項17から19のいずれか一項に記載の半導体デバイス。
  21. 前記GAAトランジスタは論理トランジスタであり、前記トライゲートトランジスタは高電圧トランジスタである、請求項17から20のいずれか一項に記載の半導体デバイス。
  22. 前記高電圧トランジスタの動作電圧は約1.0V以上である、請求項21に記載の半導体デバイス。
  23. ボードと、
    前記ボードに電気的に連結される半導体パッケージと、
    前記半導体パッケージに電気的に連結されるダイであって、
    基板と、
    前記基板上のゲートオールアラウンド(GAA)トランジスタであって、第1の厚さを有する第1のゲート誘電体を含むGAAトランジスタと、
    前記基板上のトライゲートトランジスタであって、前記第1の厚さより大きい第2の厚さを有する第2のゲート誘電体を含むトライゲートトランジスタとを含む、ダイと、
    を備える、電子デバイス。
  24. 前記GAAトランジスタは論理トランジスタであり、前記トライゲートトランジスタは高電圧トランジスタである、請求項23に記載の電子デバイス。
  25. 前記第1の厚さは約3nm以下であり、前記第2の厚さは約3nm以上である、請求項23または24に記載の電子デバイス。
JP2020147917A 2019-12-13 2020-09-02 高電圧厚ゲートFinFETデバイスを有する、一体化された高性能ナノリボントランジスタ Pending JP2021097210A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/713,619 2019-12-13
US16/713,619 US20210184051A1 (en) 2019-12-13 2019-12-13 Co-integrated high performance nanoribbon transistors with high voltage thick gate finfet devices

Publications (1)

Publication Number Publication Date
JP2021097210A true JP2021097210A (ja) 2021-06-24

Family

ID=76085712

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020147917A Pending JP2021097210A (ja) 2019-12-13 2020-09-02 高電圧厚ゲートFinFETデバイスを有する、一体化された高性能ナノリボントランジスタ

Country Status (5)

Country Link
US (1) US20210184051A1 (ja)
JP (1) JP2021097210A (ja)
KR (1) KR20210075837A (ja)
DE (1) DE102020130198A1 (ja)
TW (1) TW202137562A (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10910273B2 (en) * 2019-02-25 2021-02-02 International Business Machines Corporation Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer
US20220413376A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Extreme ultraviolet lithography patterning with assist features
US11908743B2 (en) 2021-09-27 2024-02-20 International Business Machines Corporation Planar devices with consistent base dielectric

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9029834B2 (en) * 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US9412822B2 (en) * 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9673221B2 (en) * 2015-03-03 2017-06-06 International Business Machines Corporation Semiconductor device with low band-to-band tunneling
KR102424963B1 (ko) * 2015-07-30 2022-07-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10014389B2 (en) * 2016-07-26 2018-07-03 Globalfoundries Inc. Methods of forming IC products comprising a nano-sheet device and a transistor device having first and second replacement gate structures
KR102551589B1 (ko) * 2016-09-29 2023-07-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10756089B2 (en) * 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US10741660B2 (en) * 2018-06-12 2020-08-11 International Business Machines Corporation Nanosheet single gate (SG) and extra gate (EG) field effect transistor (FET) co-integration
US11545573B2 (en) * 2019-09-10 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid nanostructure and fin structure device

Also Published As

Publication number Publication date
KR20210075837A (ko) 2021-06-23
US20210184051A1 (en) 2021-06-17
TW202137562A (zh) 2021-10-01
DE102020130198A1 (de) 2021-06-17

Similar Documents

Publication Publication Date Title
US9935205B2 (en) Internal spacers for nanowire transistors and method of fabrication thereof
US9614060B2 (en) Nanowire transistor with underlayer etch stops
US11664377B2 (en) Forksheet transistor architectures
US20230420501A1 (en) Single gated 3d nanowire inverter for high density thick gate soc applications
JP2021097210A (ja) 高電圧厚ゲートFinFETデバイスを有する、一体化された高性能ナノリボントランジスタ
EP3836202A1 (en) Nanoribbon thick gate devices with differential ribbon spacing and width for soc applications
US11862635B2 (en) Neighboring gate-all-around integrated circuit structures having disjoined epitaxial source or drain regions
US11990476B2 (en) Semiconductor nanowire device having (111)-plane channel sidewalls
EP3836203A1 (en) Long channel nanowire transistors for soc applications
JP2021097209A (ja) 高電圧拡張ドレインmos(edmos)ナノワイヤトランジスタ
EP4202988A1 (en) Recessed and self-aligned buried power rail
EP4203035A1 (en) Semiconductor structure for nanoribbon architectures
US20230317809A1 (en) Selective passivation for epi growth in presence of metallic contacts
US20230207623A1 (en) Epi barrier aligned backside contact
US20230100505A1 (en) Selective growth of high-k oxide on channel of gate-all-around transistors
US20230197713A1 (en) Gate-all-around integrated circuit structures having raised wall structures for epitaxial source or drain region confinement
CN115528086A (zh) 通过利用外延保护去除背侧硅衬底的纳米带子鳍状物隔离

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230828