JP2020532759A - メトロロジ方法及び装置 - Google Patents

メトロロジ方法及び装置 Download PDF

Info

Publication number
JP2020532759A
JP2020532759A JP2020509496A JP2020509496A JP2020532759A JP 2020532759 A JP2020532759 A JP 2020532759A JP 2020509496 A JP2020509496 A JP 2020509496A JP 2020509496 A JP2020509496 A JP 2020509496A JP 2020532759 A JP2020532759 A JP 2020532759A
Authority
JP
Japan
Prior art keywords
target
measurement
polarization
substrate
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020509496A
Other languages
English (en)
Other versions
JP7110327B2 (ja
Inventor
ヤク,マーティン,ヤコブス,ヨハン
エベルト,マルティン
ボーフ,アリー,ジェフリー デン
ボーフ,アリー,ジェフリー デン
パーンデー,ニテシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2020532759A publication Critical patent/JP2020532759A/ja
Application granted granted Critical
Publication of JP7110327B2 publication Critical patent/JP7110327B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

1つ又は複数の測定品質パラメータに対して、パターニングプロセスを使用して処理された基板のメトロロジターゲットの測定に関連する複数の偏光特性を評価すること、及び前記測定品質パラメータの1つ又は複数に基づいて、前記複数の偏光特性から1つ又は複数の偏光特性を選択することを含む方法。【選択図】 図15

Description

関連出願の相互参照
[0001] 本出願は、2017年9月6日に出願された欧州特許出願第17189662.4号の優先権を主張するものであり、その全体を参照により本明細書に援用する。
[0002] 本開示は、例えば、リソグラフィ技術によるデバイスの製造に使用可能な検査(例えば、メトロロジ)のための方法及び装置と、リソグラフィ技術を使用してデバイスを製造する方法とに関する。
[0003] リソグラフィ装置は、基板に、通常は基板のターゲット部分に所望のパターンを付加する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造で使用することができる。その際に、代替としてマスク又はレチクルとも称されるパターニングデバイスを使用して、ICの個々の層に形成される回路パターンを発生させることができる。このパターンは、基板(例えば、シリコンウェーハ)のターゲット部分(例えば、1つ又は複数のダイの一部を含む)に転写することができる。パターンの転写は通常、基板に設けられた放射感応性材料(レジスト)層への結像による。一般に、単一の基板は、連続的にパターン形成された、隣接したターゲット部分のネットワークを含む。
[0004] パターニングプロセス(すなわち、パターニング(リソグラフィ露光又はインプリントなど)を含む、デバイス又は他の構造を作成するプロセス。通常、レジストの現像やエッチングなど、1つ又は複数の関連する処理ステップを含むことがある)を可能にするための重要な側面として、このプロセス自体を開発すること、監視及び制御できるようにこのプロセスをセットアップすること、次いでプロセス自体を実際に監視して制御することが挙げられる。パターニングデバイスパターン、レジストタイプ、リソグラフィ後のプロセスステップ(例えば現像やエッチング)などのパターニングプロセスの原理構成を仮定すると、基板上にパターンを転写するためのパターニングプロセスにおいて装置をセットアップし、1つ又は複数のメトロロジターゲットを現像してプロセスを監視し、メトロロジプロセスをセットアップしてメトロロジターゲットを測定し、次いで測定量に基づいてプロセスを監視及び/又は制御するプロセスを実施することが望ましい。
[0005] したがって、パターニングプロセスでは、構造のクリティカルディメンジョン(CD)や、基板内又は基板上に形成される連続層間のオーバーレイ誤差(すなわち、望ましくない意図していない連続層のミスアライメント)など、1つ又は複数の対象のパラメータを決定すること(例えば、測定することや、パターニングプロセスの1つ又は複数の側面をモデル化する1つ又は複数のモデルを使用してシミュレートすること)が望ましい。
[0006] パターニングプロセスによって作成された構造に対して、そのような1つ又は複数の対象のパラメータを決定し、パターニングプロセスに関する設計、制御、及び/又は監視のため、例えばプロセスの設計、制御、及び/又は検証のためにこのパラメータを使用することが望ましい。パターン形成された構造の決定された1つ又は複数の対象のパラメータは、パターニングプロセスの設計、補正、及び/又は検証、欠陥検出又は分類、歩留まり推定、及び/又はプロセス制御のために使用することができる。
[0007] したがって、パターン形成プロセスでは、多くの場合、例えば、プロセス制御及び検証を行うために、形成された構造の測定を行うのが好ましい。クリティカルディメンジョン(CD)を測定するのにしばしば使用される走査電子顕微鏡と、デバイスの2つの層のアライメント精度の尺度であるオーバーレイを測定する専用ツールとを含む、上記の測定を行う様々なツールが公知である。オーバーレイは、2つの層間のミスアライメントの度合いによって表すことができ、例えば、測定された1nmのオーバーレイという表現は、2つの層が1nmだけずれた状態を表すことができる。
[0008] 様々な形態の検査装置(例えば、メトロロジ装置)が、リソグラフィ分野で使用するために開発された。これらのデバイスは、ターゲットの対象となる特性を求めることを可能にする「スペクトル」を得るために、放射ビームをターゲットに誘導し、再誘導された(散乱)放射線の1つ又は複数の特性、例えば、単一の反射角における波長に応じた強度照度、1つ又は複数の波長における反射角に応じた強度照度、又は反射角に応じた偏光を測定する。対象となる特性は、様々な技術、例えば、厳密結合波分析又は有限要素法などの反復手法によるターゲットの再現、ライブラリ検索、及び主成分分析によって求めることができる。
[0009] さらなる技法は、(鏡面反射に対応する)0次回折を阻止することを伴い、より高次の回折のみが処理される。そのようなメトロロジの例は、国際公開第2009/078708号及び国際公開第2009/106279号で見ることができ、これらの特許文献全体を参照により本明細書に援用する。この技法のさらなる発展形態は、米国特許出願公開第2011/0027704号、米国特許出願公開第2011/0043791号、及び米国特許出願公開第2012/0242940号に記載されており、これらの各特許出願全体を参照により本明細書に援用する。通常、そのような回折ベースの技法は、オーバーレイを測定するために使用される。技法のためのターゲットは、照明スポットよりも小さくてよく、基板上の製品構造によって囲まれていてもよい。ターゲットは、複数の周期構造を備えることができ、これらは1つの像で測定することができる。そのようなメトロロジ技法の特定の形態では、オーバーレイ測定結果は、−1次及び+1次の回折次数強度を別個に得るためにターゲットを回転させながら、又は照明モード若しくは結像モードを変更しながら、特定の条件下でターゲットを2回測定することによって得られる。所与のターゲットに関する強度非対称性、これらの回折次数強度の比較は、ターゲット非対称性、すなわちターゲットにおける非対称性の測定を提供する。オーバーレイ誤差の指標として、ターゲットにおけるこの非対称性を使用することができる。
[0010] オーバーレイ測定の例では、オーバーレイ(すなわち、オーバーレイ誤差及び意図的なバイアス)が、ターゲットにおけるターゲット非対称性の唯一の原因であるという仮定に依拠する。上層における周期構造内、上層における周期構造によってオーバーレイされる下層における周期構造内、又はそれら両方におけるフィーチャの構造的非対称性など、ターゲットにおける任意の他の非対称性は、1次の(又は他のより高次の)強度非対称性も引き起こす。ターゲットにおけるそのような他の非対称性に起因し得る、オーバーレイ(意図的なバイアスを含む)とは関係ないこの強度非対称性は、オーバーレイ測定を乱し、不正確なオーバーレイ測定を与える。ターゲットの下部又は底部の周期構造における非対称性は、構造的非対称性の一般的な形態である。例えば、底部周期構造が最初に形成された後に行われる化学機械研磨(CMP)などの基板処理ステップに起因し得る。オーバーレイ測定などのメトロロジ測定の検出性、精度、及び/又はロバスト性を改良することが望ましい。
[0011] 一実施形態では、1つ又は複数の測定品質パラメータに対して、パターニングプロセスを使用して処理された基板のメトロロジターゲットの測定に関連する複数の偏光特性を評価すること、及び測定品質パラメータの1つ又は複数に基づいて、複数の偏光特性から1つ又は複数の偏光特性を選択することを含む方法が提供される。
[0012] さらなる特徴及び利点、さらには、様々な実施形態の構造及び動作が、添付図面を参照して下記に詳細に説明される。なお、本発明は、本明細書で説明する特定の実施形態に限定されない。そのような実施形態は、例示のみを目的として本明細書に提示される。さらなる実施形態が、本明細書に記載された教示から当業者に明らかになるであろう。
[0013] 実施形態が、単なる例として、添付の図面を参照して以下に説明される。
[0014]リソグラフィ装置の一実施形態を示す図である。 [0015]リソグラフィセル又はクラスタの一実施形態を示す図である。 [0016]例示的な検査装置及びメトロロジ技法を概略的に示す図である。 [0017]例示的な検査装置を概略的に示す図である。 [0018]検査装置の照明スポットとメトロロジターゲットとの関係を示す図である。 [0019]測定データに基づいて複数の対象の変数を導出するプロセスを概略的に示す図である。 [0020]第1の対の照明アパーチャを使用してターゲットを測定するように構成された検査装置(例えば、この場合は暗視野スキャトロメータ)の概略図である。 [0021]所与の照明方向に関するターゲット周期構造の回折スペクトルの詳細を概略的に示す図である。 [0022]回折ベースのオーバーレイ測定のために図7Aの検査装置を使用する際にさらなる照明モードを提供する第2の対の照明アパーチャを概略的に示す図である。 [0023]第1と第2の対のアパーチャを組み合わせた第3の対の照明アパーチャを概略的に示す図である。 [0024]多重周期構造ターゲットの形態と、基板上の測定スポットの概要とを示す図である。 [0025]図7Aの検査装置で得られた図8のターゲットの像を示す図である。 [0026]図3の検査装置を使用するオーバーレイ測定法のステップを示す流れ図である。 [0027]ゼロの領域内で異なるオーバーレイ値を有するオーバーレイ周期構造の概略断面図である。 [0027]ゼロの領域内で異なるオーバーレイ値を有するオーバーレイ周期構造の概略断面図である。 [0027]ゼロの領域内で異なるオーバーレイ値を有するオーバーレイ周期構造の概略断面図である。 [0028]処理の効果による底部周期構造での構造的非対称性を有するオーバーレイ周期構造の概略断面図である。 [0029]本発明の一実施形態による測定を行うために使用されるオーバーレイ周期構造の概略断面図である。 [0030]構造的非対称性の影響を受けない理想的なターゲットでのオーバーレイ測定の原理を示す図である。 [0031]本明細書における実施形態において開示される構造的非対称性の補正を伴う、理想的でないターゲットでのオーバーレイ測定の原理を示す図である。 [0032]単一波長に対する様々な偏光角での測定ターゲットに関する回折効率のグラフである。 [0033]単一波長に対する様々な偏光での測定ターゲットに関するスタック感度のグラフである。 [0034]本発明の一実施形態によるメトロロジ装置の一部の概略図である。 [0035]照明光の2つの直交偏光の様々な位相差、及び照明放射線の様々な波長での測定ターゲットに関するオーバーレイ感度のグラフである。 [0036]本発明の一実施形態による、オーバーレイ感度をどのように増加させることができるかを示す概略図である。
[0037] 実施形態を詳細に述べる前に、実施形態を実施することができる例示的な環境を示すことが有益である。
[0038] 図1は、リソグラフィ装置LAを概略的に示す。この装置は、放射ビームB(例えばUV放射線又はDUV放射線)を調整するように構成された照明光学系(照明器)ILと、パターニングデバイス(例えばマスク)MAを支持するように構成され、特定のパラメータに従ってパターニングデバイスを正確に位置決めするように構成された第1の位置決め装置PMに接続されたパターニングデバイス支持体又は支持構造(例えばマスクテーブル)MTと、基板(例えばレジストコートウェハ)Wを保持するように構成され、特定のパラメータに従って基板を正確に位置決めするように構成された第2の位置決め装置PWに接続された基板テーブル(例えばウェハテーブル)WTと、基板Wのターゲット部分C(例えば1つ又は複数のダイを含む)上にデバイスMAをパターン形成することによって、放射ビームBに付与されたパターンを投影するように構成された投影光学系(例えば屈折投影レンズ系)PSを含む。
[0039] 照明光学系は、放射線を誘導、整形、又は制御するための、屈折、反射、磁気、電磁気、静電気、若しくは他のタイプの光学構成要素、又はそれらの任意の組合せなど、様々なタイプの光学構成要素を含むことがある。
[0040] パターニングデバイス支持体は、パターニングデバイスの向き、リソグラフィ装置の設計、及び例えばパターニングデバイスが真空環境内に保持されているかどうかなどの他の条件に応じた様式でパターニングデバイスを保持する。パターニングデバイス支持体は、パターニングデバイスを保持するために機械的、真空、静電、又は他のクランプ技法を使用することができる。パターニングデバイス支持体は、フレーム又はテーブルでよく、例えば、必要に応じて固定式又は可動式でよい。パターニングデバイス支持体は、パターニングデバイスが例えば投影システムに対して確実に所望の位置にくるようにすることができる。本明細書における「レチクル」又は「マスク」という用語の使用は、より一般的な「パターニングデバイス」という用語と同義とみなすことができる。
[0041] 本明細書で使用される「パターニングデバイス」という用語は、基板のターゲット部分にパターンを作成するように、ビームの断面にパターンを付与するために使用することができる任意のデバイスを表すものとして広く解釈すべきである。例えばパターンが位相シフトフィーチャ又はいわゆるアシストフィーチャを含む場合、放射ビームに付与されたパターンは、基板のターゲット部分での所望のパターンに正確には対応しないことがあることに留意されたい。一般に、放射ビームに付与されたパターンは、集積回路などのターゲット部分に作成されているデバイスでの特定の機能層に対応する。
[0042] パターニングデバイスは、透過型でも反射型でもよい。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルが挙げられる。マスクは、リソグラフィにおいてよく知られており、バイナリ、レべンソン型(alternating)位相シフト、及びハーフトーン型(attenuated)位相シフトなどのマスクタイプ、並びに様々なハイブリッドマスクタイプを含む。プログラマブルミラーアレイの一例は、小さいミラーのマトリックス配置を採用し、各ミラーは、入射する放射ビームを異なる方向に反射するように個々に傾斜させることができる。傾斜したミラーは、放射ビームにパターンを付与し、この放射ビームがミラーマトリックスによって反射される。
[0043] 本明細書で示すように、装置は透過型(例えば透過型マスクを採用する)でよい。代替として、装置は反射型(例えば、上で言及したようなタイプのプログラマブルミラーアレイを採用する、又は反射型マスクを採用する)でもよい。
[0044] リソグラフィ装置は、投影システムと基板との間の空間を満たすように、比較的高い屈折率を有する液体、例えば水で基板の少なくとも一部を覆うことができるタイプのものでもよい。リソグラフィ装置内の他の空間、例えばマスクと投影システムとの間に浸液を適用してもよい。投影システムの開口数を増加させるための液浸技法は、当技術分野においてよく知られている。本明細書で使用される「液浸」という用語は、基板などの構造が液体に浸されなければならないことを意味するのではなく、露光中に投影システムと基板との間に液体があることを意味するにすぎない。
[0045] 図1を参照すると、イルミネータILは、放射源SOから放射ビームを受け取る。例えば放射源がエキシマレーザであるとき、放射源とリソグラフィ装置とは別体でもよい。そのような場合、放射源は、リソグラフィ装置の一部を成すとはみなされず、放射ビームは、例えば適切な誘導ミラー及び/又はビームエキスパンダを含むビーム送達システムBDによって放射源SOからイルミネータILに送られる。他の場合には、例えば放射源が水銀ランプであるとき、放射源はリソグラフィ装置の一部でよい。放射源SO及びイルミネータILは、必要であればビーム送達システムBDと共に、放射システムと呼ぶことができる。
[0046] イルミネータILは、放射ビームの角強度分布を調節するための調節装置ADを含むことができる。一般に、イルミネータの瞳面における強度分布の少なくとも外側及び/又は内側の半径範囲(通常、それぞれσ−外側及びσ−内側と呼ばれる)を調節することができる。さらに、イルミネータILは、インテグレータIN及びコンデンサCOなど様々な他の構成要素を含むことができる。イルミネータを使用して、放射ビームを調整し、その断面に所望の均一性及び強度分布を有するようにすることができる。
[0047] 放射ビームBは、パターニングデバイス支持体(例えばマスクテーブル)MTに保持されているパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによってパターン形成される。パターニングデバイス(例えばマスク)MAを通過すると、放射ビームBは、投影光学系PSを通過し、投影光学系PSは、ビームを基板Wのターゲット部分Cに集束させ、それによってパターンの像をターゲット部分Cに投影する。第2の位置決め装置PW及び位置センサIF(例えば、干渉計デバイス、リニアエンコーダ、2−Dエンコーダ、又は静電容量センサ)を用いて、例えば異なるターゲット部分Cを放射ビームBの経路内に位置決めするように基板テーブルWTを正確に移動させることができる。同様に、第1の位置決め装置PM及び別の位置センサ(図1には明示されていない)を使用して、例えばマスクライブラリからの機械的な取出し後、又はスキャン中に、放射ビームBの経路に対してパターニングデバイス(例えばマスク)MAを正確に位置決めすることができる。
[0048] パターニングデバイス(例えばマスク)MAと基板Wは、パターニングデバイスアライメントマークM、Mと基板アライメントマークP、Pとを使用してアライメントすることができる。図示される基板アライメントマークは専用のターゲット部分を占めるが、ターゲット部分間の空間内に位置されてもよい(これらはスクライブレーンアライメントマークとして知られている)。同様に、パターニングデバイス(例えばマスク)MAに複数のダイが設けられている状況では、パターニングデバイスアライメントマークをダイ間に位置することができる。小さいアライメントマーカが、デバイスフィーチャの間でダイ内部に含まれてもよく、この場合、マーカはできるだけ小さく、隣接するフィーチャとは異なる結像又はプロセス条件を必要としないことが望ましい。アライメントマーカを検出するアライメントシステムについては、以下でさらに述べる。
[0049] この例でのリソグラフィ装置LAは、いわゆるデュアルステージタイプのものであり、2つの基板テーブルWTa、WTbと、2つのステーション(露光ステーションと測定ステーション)とを有し、それらのステーション間で基板テーブルを交換することができる。1つの基板テーブル上の1つの基板が露光ステーションで露光されている間に、別の基板を測定ステーションで他の基板テーブルに装填することができ、様々な予備ステップを行うことができる。予備ステップは、レベルセンサLSを使用して基板の表面制御をマッピングし、アライメントセンサASを使用して基板上のアライメントマーカの位置を測定することを含むことがある。これにより、装置のスループットを大幅に増加させることができる。
[0050] 図示される装置は、例えばステップモード又はスキャンモードを含む様々なモードで使用することができる。リソグラフィ装置の構成及び動作は当業者にはよく知られており、本発明の実施形態を理解するためにさらに述べる必要はない。
[0051] 図2に示されるように、リソグラフィ装置LAは、リソグラフィセルLC又はリソセル若しくはクラスタと呼ばれるリソグラフィシステムの一部を成す。また、リソグラフィセルLCは、基板に対して露光前及び露出後のプロセスを実施するための装置を含むこともできる。従来、これらは、レジスト層を堆積するためのスピンコータSC、露光されたレジストを現像するための現像装置DE、冷却プレートCH、及びベークプレートBKを含む。基板ハンドラ又はロボットROは、入出力ポートI/O1、I/O2から基板を取り、それらを異なるプロセス装置間で移動させ、次いでリソグラフィ装置のローディングベイLBに送達する。総称してトラックと呼ばれることが多いこれらのデバイスは、トラック制御ユニットTCUの制御下にあり、トラック制御ユニットTCUは、それ自体、監視制御システムSCSによって制御され、監視制御システムSCSはまた、リソグラフィ制御ユニットLACUを介してリソグラフィ装置を制御する。したがって、スループット及び処理効率を最大にするように異なる装置を動作させることができる。
[0052] 少なくとも1つのパターニングステップ(例えば光リソグラフィステップ)を含むパターニングプロセス(例えばデバイス製造プロセス)の設計、監視、制御などを行うために、パターン形成された基板を検査することができ、パターン形成された基板の1つ又は複数のパラメータが測定される。1つ又は複数のパラメータは、例えば、パターン形成された基板内又は基板上に形成された連続層間のオーバーレイ、例えばパターン形成された基板内又は基板上に形成されたフィーチャのクリティカルディメンジョン(CD)(限界線幅)、光リソグラフィステップの焦点又は焦点誤差、光リソグラフィステップのドーズ量又はドーズ誤差、光リソグラフィステップの光収差などを含むことがある。この測定は、製品基板自体のターゲット及び/又は基板上に提供される専用のメトロロジターゲットに対して実施することができる。走査型電子顕微鏡、像ベースの測定又は検査ツール、及び/又は様々な特殊ツールの使用を含め、パターニングプロセスで形成された構造の測定を行うための様々な技法がある。比較的高速で非侵襲的な形態の特殊メトロロジ及び/又は検査ツールは、放射ビームが基板表面上のターゲットに向けられ、散乱(回折/反射)ビームの特性が測定されるものである。基板によって散乱される前後のビームの1つ又は複数の特性を比較することによって、基板の1つ又は複数の特性を決定することができる。これは、回折ベースのメトロロジ又は検査と呼ばれることがある。
[0053] 図3は、例示的な検査装置(例えばスキャトロメータ)を示す。この検査装置は、基板W上に放射線を投影する広帯域(白色光)放射投影装置2を備える。再誘導された放射線は分光計検出器4に送られ、分光計検出器4は、例えば左下のグラフに示されるような鏡面反射放射線のスペクトル10(波長の関数としての強度)を測定する。このデータから、検出されたスペクトルを生じる構造又はプロファイルは、プロセッサPUによって、例えば厳密結合波解析及び非線形回帰によって、又は図3の右下に示されているようなシミュレートされたスペクトルのライブラリとの比較によって再構成することができる。一般に、再構成のために、構造の一般的な形態が知られており、構造が作られたプロセスの知識からいくつかの変数が仮定され、測定データから決定される構造の変数はいくつかのみである。そのような検査装置は、垂直入射検査装置又は斜入射検査装置として構成することができる。
[0054] 使用することができる別の検査装置が図4に示されている。このデバイスでは、放射源2によって放出された放射線は、レンズ系120を使用してコリメートされ、干渉フィルタ130及び偏光子170を透過され、部分反射面160によって反射され、対物レンズ150を介して基板W上のスポットSに集束される。対物レンズ150は、高い開口数(NA)、望ましくは少なくとも0.9又は少なくとも0.95を有する。液浸検査装置(水などの比較的高い屈折率の流体を使用する)は、1を超える開口数を有することさえあり得る。
[0055] リソグラフィ装置LAと同様に、測定動作中に基板Wを保持するために1つ又は複数の基板テーブルを設けることができる。基板テーブルは、図1の基板テーブルWTと形状が同様又は同一でよい。検査装置がリソグラフィ装置と一体化されている例では、それらは同じ基板テーブルでもよい。測定光学系に対して基板を正確に位置決めするように構成された第2の位置決め装置PWに、粗動位置決め装置及び微動位置決め装置を設けることができる。例えば、対象のターゲットの位置を獲得し、そのターゲットを対物レンズ150の下の位置に置くために、様々なセンサ及びアクチュエータが設けられる。通常、基板Wを横切る様々な位置で、ターゲットに対して多くの測定が行われる。基板支持体をX及びY方向に移動させて異なるターゲットを獲得し、Z方向に移動させて、光学系の焦点に対するターゲットの所望の位置を得ることができる。例えば実際には光学系が実質的に(典型的にはX及びY方向で、しかしおそらくZ方向でも)静止したままであり得て、基板だけが移動するときには、対物レンズが基板に対して様々な位置に導かれているかのように動作を考察して記載することが好都合である。基板と光学系の相対位置が正しいという前提で、原理的に、それらのどちらが現実世界で動いているのか、又は両方が動いているのか、又は光学系の一部が動いており(例えばZ及び/又は傾斜方向で)、光学系の残りの部分は静止しており、基板は動いている(例えばX及びY方向で、しかしまた任意選択でZ及び/又は傾斜方向で)という組合せなのかは問題とならない。
[0056] 次いで、基板Wによって再誘導された放射線は、部分反射面160を通過して検出器180に入り、スペクトルが検出される。検出器180を逆投影焦点面110(すなわち、レンズ系150の焦点距離)に位置してもよく、又は面110を、補助光学系(図示せず)を用いて検出器180上に再結像してもよい。検出器は、基板ターゲット30の2次元角散乱スペクトルを測定することができるように2次元検出器でよい。検出器180は、例えばCCD又はCMOSセンサのアレイでよく、また、例えばフレーム当たり40ミリ秒の積分時間を使用することができる。
[0057] 例えば、入射光線の強度を測定するために参照ビームを使用することができる。これを行うために、放射ビームが部分反射面160に入射するとき、放射ビームの一部が、参照ビームとして部分反射面160を透過されて、参照ミラー140に向かう。次いで、参照ビームは、同じ検出器180の異なる部分に投影されるか、又は異なる検出器(図示せず)に投影される。
[0058] 例えば405〜790nm、さらにはより低い、例えば200〜300nmの範囲内の対象波長を選択するために、1つ又は複数の干渉フィルタ130が利用可能である。干渉フィルタは、1セットの様々なフィルタを備えるのではなく、同調可能でよい。干渉フィルタの代わりに格子を使用することもできる。ターゲットへの放射線の入射角の範囲を制御するために、開口絞り又は空間光変調器(図示せず)を照明経路に設けることができる。
[0059] 検出器180は、再誘導された放射線の強度を単一波長(又は狭い波長範囲)で測定することができ、複数の波長で個別に、又はある波長範囲にわたって積分して強度を測定することもできる。さらに、検出器は、横方向の磁気偏光放射線と横方向の電気偏光放射線の強度、及び/又は横方向の磁気偏光放射線と横方向の電気偏光放射線との位相差を個別に測定することができる。
[0060] 基板W上のターゲット30は、現像後に固体レジストラインからバーが形成されるように印刷された1−D格子でよい。ターゲット30は、2−D格子でもよく、現像後にレジスト内の固体レジストピラー又はバイアから格子が形成されるように印刷される。バー、ピラー、又はバイアは、基板内又は基板上に(例えば、基板上の1つ又は複数の層内に)エッチングすることができる。(例えば、バー、ピラー、又はバイアの)パターンは、パターニングプロセスにおける処理の変化(例えば、リソグラフィ投影装置(特に投影システムPS)における光収差、焦点の変化、ドーズ量の変化など)に敏感であり、印刷された格子のばらつきとして現れる。したがって、印刷された格子の測定データを使用して、格子が再構成される。線幅及び/又は形状など1−D格子の1つ若しくは複数のパラメータ、又はピラー若しくはバイアの幅若しくは長さ若しくは形状など2−D格子の1つ若しくは複数のパラメータを、印刷ステップ及び/又は他の検査プロセスの知識からプロセッサPUによって実施される再構成プロセスに入力することができる。
[0061] 再構成によるパラメータの測定に加えて、回折ベースのメトロロジ又は検査を、製品及び/又はレジストパターンでのフィーチャの非対称性の測定において使用することができる。非対称性測定の特定の用途は、例えばオーバーレイの測定に関するが、他の用途も知られている。この場合、ターゲット30は通常、互いに重ね合わされた1セットの周期フィーチャを備える。例えば、非対称性は、ターゲット30からの回折スペクトルの対向する部分を比較すること(例えば、周期格子の回折スペクトルにおける−1次と+1次を比較すること)によって測定することができる。図3又は図4の機器を使用する非対称性測定の概念は、例えば、全体を参照により本明細書に援用する米国特許出願公開第2006066855号に記載されている。簡単に述べると、ターゲットの回折スペクトルでの回折次数の位置はターゲットの周期性によってのみ決定されるが、回折スペクトルにおける非対称性は、ターゲットを構成する個々のフィーチャの非対称性を示す。検出器180がイメージセンサでよい図4の機器では、そのような回折次数の非対称性は、検出器180によって記録される瞳孔像の非対称性として直接現れる。この非対称性は、PU単位でデジタル画像処理によって測定することができ、オーバーレイの既知の値に対して較正することができる。
[0062] 図5は、図4の装置における典型的なターゲット30及び照明スポットSの広がりの平面図を示す。周囲の構造からの干渉がない回折スペクトルを得るために、一実施形態では、ターゲット30は、照明スポットSの幅(例えば直径)よりも大きい周期構造(例えば格子)である。スポットSの幅は、ターゲットの幅及び長さよりも小さくてよい。換言すると、ターゲットは照明によって「アンダーフィル(underfilled)」されており、回折信号は、ターゲット自体の外部の製品フィーチャなどからのいかなる信号も本質的に含んでいない。照明構成2、120、130、170は、対物レンズ150の後焦点面にわたって均一な強度の照明を提供するように構成することができる。代替として、例えば照明経路にアパーチャを含めることによって、照明をオンアクシス方向又はオフアクシス方向に制限することができる。
[0063] 図6は、メトロロジを使用して得られた測定データに基づいてターゲットパターン30’の1つ又は複数の対象の変数の値を決定する例示的なプロセスを概略的に示す。検出器180によって検出された放射線は、ターゲット30’に関する測定された放射分布108を提供する。
[0064] 所与のターゲット30’について、例えば数値マクスウェルソルバ210を使用して、パラメータ化モデル206から放射分布208を計算/シミュレートすることができる。パラメータ化モデル206は、ターゲットを構成する、及びターゲットに関連する様々な材料の例示的な層を示す。パラメータ化モデル206は、考慮下のターゲットの部分のフィーチャ及び層に関する1つ又は複数の変数を含むことがあり、それらの変数は変更及び導出することができる。図6に示されるように、1つ又は複数の変数は、1つ又は複数の層の厚さt、1つ又は複数のフィーチャの幅w(例えばCD)、1つ又は複数のフィーチャの高さh、及び/又は1つ又は複数のフィーチャの側壁角度αを含むことがある。示されていないが、1つ又は複数の変数は、限定はしないが、1つ又は複数の層の屈折率(例えば実屈折率又は複素屈折率や屈折率テンソルなど)、1つ又は複数の層の吸光係数、1つ又は複数の層の吸収、現像中のレジスト損失、1つ又は複数のフィーチャのフッティング、及び/又は1つ又は複数のフィーチャのラインエッジ粗さをさらに含むことができる。変数の初期値は、測定されているターゲットに関して予想されるものでよい。次いで、測定された放射分布108は、212で、計算された放射分布208と比較されて、2つの放射分布の差が決定される。差があった場合、パラメータ化モデル206の1つ又は複数の変数の値を変えることができ、測定された放射分布108と計算された放射分布208との間に十分な合致が生じるまで、新たな計算された放射分布208を算出して、測定された放射分布108と比較することができる。その時点で、パラメータ化モデル206の変数の値は、実際のターゲット30’の幾何学的形状の良好な又は最良の合致を提供する。一実施形態では、測定された放射分布108と計算された放射分布208との差が公差閾値内にあるとき、十分な合致が存在する。
[0065] 実施形態での使用に適したさらなる検査装置が図7Aに示されている。例えば、そのようなメトロロジ装置、又は他の任意の適切なメトロロジ装置があり得る。ターゲットTとターゲットを照明するために使用される測定放射の回折放射線とが、図7Bにさらに詳細に示されている。図示した検査装置は、暗視野メトロロジ装置として公知のタイプである。検査装置は、スタンドアロン型デバイスとすることができるし、又は、例えば、測定ステーション若しくはリソグラフィックセルLCの何れかで、リソグラフィ装置LAに組み込むこともできる。装置全体にわたっていくつかの分岐を有する光軸は、点線Oで示されている。この装置では、放射源11(例えば、キセノンランプ)によって放射された放射線は、レンズ12、14及び対物レンズ16を含む光学系によって、光学要素15を介して基板Wに誘導される。これらのレンズは、2連の4F構成で配置されている。異なるレンズ構成が、例えば基板像を検出器上に形成し、同時に、空間周波数フィルタリング用の中間瞳面のアクセスを可能にするという条件で、異なるレンズ構成を使用することができる。したがって、放射線が基板に入射する角度範囲は、ここでは(共役)瞳面と称される、基板平面の空間スペクトルを示す平面の空間強度分布を画定することで選択することができる。特に、これは、レンズ12、14間で、対物レンズ瞳面の後方投影像である平面内に、適切な形態のアパーチャプレート13を挿入することで行うことができる。図示した例では、アパーチャプレート13は、様々な照明モードが選択されるのを可能にする、13N及び13Sの符号を付けた様々な形態を有する。この例の照明システムは、オフアクシス照明モードを形成している。第1の照明モードでは、アパーチャプレート13Nは、単に説明のために「北(N)」と指定した方向からのオフアクシス放射線をもたらす。第2の照射モードでは、アパーチャプレート13Sは、同様であるが「南(S)」の符号を付けた反対の方向から照明するために使用される。様々なアパーチャを使用することで、他の照明モードが可能である。所望の照明モード以外の任意の不必要な放射は、所望する測定信号に干渉することになるので、瞳面の残部は暗色とするのが望ましい。
[0066] 図7Bに示すように、ターゲットTは、基板Wが対物レンズ16の光軸Oに垂直な状態で配置されている。基板Wは、サポート(図示せず)によって支持することができる。軸Oから外れた角度からターゲットTに当たった測定放射線Iは、ゼロ次光線(実線0)及び2つの一次光線(一点鎖線+1及び二点鎖線−1)を生じさせる。小ターゲットがオーバーフィルされる場合、これらの光線は、メトロロジターゲットT及び他のフィーチャを含む基板の領域にわたる多数の平行光線の1つにすぎないことを忘れてはならない。プレート13のアパーチャは、(有用な放射量を受け入れるのに必要な)有限の幅を有するので、入射光線Iは、事実上、所定の角度範囲を占め、回折光線0及び回折光線+1/−1は幾分広がる。小ターゲットの点広がり関数によれば、各次数+1、−1は、示すような単一の理想光線ではなく、所定の角度範囲にわたってさらに広がる。ターゲットの周期構造ピッチ及び照明角は、対物レンズに入射する一次光線が、中心光軸と密接して整列するように設計及び調整できることに留意されたい。図7A及び図7Bに示した光線は、単に、光線が図中でより容易に区別されるのを可能にするために、幾分軸から外れて示されている。
[0067] 基板W上のターゲットTで回折した少なくとも0次及び+1次のものは、対物レンズ16によって集められ、逆戻りして光学要素15を通る。図7Aに戻ると、北(N)及び南(S)として符号を付けた直径方向両側のアパーチャを指定することで、第1及び第2の照明モードの両方が示されている。測定放射の入射光線Iが光軸の北側から来ると、すなわち、アパーチャプレート13Nを使用する第1の照明モードが適用されると、+1(N)の符号を付けた+1回折光線が、対物レンズ16に入射する。それに対して、アパーチャプレート13Sを使用する第2の照明モードが適用されると、(−1(S)の符号を付けた)−1回折光線がレンズ16に入射する。
[0068] ビームスプリッタ17は、回折ビームを2つの測定分岐に分流する。第1の測定分岐では、光学系18は、ゼロ次及び一次回折ビームを使用して、ターゲットの回折スペクトル(瞳面像)を第1のセンサ19(例えば、CCD又はCMOSセンサ)に形成する。各回折次数はセンサの異なる部分に当たるので、画像処理により、各次数を比較し、対照させることができる。センサ19によって取り込まれた瞳面像は、検査装置の焦点を合わせる、及び/又は一次ビームの強度照度測定値を正規化するために使用することができる。瞳面像は、再現などの多くの測定目的に使用することもできる。
[0069] 第2の測定分岐では、光学系20、22は、ターゲットTの像をセンサ23(例えば、CCD又はCMOSセンサ)に形成する。第2の測定分岐では、開口絞り21が、瞳面と共役である平面に設けられる。開口絞り21は、ゼロ次回折ビームを遮断するように機能するので、センサ23に形成されるターゲットの像は、−1又は+1の一次ビームからのみ形成される。センサ19、23によって取り込まれた像はプロセッサPUに出力され、プロセッサPUは像を処理し、プロセッサPUの機能は、行われる特定のタイプの測定によって決まる。「像」という用語は、ここでは広い意味で使用されることに留意されたい。−1及び+1の次数の1つだけが存在する場合に、周期構造特徴の像は形成されない。
[0070] 図7A、7C及び7Dに示すアパーチャプレート13及び視野絞り21の特定の形態は単なる例である。一実施形態では、ターゲットのオンアクシス照明が使用され、オフアクシス開口を有する開口絞りを使用して、実質的に1つだけの一次回折放射をセンサに送る。さらに別の実施形態では、一次ビームの代わりに、又は一次ビームに加えて、二次、三次、さらに高次のビーム(図7A、7B、7C又は7Dに示していない)を測定に使用することができる。
[0071] これらの様々なタイプの測定に適合可能な測定放射を行うために、アパーチャプレート13は、ディスクのまわりに形成された複数のアパーチャパターンを含むことができ、このディスクは、所望のパターンを所定の位置に合わせるために回転する。アパーチャプレート13N又はアパーチャプレート13Sは、一方向(構成に応じてX又はY)に向けられた周期構造を測定するためにのみ使用することができることに留意されたい。直交周期構造の測定の場合、ターゲットを90°及び270°だけ回転させることができる。図7Cと図7Dには異なるアパーチャプレートが示されている。これらの使用、並びに装置の多くの他の変形及び応用は、上述した特許出願公開に記載されている。
[0072] 図8は、既知の慣例に従って基板に形成された(複合)ターゲットを示す。この例におけるターゲットは、互いに近接して位置決めされた4つの周期構造(例えば格子)32〜35を備え、周期構造は全て、検査装置のメトロロジ放射照明ビームによって形成される測定スポット31内にある。したがって、4つの周期構造は全て同時に照明され、センサ19と23に同時に結像される。オーバーレイの測定に特化した例では、周期構造32〜35は、それら自体、例えば基板Wに形成された半導体デバイスの異なる層にパターン形成された周期構造をオーバーレイすることによって形成される複合周期構造である。周期構造32〜35は、複合周期構造の異なる部分が形成されている層間のオーバーレイの測定を容易にするために、異なるバイアスのオーバーレイオフセットを有することがある。オーバーレイバイアスの意義は、図8を参照して以下に説明する。また、周期構造32〜35は、入射放射線をX方向及びY方向に回折するように、図示されるようにそれらの向きが異なっていてもよい。一例では、周期構造32及び34は、それぞれバイアスオフセット+d、−dを有するX方向周期構造である。周期構造33及び35は、それぞれバイアスオフセット+d、−dを有するY方向周期構造である。これらの周期構造の個別の像を、センサ23によって捕捉された像内で識別することができる。これはターゲットの一例にすぎない。ターゲットは、4つよりも多い若しくは4つよりも少ない周期構造、又は1つの周期構造のみを備えていてもよい。
[0073] 図9は、図7Dのアパーチャプレート13NW又は13SEを使用して、図7の装置において図8のターゲットを用いてセンサ23に形成され得て、センサ23によって検出され得る像の一例を示す。瞳面イメージセンサ19は、異なる個々の周期構造32〜35を解像することはできないが、イメージセンサ23はその解像を行うことができる。濃い色の矩形はセンサ上の像のフィールドを表し、そのフィールド内部で、基板上の照明されたスポット31は、対応する円形エリア41内に結像される。この円形エリア41内で、矩形エリア42〜45は、小さいターゲット周期構造32〜35の像を表す。ターゲットが製品エリアにある場合、製品フィーチャもこの像フィールドの周辺に見えることがある。画像処理装置及び制御システムPUは、パターン認識を使用してこれらの像を処理し、周期構造32〜35の別個の像42〜45を識別する。このようにすると、像をセンサフレーム内の特定の位置に非常に正確にアライメントする必要がない。これは、測定装置全体のスループットを大幅に改良する。
[0074] 周期構造の個別の像が識別されると、それらの個々の像の強度を、例えば識別されたエリア内の選択された画素強度値を平均又は合計することによって測定することができる。像の強度及び/又は他の特性は、互いに比較することができる。これらの結果を組み合わせて、パターニングプロセスの様々なパラメータを測定することができる。オーバーレイ性能は、そのようなパラメータの重要な一例である。
[0075] 図10は、例えば国際公開第2011/012624号(その全体を参照により本明細書に援用する)に記載されている方法を使用して、成分周期構造32〜35を含む2つの層間のオーバーレイ誤差(すなわち、望ましくなく且つ意図的でないオーバーレイミスアライメント)が測定される様子を示す。この測定は、強度非対称性の尺度を得るためにターゲット周期構造の+1次像と−1次像での強度を比較すること(他の対応する高次の強度、例えば+2次と−2次の強度を比較することもできる)によって明らかになるターゲット非対称性を識別することによって行われる。ステップS1で、基板、例えば半導体ウェハは、図2のリソグラフィセルなどのリソグラフィ装置によって1回又は複数回処理されて、周期構造32〜35を含むターゲットを作成する。ステップS2で、図7の検査装置を使用して、1次回折ビームの1つ(例えば−1)のみを用いて周期構造32〜35の像が取られる。ステップS3で、照明モードを変更すること、若しくは結像モードを変更することによって、又は検査装置の視野内で基板Wを180°回転させることによって、他の一次回折ビーム(+1)を用いた周期構造の第2の像を得ることができる。その結果、第2の像において+1次回折放射線が捕捉される。
[0076] 各像に一次回折放射線の半分しか含まないことにより、ここで言う「像」は、従来の暗視野顕微鏡像ではないことに留意されたい。ターゲット周期構造の個々のターゲットフィーチャは解像されない。各ターゲット周期構造は、単に特定の強度レベルのエリアによって表される。ステップS4で、各成分ターゲット周期構造の像内で関心領域(ROI)が識別され、そこから強度レベルが測定される。
[0077] 個々のターゲット周期構造ごとにROIを識別し、その強度を測定すると、ターゲットの非対称性、したがってオーバーレイ誤差を決定することができる。これは、ステップS5において、ターゲット周期構造32〜35ごとに+1次と−1次に関して得られた強度値を比較して、それらの強度非対称性、例えばそれらの強度の差を識別して(例えばプロセッサPUによって)行われる。「差」という用語は、減算のみを表すものとは意図されていない。差は、比率の形で算出されてもよい。ステップS6で、いくつかのターゲット周期構造に関する測定された強度非対称性を、それらのターゲット周期構造の任意の既知の課されたオーバーレイバイアスの知識と共に使用して、ターゲットTの近傍でのパターニングプロセスの1つ又は複数の性能パラメータを算出する。
[0078] 図11A〜図11Dは、異なるバイアスオフセットを有するターゲット周期構造(オーバーレイ周期構造)の概略断面図を示す。図7〜図9で見られるように、これらは基板W上のターゲットTとして使用することができる。単に例として、X方向で周期性を有する周期構造が示されている。異なるバイアス及び異なる向きを有するこれらの周期構造の異なる組合せを、個別に又はターゲットの一部として提供することができる。
[0079] 図11Aから始めると、符号L1及びL2で表される少なくとも2つの層に形成されたターゲット600が示されている。下部又は底部層L1では、第1の周期構造(下部又は底部周期構造)、例えば格子が、基板606のフィーチャ602及び空間604によって形成される。層L2では、第2の周期構造、例えば格子が、フィーチャ608及び空間610によって形成される(フィーチャ602、608(例えばライン)が紙面奥へ延びるように断面が描かれている)。周期構造パターンは、両層においてピッチPで繰り返している。フィーチャ602及び608は、ライン、ドット、ブロック、及びバイアホールの形態を取ることがある。図11Aに示される状況では、ミスアライメントによるオーバーレイ寄与、例えばオーバーレイ誤差及び課されたバイアスがなく、したがって、第2の構造の各フィーチャ608が、第1の構造でのフィーチャ602の真上に位置する。
[0080] 図11Bで、第1の既知の課されたバイアス+dを有する同じターゲットが示され、第1の構造のフィーチャ608が、第2の構造のフィーチャに対して右に距離dだけずらされているバイアス距離dは、実際には数ナノメートル、例えば10nm〜20nmでよく、ピッチPは、例えば300〜1000nmの範囲、例えば500nm又は600nmである。図11Cには、第2の既知の課されたバイアス−dを有する別のフィーチャが示されており、フィーチャ608が左にシフトされている。dの値は、各構造に関して同じである必要はない。図11Aから図11Cに示されるこのタイプのバイアス周期構造は、上述した先行特許出願公開に記載されている。
[0081] 図11Dは、構造的非対称性、この場合には第1の構造における構造的非対称性(底部構造非対称性)の現象を概略的に示す。図11A〜図11Cでの周期構造内のフィーチャは、完全に四角形の面で示されているが、現実のフィーチャは、面にいくらかの傾斜及びある程度の粗さを有する。それにもかかわらず、それらは、プロファイルが少なくとも対称であるように意図されている。図11Dでの第1の構造のフィーチャ602及び/又は空間604は、もはや全く対称形ではなく、1つ又は複数の処理ステップによって歪められている。したがって、例えば、各空間の底面は傾斜している(底壁傾斜)。例えば、フィーチャ及び空間の側壁角度が非対称になっている。この結果、ターゲットの全体的なターゲット非対称性は、構造的非対称性とは無関係のオーバーレイ寄与(すなわち、第1の構造と第2の構造のミスアライメントによるオーバーレイ寄与;それ自体、オーバーレイ誤差と任意の既知の課されたバイアスとからなる)と、ターゲットにおけるこの構造的非対称性による構造的寄与とを含む。
[0082] 図12は、オーバーレイ周期構造の概略断面図である。図2に示されるように、一実施形態では、オーバーレイ周期構造は2つの格子を備える。第1の格子が、図12の左側に示されている。第2の格子が、図12の右側に示されている。
[0083] 図12での下向き矢印は、格子に入射する照明放射線を表す。上向きの対角線方向の矢印は、反射された+1回折次数放射、及び反射された−1回折次数放射を表す。
[0084] 強度非対称性Aを測定することができる。強度非対称性は、+1回折次数と−1回折次数との強度の差である。強度非対称性Aは、オーバーレイOVに比例する。特に、強度非対称性Aは、オーバーレイOVとオーバーレイ感度Kとの積に等しい。
[0085] 2つの異なる追加のシフトを課されている2つの異なるターゲットでの非対称性Aを測定することによって、オーバーレイ感度Kを決定することができ、オーバーレイOVを測定することができる。図12では、追加のシフトがdとして示されている。
[0086] バイアス周期構造を2つだけ使用して図10の方法によってオーバーレイが測定されるとき、プロセスに起因する構造的非対称性は、ミスアライメントによるオーバーレイ寄与と区別することができず、結果としてオーバーレイ測定(特に、望ましくないオーバーレイ誤差の測定)は信頼できないものとなる。ターゲットの第1の構造(底部周期構造)における構造的非対称性は、構造的非対称性の一般的な形態である。この構造的非対称性は、例えば、第1の構造が最初に形成された後に実施される化学機械研磨(CMP)などの基板処理ステップで発生し得る。
[0087] 国際公開第2013−143814号では、図10の方法の修正版によってオーバーレイを測定するために3つ以上の成分周期構造を使用することが提案されている。図11A〜図11Cに示されるタイプの3つ以上の周期構造を使用してオーバーレイ測定量を得る。これらのオーバーレイ測定量は、実際のパターニングプロセスにおける底部構造非対称性によって引き起こされるものなど、ターゲット周期構造における構造的非対称性に関してある程度補正される。しかし、この方法は、(例えば図8に示したものとは異なる)新たなターゲット設計を必要とし、したがって新たなパターニングデバイス又はパターニングデバイスパターンが必要とされる。さらに、ターゲット面積がより大きく、したがってより多くの基板面積を占める。さらに、構造的非対称性から生じるオーバーレイ寄与の位相要素は、この方法及び他の従来の方法では無視され、これは、位相要素も補正された場合に可能なほど補正が正確ではないことを意味する。
[0088] 図13において、曲線702は、ターゲットを形成する個々の周期構造内、特に第1の構造の個々の周期構造内でオフセットを有さず、構造的非対称性を有さない「理想的な」ターゲットに関するオーバーレイOVと強度非対称性Aとの関係を示す。その結果、この理想的なターゲットのターゲット非対称性は、既知の課されたバイアス及びオーバーレイ誤差OVから生じる第1の構造と第2の構造とのミスアライメントによるオーバーレイ寄与のみを含む。このグラフ及び図14のグラフは、本開示の背景にある原理のみを示しており、各グラフにおいて、強度非対称性A及びオーバーレイOVの単位は任意である。実際の寸法の例は、以下にさらに提示する。
[0089] 図13の「理想的な」状況では、曲線702は、強度非対称性Aがオーバーレイとの非線形の周期的な関係(例えば正弦関係)を有することを示す。正弦波変動の周期Pは、周期構造の周期又はピッチPに対応し、当然、適切なスケールに変換される。この例では正弦波形は純粋なものであるが、現実の状況では高調波を含むことがある。
[0090] 上述したように、オーバーレイを測定するために、単一の測定量に依拠するのではなく、(既知の課されたオーバーレイバイアスを有する)バイアス周期構造を使用することができる。このバイアスは、それが生成されたパターニングデバイス(例えばレチクル)で定義される既知の値を有し、これは、測定された強度非対称性に対応するオーバーレイの基板上での較正として働く。図面には、算出結果がグラフで示されている。ステップS1〜S5において、(例えば図11B及び図11Cに示されるように)課されたバイアス+d及び−dをそれぞれ有する周期構造に関して、強度非対称性測定量Ad及びAdが得られる。これらの測定量を正弦曲線に当てはめると、図示のように点704及び706が得られる。バイアスを知ると、真のオーバーレイ誤差OVを算出することができる。正弦曲線のピッチPは、ターゲットの設計から分かっている。曲線702の垂直スケールは、最初は分かっておらず、未知の係数であり、1次高調波比例定数Kと呼ぶことができる。したがって、オーバーレイ感度Kは、オーバーレイに対する強度非対称性測定量の感度の尺度である。一実施形態では、オーバーレイ感度Kは、オーバーレイに対する測定された強度の割合である。したがって、オーバーレイ感度Kは、オーバーレイのプロセス依存性を検出する助けとなる。
[0091] 等式としては、オーバーレイ誤差OVと強度非対称性Aとの関係は、以下のように仮定される。
±d=Ksin(OV±d) (1)
ここで、オーバーレイ誤差OVは、ターゲットピッチPが角度2πラジアンに対応するようにスケール調整して表される。異なる既知のバイアス(例えば+d及び−d)を有する格子の2つの測定量を使用して、以下の式を用いてオーバーレイ誤差OVを算出することができる。
[0092] 図14は、構造的非対称性、例えば図11Dに示される底部周期構造非対称性を導入する第1の効果を示す。「理想的な」正弦曲線702は、もはや当てはまらない。しかし、少なくとも概して、底部周期構造非対称性又は他の構造的非対称性は、強度非対称性A±dに強度シフト項K及び位相シフト項φを加える効果を有する。結果として得られる曲線は、グラフ中に符号712として示され、ラベルKは強度シフト項を示し、ラベルφは位相オフセット項を示す。強度シフト項K及び位相シフト項φは、ターゲットと、測定放射線の選択された特徴、例えば測定放射線の波長及び/又は偏光との組合せに依存し、プロセス変動に敏感である。等式としては、ステップS6での算出に使用される関係は以下のようになる。
±d=K+Ksin(OV±d+φ) (3)
[0093] 構造的非対称性がある場合、式(2)によって記述されるオーバーレイモデルは、強度シフト項K及び位相シフト項φによって影響を及ぼされるオーバーレイ誤差値を提供し、結果として不正確になる。また、構造的非対称性は、強度及び位相シフトが例えば波長及び/又は偏光に依存するので、オーバーレイ誤差をマッピングするときに、1つ又は複数の異なる測定パラメータ(例えば測定ビームの波長や測定ビームの偏光など)を使用する同じターゲットの測定の差をもたらす。
[0094] 修正されたステップS6のオーバーレイ算出は、いくつかの仮定に依拠する。第1に、強度非対称性がオーバーレイの正弦関数として挙動し、周期Pが格子ピッチに対応すると仮定する。これらの仮定は、現在のオーバーレイ範囲に有効である。小さいピッチ−波長比は、格子からの少数の伝播回折次数のみを可能にするので、高調波の数を小さく設計することができる。しかし、実際には、ミスアライメントによる強度非対称性に対するオーバーレイ寄与は、必ずしも真に正弦波形ではないことがあり、またOV=0に関して必ずしも完全に対称ではないことがある。
[0095] したがって、構造的非対称性の効果は、概して以下のように定式化することができる。
ΔI=K(OV+d)+ΔIBG (4)
ΔI=K(OV−d)+ΔIBG (5)
ここで、ΔI(A−とも同義)及びΔI(Aとも同義)は、測定された強度非対称性を表し、ΔIBGは、強度非対称性に対する構造的非対称性の寄与である。したがって、オーバーレイ誤差ΔOVは、ΔIBG/Kの関数とみなすことができる。
[0096] ここで、ターゲット内の構造的非対称性に加えて、又はその代わりに、ターゲットの隣接する周期構造間又は隣接するターゲット間のスタック差が、オーバーレイ測定などの測定の精度に悪影響を及ぼす因子となり得ることがさらに明らかになっている。スタック差は、隣接する周期構造又はターゲット間の物理的構成の設計外の相違と理解することができる。スタック差は、隣接する周期構造又はターゲットにおいてよくあるオーバーレイ誤差以外、意図的なバイアス以外、及び構造的非対称性以外による、隣接する周期構造又はターゲット間の測定放射線の光学特性(例えば強度や偏光など)の差を引き起こす。スタック差は、限定はしないが、隣接する周期構造又はターゲット間の厚さの差(例えば、1つの周期構造又はターゲットが、実質的に等しいレベルになるように設計された別の周期構造又はターゲットよりも高くなる又は低くなるような、1つ又は複数の層の厚さの差)、隣接する周期構造又はターゲット間の屈折率の差(例えば、実質的に等しい合成屈折率を有するように設計されたとしても、1つの周期構造又はターゲットに関する1つ又は複数の層に関する合成屈折率が、別の周期構造又はターゲットに関する1つ又は複数の層に関する合成屈折率とは異なるような、1つ又は複数の層の屈折率の差)、隣接する周期構造又はターゲット間の材料の相違(例えば、実質的に同じ材料を有するように設計された、1つの周期構造又はターゲットと別の周期構造又はターゲットとに関する材料の相違が存在するような、1つ又は複数の層の材料タイプや材料均一性などの相違)、隣接する周期構造又はターゲットの構造の格子周期の差(例えば、実質的に同じ格子周期を有するように設計された、1つの周期構造又はターゲットと別の周期構造又はターゲットとに関する格子周期の差)、隣接する周期構造又はターゲットの構造の深さの差(例えば、実質的に同じ深さを有するように設計された、1つの周期構造又はターゲットと別の周期構造又はターゲットとの構造の深さのエッチングによる差)、隣接する周期構造又はターゲットのフィーチャの幅(CD)の差(例えば、フィーチャの実質的に同じ幅を有するように設計された、1つの周期構造又はターゲットと別の周期構造又はターゲットとのフィーチャの幅の差)などを含む。いくつかの例では、スタック差は、パターニングプロセスにおいて、CMP、層堆積、エッチングなどの処理ステップによって導入される。一実施形態では、互いに200μm以内、互いに150μm以内、互いに100μm以内、互いに75μm以内、互いに50μm以内、互いに40μm以内、互いに30μm以内、互いに20μm以内、又は互いに10μm以内にある場合、周期構造又はターゲットは隣接している。
[0097] スタック差(格子間の格子不均衡と呼ぶこともできる)の効果は、概して以下のように定式化することができる。
ΔI=(K+ΔK)(OV+d) (6)
ΔI=(K−ΔK)(OV−d) (7)
ここで、ΔKは、スタック差に起因するオーバーレイ感度の差を表す。したがって、オーバーレイ誤差ΔOVは、
に比例し得る。
[0098] したがって、スタック差を特徴付けるために、1つ又は複数のスタック差パラメータを定義することができる。上記のように、スタック差パラメータは、隣接する周期構造又はターゲットの設計外の異なる物理的構成の尺度である。一実施形態では、スタック差パラメータは、隣接する周期構造又はターゲットの断面を評価することから決定することができる。
[0099] 一実施形態では、スタック差パラメータは、上側格子が適用される前に下側隣接格子を評価することによって、複合格子の下側隣接格子に関して決定することができる。一実施形態では、スタック差パラメータは、隣接する周期構造若しくはターゲットの光学測定量から、又は隣接する周期構造若しくはターゲットの断面積からの、隣接する周期構造若しくはターゲットの再構成により導出することができる。すなわち、物理的寸法、特徴、材料特性などが再構成され、隣接する周期構造又はターゲット間の差が、スタック差パラメータに達するように決定される。
[00100] スタック差パラメータの一実施形態は、以下のように定義することができる周期構造強度不均衡(GI)である。
ここで、
は、+dバイアスを有する第1の周期構造によって回折された+1次回折強度信号
と、+dバイアスを有する第1の周期構造によって回折された−1次回折強度信号
との平均値である。同様に、
は、−dバイアスを有する第2の周期構造によって回折された+1次回折強度信号
と、−dバイアスを有する第2の周期構造によって回折された−1次回折強度信号
との平均値である。一実施形態では、周期構造強度不均衡(GI)は、
などの導出バージョンでよい。
[00101] ここで、構造的非対称性、スタック差、及び任意の他のプロセス変動性に際して、所望のプロセスパラメータ(例えばオーバーレイ)の正確な測定量を生成し、及び/又はプロセス変動性に対してロバストな所望のプロセスパラメータの測定値を生成するターゲットレイアウト、測定ビーム波長、測定ビーム偏光などの組合せを導出することが望ましい。したがって、例えば、より正確なプロセスパラメータ測定量を得るため、及び/又はプロセス変動性に対してロバストな所望のプロセスパラメータの測定値を生成するために、ターゲット測定パラメータ組合せの望ましい最適な選択に至ることが望ましい。
[00102] ターゲットの測定精度及び/又は感度は、ターゲット自体の1つ若しくは複数の属性、及び/又はターゲットに提供される測定放射線の1つ若しくは複数の属性、例えば、放射線の波長、放射線の偏光、及び/又は放射線の強度分布(すなわち角度若しくは空間強度分布)に関して変化し得る。一実施形態では、放射線の波長範囲は、ある範囲から選択される(例えば、約400nm〜900nmの範囲から選択される)1つ又は複数の波長に制限される。さらに、放射ビームの異なる偏光の選択を提供することができ、例えば複数の異なるアパーチャを使用して様々な照明形状を提供することができる。
[00103] したがって、そのような選択及び測定を可能にするために、測定システムを使用する測定の1つ又は複数のパラメータを指定する基板測定レシピを用いることができる。一実施形態では、「基板測定レシピ」という用語は、測定自体の1つ若しくは複数のパラメータ、測定されたパターンの1つ若しくは複数のパラメータ、又はそれら両方を含む。
[00104] これに関連して、測定されるパターン(「ターゲット」又は「ターゲット構造」とも呼ばれる)は、光学的に測定されるパターン、例えばその回折が測定されるパターンでよい。測定されるパターンは、測定目的のために特に設計又は選択されたパターンでよい。ターゲットの複数のコピーが基板上の多くの場所に配置されてもよい。例えば、基板測定レシピを使用して、オーバーレイを測定することができる。一実施形態では、基板測定レシピを使用して、別のプロセスパラメータ(例えば、ドーズ量、焦点、CDなど)を測定することができる。一実施形態では、基板測定レシピを使用して、基板上の既存のパターンに対する、結像されるパターンの層のアライメントを測定することができる。例えば、基板測定レシピを使用して、基板の相対位置を測定することによってパターニングデバイスを基板にアライメントすることができる。
[00105] 一実施形態では、基板測定レシピが測定自体の1つ又は複数のパラメータを含む場合、測定自体の1つ又は複数のパラメータは、測定を行うために使用される測定ビーム及び/又は測定装置に関する1つ又は複数のパラメータを含むことがある。例えば、基板測定レシピで使用される測定が回折ベースの光学測定である場合、測定自体の1つ又は複数のパラメータは、測定放射線の波長、及び/又は測定放射線の偏光、及び/又は測定放射線強度分布、及び/又は測定放射線の基板に対する照明角度(例えば入射角や方位角など)、及び/又は回折測定放射線の基板上でのパターンに対する相対向き、及び/又はターゲットの測定された点若しくはインスタンスの数、及び/又は基板上で測定されたターゲットのインスタンスの位置を含むことがある。測定自体の1つ又は複数のパラメータは、測定に使用されるメトロロジ装置の1つ又は複数のパラメータを含むことがあり、これは、検出器感度や開口数などを含むことができる。
[00106] 一実施形態では、基板測定レシピが、測定されたパターンの1つ又は複数のパラメータを含む場合、測定されたパターンの1つ又は複数のパラメータは、1つ又は複数の幾何学的特徴(パターンの少なくとも一部の形状、及び/又はパターンの少なくとも一部の向き、及び/又はパターンの少なくとも一部のピッチ(例えば、下側周期構造の層よりも上の層における上側周期構造のピッチ、及び/又は下側周期構造のピッチを含む、周期構造のピッチ)、及び/又はパターンの少なくとも一部のサイズ(例えばCD)(例えば、上側周期構造及び/又は下側周期構造のフィーチャのCDを含む、周期構造のフィーチャのCD)、及び/又はパターンのフィーチャのセグメント化(例えば、下位構造への周期構造のフィーチャの分割)、及び/又は周期構造若しくは周期構造のフィーチャの長さ)、及び/又はパターンの少なくとも一部の材料特性(例えば、屈折率、吸光係数、材料タイプなど)、及び/又はパターンの識別(例えば、あるパターンと別のパターンとの区別)などを含むことがある。
[00107] 基板測定レシピは、(r,r,r,…,r;t,t,t,…,t)のような形で表現することができる。ここで、rは、測定の1つ又は複数のパラメータであり、tは、測定される1つ又は複数のパターンの1つ又は複数のパラメータである。理解されるように、n及びmは1でよい。さらに、基板測定レシピは、測定の1つ又は複数のパラメータと、測定される1つ又は複数のパターンの1つ又は複数のパラメータとの両方を有する必要はない。測定の1つ又は複数のパラメータのみを有することも、測定される1つ又は複数のパターンの1つ又は複数のパラメータのみを有することもできる。
[00108] 2つの基板測定レシピA及びBを使用してターゲットを測定することができ、基板測定レシピA及びBは、例えば、ターゲットが測定される段階が異なる(例えば、Aは、ターゲットが潜像構造を備えるときターゲットを測定し、Bは、ターゲットが潜像構造を備えないときにターゲットを測定する)、及び/又はそれらの測定のパラメータが異なる。基板測定レシピAとBは、少なくとも、測定されるターゲットが異なることがある(例えば、Aは第1のターゲットを測定し、Bは第2の異なるターゲットを測定する)。基板測定レシピAとBは、それらの測定及びターゲット測定のパラメータが異なることがある。基板測定レシピAとBは、同じ測定技法に基づいていなくてもよい。例えば、レシピAは、回折に基づく測定に基づいてよく、レシピBは、走査電子顕微鏡(SEM)又は原子間力顕微鏡(AFM)測定に基づいてよい。
[00109] したがって、一実施形態では、所望のプロセスパラメータ(例えばオーバーレイ)の正確な測定量を生成し、及び/又はプロセス変動性に対してロバストな所望のプロセスパラメータの測定値を生成する1つ又は複数の基板測定レシピを決定するために、複数の基板測定レシピを1つ又は複数の性能指標に対して評価して、そのような1つ又は複数の正確な及び/又はロバストな基板測定レシピを識別することができる。
[00110] 所望のプロセスパラメータ(例えばオーバーレイ)の正確な測定量を生成し、及び/又はプロセス変動性に対してロバストな所望のプロセスパラメータの測定値を生成する1つ又は複数の基板測定レシピを決定するための方法の一実施形態が概略的に示されている。この例示的な方法では、複数の異なるメトロロジターゲットはそれぞれ、測定ビーム波長(すなわち、ターゲットを測定するために使用される検査装置から利用可能な波長)の複数の異なる値、及び偏光(すなわち、ターゲットを測定するために使用される検査装置から利用可能な偏光)の複数の異なる値に対して評価される;ターゲット、波長、及び偏光の各特定の組合せが特定の基板測定レシピに対応する。しかし、方法はそれに限定されない。例えば、この方法を使用して、波長及び偏光以外の他の又は追加のパラメータを評価することができる。別の例として、この方法を使用して、(例えば、複数の異なる波長及び偏光に対して、単一の偏光に関する複数の異なる波長に対して、複数の異なる偏光に関する単一の波長に対して)単一のターゲットのみを評価することができる。別の例として、この方法を使用して、単一の偏光に関する複数の異なる波長に対して複数のターゲットを評価することができる。別の例として、この方法を使用して、単一の波長に関する複数の異なる偏光に対して複数のターゲットを評価することができる。
[00111] さらに、様々なステップが順に示されているが、必ずしもその順序で実施される必要はない。さらに、全てのステップが実施される必要はない。例えば、ステップの1つ又は複数が実施されてもよい。したがって、ステップから選択される任意の組合せを実施することができる。
[00112] ステップ1400で、複数の異なる波長に対して、及び複数の異なる偏光(この場合には2つの偏光)に対して、単一のターゲットに関するデータの第1の分析が実施される。データは実験から得ることができ、又はターゲットを使用する製品測定から得ることができる。例えば、考慮下のターゲットの複数のインスタンスを、そのターゲットが使用されるパターニングプロセスを使用して基板にわたって印刷することができ、次いで、各インスタンスを、適用可能な検査装置を用いて複数の異なる設定(例えば異なる波長や異なる偏光)で測定することができる。
[00113] ターゲットを測定するために基板測定レシピを使用することにより得られるプロセスパラメータ(例えば、オーバーレイ、アライメント、焦点)測定をシミュレートすることができる。シミュレーションでは、測定の1つ又は複数のパラメータは、基板測定レシピのパラメータr及び/又はtを使用して決定される(例えば、それらによって提供される、又はそれらから決定される)。例えば、基板測定レシピに対応する放射線とターゲットとの相互作用は、例えばマクスウェルソルバ及び厳密結合波解析(RCWA)を使用することによって、又は他の数学的モデリングによって、基板測定レシピのそれらのパラメータから決定することができる。したがって、ターゲット及び関連の基板測定レシピを使用して予想される測定を、上記の相互作用から決定することができる。したがって、特定の状況では、例えば強い信号を生成するターゲットを決定するために、測定プロセスのシミュレータを使用してデータを得ることができる。シミュレータは、検査装置を使用して、検査装置の測定技法(例えば回折ベースのオーバーレイ測定)に従って、例えば図7の装置などの検出器で測定される強度を算出することによって、特定の特徴の特定のターゲット(例えば、ピッチ、フィーチャ幅、材料の種類などに関して指定されたターゲット)がどのように測定されるかを数学的に導き出すことができる。ロバスト性データを得るために、シミュレータは、ある範囲(例えば、最大10%の変化、最大5%の変化、最大2%の変化、最大1%の変化、又は最大0.5%の変化)内で摂動を導入して、プロセス変動を模倣することができる(これは、基板にわたって拡張することができる)。
[00114] したがって、実験法又はシミュレーションは、例えば上述した式を使用して、OVやKなどの特定のパラメータ又は指標に関して値を生成することができる。
[00115] 1つのそのような指標は、スタック感度(SS)である(信号コントラストとも考えられる)。スタック感度は、ターゲット(例えば格子)層間の回折により、オーバーレイが変化するにつれて信号の強度がどれだけ変化するかの尺度として理解することができる。すなわち、オーバーレイの文脈では、スタック感度は、オーバーレイターゲットの上下の周期構造間のコントラストを検出し、したがって上下の周期構造間の回折効率のバランスを表す。したがって、スタック感度は、測定量の感度の例示的な尺度である。一実施形態では、スタック感度は、強度非対称性と相加平均強度との比である。一実施形態では、スタック感度は、SS=KL/Iとして定式化することができ、ここで、Lはユーザ定義定数(例えば、一実施形態では、値Lは20nm及び/又はバイアスdの値)であり、Iは、ターゲットによって回折された測定ビームの平均強度である。一実施形態では、基板測定レシピに関するスタック感度を最大にすべきである。しかし、最大スタック感度での基板測定レシピの使用は最良でないことがあることが明らかになっている。例えば、スタック感度が最大である測定ビーム波長は、低いオーバーレイ感度及び低いプロセスロバスト性に対応することがある。
[00116] 基板測定レシピデータの例が、図15及び16に示されている。データは、1つ又は複数の基板測定レシピパラメータ、特に測定自体の1つ又は複数のパラメータの関数として、測定データの依存関係を表すことができる。図15は、単一波長に対する様々な偏光角での測定ターゲットに関する回折効率のグラフである。回折効率は、ターゲットによって回折される測定ビームの平均強度に正比例する。
[00117] 図15で、X軸は、メトロロジターゲットの測定においてメトロロジターゲットを照明する放射の偏光角θ(度)を表す。偏光角θは、p偏光に対する照明光の電界ベクトルの角度である。Y軸は、メトロロジターゲットから反射した放射線を検出する検出器の偏光子の偏光角φ(度)を表す。偏光角φは、s偏光方向に対する照明光の電界ベクトルの角度として定義される。右側のスケールは、回折効率スケールを表す。図15に示されるように、回折効率は偏光角に応じて変化する。図15及び16では、p偏光とs偏光とが成す角度が30°であると仮定されている。
[00118] 一実施形態では、本発明の方法は、1つ又は複数の測定品質パラメータに対して、パターニングプロセスを使用して処理された基板のメトロロジターゲットの測定に関連する複数の偏光特性を評価することを含む。図15は、そのような評価の結果の一例である。図15の例では、評価される偏光特性は、照明放射線と検出器の偏光子との偏光角である。しかし、他の偏光特性を評価することもできる。例えば、一実施形態では、偏光特性は、リターダンス、すなわち、直交偏光成分間の位相差、及び/又は使用される放射線の波長を含む。直交偏光成分は、p偏光及びs偏光である。
[00119] 図15の例では、測定品質パラメータは回折効率である。しかし、偏光特性は、他の測定品質パラメータに対して評価することもできる。例えば、他の可能な測定品質パラメータは、スタック感度(例えば、図16を参照)及びオーバーレイ感度(例えば、図18を参照)を含む。
[00120] 図16は、単一波長に対する様々な偏光での測定ターゲットに関するスタック感度のグラフである。図16において、X軸及びY軸は、図15と同様である。図15の例では、測定品質パラメータはスタック感度である。右側のスケールは、スタック感度スケールである。図16に示されるように、スタック感度は、照明放射線と検出器の偏光子との偏光角に応じて変化する。
[00121] 図15及び図16に示されるデータから、メトロロジ測定に望ましい偏光角を選択することができる。一実施形態では、この方法は、測定品質パラメータの1つ又は複数に基づいて、複数の偏光特性から1つ又は複数の偏光特性を選択することを含む。
[00122] 例えば、図16に示されているデータから、純粋なp偏光に関して実現することができる最大スタック感度は、0.08のスタック感度である。しかし、照明放射線と検出器の偏光子との偏光角を変えることによって、スタック感度を0.08よりも大きくすることができる。偏光角を制御することによって、実現可能なスタック感度を大幅に高めることができる。図16に示されるように、実現することができる最大スタック感度は0.2であり、これは、純粋なp偏光に関して実現可能な最大スタック感度の2.5倍の改良である。
[00123] 一実施形態では、選択ステップは、評価された複数の偏光特性の中から、測定品質パラメータの1つ又は複数を最大値又は最小値から特定の有限範囲内にする1つ又は複数の偏光特性を選択することを含む。例えば、図15及び16に示されるデータから、最大回折効率及び/又は最大スタック感度値をもたらす偏光角を選択することができる。
[00124] 絶対最大回折効率及び/又はスタック感度値を実現する偏光角を選択することは必要ない又は望ましくないことがある。例えば、図15及び16から、最大スタック感度値は、特に低い回折効率値をもたらす偏光角に対応していることが分かる。どの測定品質パラメータを最大化すべき(又は最大に近づけるべき)かは、どの程度の測定品質が望まれるかに応じて決定することができる。様々な望まれる品質の間での折衷を行う必要があり得る。
[00125] メトロロジ測定では、重要となり得る様々な品質がある。1つのそのような品質は、検出性である。検出性は、メトロロジ測定の信号対雑音比に密接に関連する。メトロロジ測定に関する検出性は、メトロロジターゲットの回折効率、及びスタック感度に依存する。回折効率は、メトロロジターゲットから反射する放射線の量に関連する。スタック感度は、オーバーレイ誤差1nmあたりの、メトロロジターゲットで反射される放射線の量の相対的な変化に関連する。したがって、回折効率が高いほど、より高い検出性を有するメトロロジ測定になる。同様に、スタック感度が高いほど、より高い検出性を有するメトロロジ測定になる。
[00126] メトロロジ測定の別の望まれる品質は、メトロロジ測定の精度である。さらに、ロバスト性も望まれる。特に、メトロロジ測定が、メトロロジターゲットの底部格子での望ましくない構造的非対称性の影響を比較的受けないことが望ましい。メトロロジの底部格子での構造的フィーチャ非対称性の影響を受けないメトロロジ測定は、ロバストであるとみなされる。精度及びロバスト性は、オーバーレイ感度に依存する。オーバーレイ感度が高いほど、より高い精度及びロバスト性を有するメトロロジ測定になる。
[00127] 本発明によれば、測定の偏光特性を最適化することによって、検出性及び精度などの品質を最適化することができる。
[00128] 一実施形態では、選択ステップは、測定品質パラメータの1つ又は複数を閾値に到達させる1つ又は複数の偏光特性を選択することを含む。一実施形態では、候補の偏光特性は、測定品質パラメータの1つ又は複数を閾値に到達させない場合には除外される。例えば、0.1未満の回折効率をもたらす偏光角は棄却されることが決定され得る。これにより、メトロロジ測定のために選択することができる取り得る偏光角のサブセットが残される。
[00129] 一実施形態では、次いで、異なる測定品質パラメータを考慮することによって、候補の偏光角のサブセットをさらに絞り込むことができる。例えば、回折効率0.1を実現する偏光角のサブセットの中から、最大の(又は最大に近い)スタック感度を実現する偏光角が選択されることがある。選択ステップは、逆の順序で行うこともできる。例えば、まず、例えば最大スタック感度0.04以内のスタック感度をもたらす偏光角のサブセットを選択することによって、候補の偏光角を絞り込むことができる。候補の偏光角のこのサブセットは、少なくとも0.05の回折効率を実現する偏光角のみを選択することによって、さらに絞り込むことができる。これらは、メトロロジ測定の検出性及び精度/ロバスト性を改良するために、評価されたデータに基づいて偏光特性を選択することができる手法の単なる例にすぎない。
[00130] 本発明の一実施形態は、メトロロジ測定の検出性の改良を実現することが期待される。これは、特に、より高い回折効率及び/又はスタック感度値及び/又はオーバーレイ感度値をもたらす偏光特性を選択することによって実現され得る。
[00131] 本発明の一実施形態は、メトロロジ測定の精度及び/又はロバスト性の向上を実現することが期待される。これは、特に、より高いオーバーレイ感度をもたらす偏光特性を選択することによって実現され得る。
[00132] 図15及び16から分かるように、スタック感度値及び回折効率値の取り得る範囲は広い。場合によっては、正確な偏光角に応じて、スタック感度を正又は負にすることさえできる。同様に、正確な偏光角に応じて、オーバーレイ感度を正又は負にすることさえできる。スタック感度、回折効率、及びオーバーレイ感度は、直交偏光成分間の位相差に応じて大きく変化し得る。
[00133] 本発明の一実施形態は、メトロロジターゲットの底部格子でのフィーチャ非対称性など、マーク変形の影響を低減することが期待される。これは、特に、より大きいオーバーレイ感度値をもたらす偏光特性を選択することによって行うことができる。本発明の一実施形態は、マーク変形に対してロバストなメトロロジ測定を高速化することが期待される。特に、マーク変形の影響は、2つの異なる放射線波長を使用することによって事前に低減されている。一実施形態では、2つの異なる波長ではなく、2つの異なる偏光特性が使用される。偏光スイッチは、波長スイッチよりも高速であり、それにより測定プロセスを高速化することがある。さらに、偏光スイッチは、2つの設定間の光学系の色差の影響を受けない。
[00134] 一実施形態では、2つの異なる偏光設定を単一の測定に組み合わせることができる。例えば、偏光角の2つの異なるセット(及び/又は位相差の2つの異なるセット)を使用することによって、オーバーレイ誤差を測定することができる。特に、正のオーバーレイ感度を提供する偏光特性と負のオーバーレイ感度をもたらす偏光特性とを組み合わせることによって、底部格子における望ましくない構造的非対称性を有するメトロロジターゲットに関して、オーバーレイ誤差をより正確に測定することができる。
[00135] 一実施形態では、評価ステップは、シミュレーションによって行われる。例えば、図15及び16に示されるデータは、シミュレーションによって得たものである。
[00136] 図17は、本発明の一実施形態によるメトロロジ装置の一部を通る放射線の経路の図である。図17において、左から右への方向は、メトロロジ測定で使用される放射線の下流方向を表す。一実施形態では、メトロロジ装置は、照明偏光子171を備える。照明偏光子171は、基板Wを照明する放射線の偏光角θを制御するように構成される。一実施形態では、照明偏光子171は、回転可能な偏光子である。
[00137] 一実施形態では、メトロロジ装置は、照明リターダ172を備える。照明リターダは、照明偏光子171と基板Wとの間に位置決めされる。照明リターダ172は、照明放射線のs偏光成分とp偏光成分との位相差(又は楕円率)を制御するように構成される。一実施形態では、照明リターダ172は、回転可能なリターダである。
[00138] 放射線が基板Wを照明した後、反射された放射線が、検出器によって検出される。図17に示されるように、一実施形態では、検出器は、検出リターダ173を備える。検出リターダ173は、基板Wから反射した放射線の直交偏光成分間に位相差を与えるように構成される。一実施形態では、検出器は、検出偏光子174を備える。検出偏光子174は、検出器で検出される放射線の偏光を制御するように構成される。偏光子及び/又はリターダに関する所望の偏光挙動を得るために、固定要素の機械的回転に加えて、電気光学要素(又は例えば切替え可能な液晶セル)を使用することもできる。
[00139] リターダを提供することは必須ではない。一実施形態では、メトロロジ装置は、リターダを備えずに、照明偏光子171及び/又は検出偏光子174を備える。さらに、偏光子も必須ではない。一実施形態では、偏光子及びリターダは照明ブランチにのみ設けられる。一実施形態では、瞳面内の放射線の偏光を操作するために、ピクセル化された光変調器が提供される。これにより、全ての瞳孔点に対する1つの全般的な設定ではなく、実質的に瞳孔点ごとに、上述した最適化を行うことが可能になる。
[00140] リターダは、メトロロジ装置の照明及び検出ブランチでの位相差を調節するように構成される。s成分とp成分との位相差を調整することによって、オーバーレイ感度などのパラメータを制御することができる。図18は、照明放射線の位相差ΔE(Y軸)及び波長(X軸)に応じてオーバーレイ感度がどのように変化するかを示すチャートである。図18では、位相差ΔEは、照明放射線のs成分とp成分との位相差(ラジアン)である。右側のスケールは、オーバーレイ感度スケールを表す。
[00141] 図18に示されるように、オーバーレイ感度は、位相差ΔEに依存する。図19に、オーバーレイ感度が物理的にどのように増加するかを示す。オーバーレイ感度は、底部格子及び上部格子から反射された振幅の強度に依存する。検出器の偏光がs成分のみを検出するように設定されていると仮定すると、検出器でのS成分は、検出器でコヒーレントに加算される照明光のs−s非変換部分と照明光のp−s変換部分とから生じる。照明部分でのリターダンスを調整することによって、コヒーレント加算を強化することができる。したがって、オーバーレイ感度を高めることができる。非偏光照明放射線は、高速ランダム位相差を有する。高速ランダム位相差の効果は相殺し合い、実質上、両方の偏光が非コヒーレントに加算される。しかし、偏光される照明放射線は、制御することができる位相差ΔEを有する。位相差ΔEは、例えば、オーバーレイ感度を最大化するように調整することができる。位相差ΔEは、楕円率に関連する。
[00142] 一実施形態では、この方法は、ターゲット設計最適化と組み合わされる。特に、一実施形態では、この方法は、測定品質パラメータの1つ又は複数を最大化するように、測定ターゲットのピッチ及び任意選択で他の特徴を選択することを含む。一実施形態では、各格子の偏光特性を修正及び最適化するために、ターゲット格子線のサブセグメンテーションの形状が選択される。
[00143] 本発明の一実施形態は、本明細書に開示されている方法を記述する機械可読命令の1つ又は複数のシーケンスを含むコンピュータプログラム、又はそのようなコンピュータプログラムが記憶されたデータ記憶媒体(例えば半導体メモリ、磁気又は光ディスク)の形態を取ることができる。さらに、機械可読命令は、2つ以上のコンピュータプログラムで具現化することができる。2つ以上のコンピュータプログラムは、1つ又は複数の異なるメモリ及び/又はデータ記憶媒体に記憶されてもよい。
[00144] 本明細書に開示されている1つ又は複数の態様は、制御システム内に実装することができる。本明細書で述べる任意の制御システムは、それぞれ又は組み合わせて、装置の少なくとも1つの構成要素内に位置された1つ又は複数のコンピュータプロセッサによって1つ又は複数のコンピュータプログラムが読み取られるときに動作可能であり得る。制御システムは、それぞれ又は組み合わせて、信号を受信、処理、及び送信するための任意の適切な構成を有することができる。1つ又は複数のプロセッサは、制御システムの少なくとも1つと通信するように構成される。例えば、各制御システムは、上述した方法のための機械可読命令を含むコンピュータプログラムを実行するための1つ又は複数のプロセッサを含むことができる。制御システムは、そのようなコンピュータプログラムを記憶するためのデータ記憶媒体、及び/又はそのような媒体を受け取るためのハードウェアを含むことができる。したがって、制御システムは、1つ又は複数のコンピュータプログラムの機械可読命令に従って動作することができる。
[00145] 本発明によるさらなる実施形態を、以下の番号付きの条項でさらに述べる。
1. 1つ又は複数の測定品質パラメータに対して、パターニングプロセスを使用して処理された基板のメトロロジターゲットの測定に関連する複数の偏光特性を評価すること、及び
上記測定品質パラメータの1つ又は複数に基づいて、上記複数の偏光特性から1つ又は複数の偏光特性を選択すること
を含む方法。
2. 上記偏光特性が、偏光角、及び/又は直交偏光成分間の位相差を含む、条項1に記載の方法。
3. 上記偏光角が、上記メトロロジターゲットの上記測定において上記メトロロジターゲットを照明する放射線の偏光角を含む、条項2に記載の方法。
4. 上記偏光角が、上記メトロロジターゲットの上記測定において上記メトロロジターゲットから反射した放射線を検出する検出器の偏光子の偏光角を含む、条項2又は3に記載の方法。
5. 上記偏光特性が、上記メトロロジターゲットの上記測定において上記メトロロジターゲットを照明する放射線の直交偏光間の位相差を含む、条項2〜4の何れか一項に記載の方法。
6. 上記偏光特性が、上記メトロロジターゲットの上記測定において上記メトロロジターゲットから反射した放射線を検出する検出器のリターダによって与えられる位相差を含む、条項2〜5の何れか一項に記載の方法。
7. 上記測定品質パラメータが、スタック感度、オーバーレイ感度、及び回折効率の1つ又は複数を含む、先行する条項の何れか一項に記載の方法。
8. 上記選択ステップが、上記評価された複数の偏向特性の中から、上記測定品質パラメータの1つ又は複数を最大値又は最小値から特定の有限範囲内にする1つ又は複数の偏光特性を上記複数の偏光特性から選択することを含む、先行する条項の何れか一項に記載の方法。
9. 上記選択ステップが、上記測定品質パラメータの1つ又は複数を閾値に到達させる上記複数の偏光特性から1つ又は複数の偏光特性を選択することを含む、先行する条項の何れか一項に記載の方法。
10. 上記選択ステップが、上記測定品質パラメータの上記1つ又は複数を閾値に到達させる上記評価された複数の偏向特性の中から、上記測定品質パラメータの別の1つ又は複数を最大値又は最小値から特定の有限範囲内にする1つ又は複数の偏光特性を上記複数の偏光特性から選択することを含む、条項9に記載の方法。
11. 上記評価ステップが、シミュレーションによって行われる、先行する条項の何れか一項に記載の方法。
12. 先行する条項の何れか一項に記載の方法で選択された上記1つ又は複数の偏光角に従って、基板でのメトロロジターゲットを測定することを含む測定方法。
13. リソグラフィプロセスのパラメータを測定するためのメトロロジ装置であって、先行する条項の何れか一項に記載の方法を行うように動作可能であるメトロロジ装置。
14. 条項1〜12の何れか一項に記載の方法をプロセッサに行わせるための機械可読命令を含む非一時的なコンピュータプログラム製品。
15. 基板上の2つの隣接する周期構造又は測定ターゲットに放射線のビームを提供し、上記ターゲットによって回折された放射線を検出して、パターニングプロセスのパラメータを決定するように構成された検査装置と、
条項14に記載の非一時的なコンピュータプログラム製品と
を備えるシステム。
16. パターニングデバイスを保持して放射線ビームを変調するように構成された支持構造と、上記変調された放射線ビームを放射線感受性基板に投影するように配置された投影光学システムとを備えるリソグラフィ装置をさらに備える、条項15に記載のシステム。
17. メトロロジ測定を最適化するための方法であって、
条項1〜12の何れか一項に記載の方法、並びに
上記測定品質パラメータの1つ又は複数を最大化するために、上記メトロロジターゲットのピッチ及び他の特徴を選択すること
を含む方法。
[00146] 光リソグラフィとの関連において、実施形態の使用について上記に特定の言及を行うことができたが、当然のことながら、本発明の実施形態は、他の用途、例えば、インプリントリソグラフィで使用することができ、状況が可能にする場合、光リソグラフィに限定されない。インプリントリソグラフィでは、パターニングデバイスのトポグラフィが、基板に形成されるパターンを画定する。パターニングデバイスのトポグラフィは、基板に供給されたレジスト層に押し付けることができ、レジストは、電磁放射、熱、圧力、又はそれらの組合せを加えることで硬化する。パターニングデバイスは、レジストが硬化した後、レジスト内にパターンを残したままレジストから引き離される。
[00147] 本明細書で使用する「放射」及び「ビーム」という用語は、(例えば、365、355、248、193、157、又は126nmの波長、あるいはそれらの近辺の波長を有する)紫外(UV)線及び(例えば、5〜20nmの範囲の波長を有する)極端紫外(EUV)線、さらには、イオンビーム又は電子ビームなどの粒子ビームを含む全てのタイプの電磁放射線を包含する。
[00148] 「レンズ」という用語は、状況が可能にする場合、屈折式、反射式、磁気式、電磁気式、及び静電式光学コンポーネントを含む様々なタイプの光学コンポーネントの任意の一つ、又はそれらの組合せを指すことができる。
[00149] 特定の実施形態の前述の説明は、本発明の実施形態の一般的な性質を明らかにするので、他者は、当業者の技能の範囲内の知識を適用することで、過度の実験を行うことなく、本発明の一般概念から逸脱することなく、そのような特定の実施形態を容易に修正し、及び/又はそのような特定の実施形態を様々な用途に適合させることができる。したがって、そのような適合及び修正は、本明細書に提示した教示及びガイダンスに基づいて、開示した実施形態の等価物の趣旨及び範囲内であることを意図されている。当然のことながら、本明細書における専門語又は用語は、例を用いて説明するためのものであり、限定するものではなく、本明細書の用語又は専門語は、教示及びガイダンスに照らして、同業者によって解釈されるべきである。
[00150] 本発明の広さ及び範囲は、上記の例示的な実施形態の何れかによって限定されるのではなくて、添付の特許請求の範囲及びその等価物によってのみ規定されるべきである。

Claims (15)

  1. 1つ又は複数の測定品質パラメータに対して、パターニングプロセスを使用して処理された基板のメトロロジターゲットの測定に関連する複数の偏光特性を評価すること、及び
    前記測定品質パラメータの1つ又は複数に基づいて、前記複数の偏光特性から1つ又は複数の偏光特性を選択すること
    を含む方法。
  2. 前記偏光特性が、偏光角、及び/又は直交偏光成分間の位相差を含む、請求項1に記載の方法。
  3. 前記偏光角が、前記メトロロジターゲットの前記測定において前記メトロロジターゲットを照明する放射線の偏光角を含む、請求項2に記載の方法。
  4. 前記偏光角が、前記メトロロジターゲットの前記測定において前記メトロロジターゲットから反射した放射線を検出する検出器の偏光子の偏光角を含む、請求項2又は3に記載の方法。
  5. 前記偏光特性が、前記メトロロジターゲットの前記測定において前記メトロロジターゲットを照明する放射線の直交偏光間の位相差を含む、請求項2〜4の何れか一項に記載の方法。
  6. 前記偏光特性が、前記メトロロジターゲットの前記測定において前記メトロロジターゲットから反射した放射線を検出する検出器のリターダによって与えられる位相差を含む、請求項2〜5の何れか一項に記載の方法。
  7. 前記測定品質パラメータが、スタック感度、オーバーレイ感度、及び回折効率の1つ又は複数を含む、請求項1〜6の何れか一項に記載の方法。
  8. 前記選択ステップが、前記評価された複数の偏向特性の中から、前記測定品質パラメータの1つ又は複数を最大値又は最小値から特定の有限範囲内にする1つ又は複数の偏光特性を前記複数の偏光特性から選択することを含む、請求項1〜7の何れか一項に記載の方法。
  9. 前記選択ステップが、前記測定品質パラメータの1つ又は複数を閾値に到達させる前記複数の偏光特性から1つ又は複数の偏光特性を選択することを含む、請求項1〜8の何れか一項に記載の方法。
  10. 前記選択ステップが、前記測定品質パラメータの前記1つ又は複数を閾値に到達させる前記評価された複数の偏向特性の中から、前記測定品質パラメータの別の1つ又は複数を最大値又は最小値から特定の有限範囲内にする1つ又は複数の偏光特性を前記複数の偏光特性から選択することを含む、請求項9に記載の方法。
  11. 前記評価ステップが、シミュレーションによって行われる、請求項1〜10の何れか一項に記載の方法。
  12. 請求項1〜11の何れか一項に記載の方法で選択された前記1つ又は複数の偏光角に従って、基板でのメトロロジターゲットを測定することを含む測定方法。
  13. リソグラフィプロセスのパラメータを測定するためのメトロロジ装置であって、請求項1〜12の何れか一項に記載の方法を行うように動作可能であるメトロロジ装置。
  14. 請求項1〜12の何れか一項に記載の方法をプロセッサに実施させるための機械可読命令を含む、非一時的なコンピュータプログラム製品。
  15. システムであって、
    基板上の2つの隣接する周期構造又は測定ターゲットに放射ビームを提供し、前記ターゲットによって回折された放射を検出してパターニングプロセスのパラメータを決定する検査装置と、
    請求項14に記載の非一時的なコンピュータプログラム製品と
    を備えるシステム。
JP2020509496A 2017-09-06 2018-08-17 メトロロジ方法及び装置 Active JP7110327B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17189662.4 2017-09-06
EP17189662.4A EP3454123A1 (en) 2017-09-06 2017-09-06 Metrology method and apparatus
PCT/EP2018/072294 WO2019048214A1 (en) 2017-09-06 2018-08-17 METHOD AND APPARATUS FOR METROLOGY

Publications (2)

Publication Number Publication Date
JP2020532759A true JP2020532759A (ja) 2020-11-12
JP7110327B2 JP7110327B2 (ja) 2022-08-01

Family

ID=59811114

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020509496A Active JP7110327B2 (ja) 2017-09-06 2018-08-17 メトロロジ方法及び装置

Country Status (8)

Country Link
US (1) US10620550B2 (ja)
EP (1) EP3454123A1 (ja)
JP (1) JP7110327B2 (ja)
KR (2) KR102565829B1 (ja)
CN (1) CN111065970B (ja)
IL (1) IL273001B2 (ja)
TW (1) TWI716729B (ja)
WO (1) WO2019048214A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023067777A1 (ja) * 2021-10-21 2023-04-27 ギガフォトン株式会社 電子デバイスの製造方法及びリソグラフィ制御プロセッサ

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3627228A1 (en) 2017-09-28 2020-03-25 ASML Netherlands B.V. Lithographic method
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
US11487929B2 (en) * 2020-04-28 2022-11-01 Kla Corporation Target design process for overlay targets intended for multi-signal measurements

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013051412A (ja) * 2011-08-30 2013-03-14 Asml Netherlands Bv オーバーレイエラーを判定するための方法及び装置
WO2016162228A1 (en) * 2015-04-10 2016-10-13 Asml Netherlands B.V. Method and apparatus for inspection and metrology
US20170038198A1 (en) * 2001-04-10 2017-02-09 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
WO2017102327A1 (en) * 2015-12-17 2017-06-22 Asml Netherlands B.V. Polarization tuning in scatterometry

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
JP5377595B2 (ja) 2011-03-25 2013-12-25 富士フイルム株式会社 着色感放射線性組成物、カラーフィルタ、着色パターンの製造方法、カラーフィルタの製造方法、固体撮像素子、及び液晶表示装置
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
KR102235615B1 (ko) * 2014-07-29 2021-04-02 삼성전자주식회사 노광 공정 계측용 기판 타겟 및 노광 공정 계측 방법과 이를 이용한 집적회로 소자의 제조 방법
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
SG10201912822UA (en) 2015-05-19 2020-02-27 Kla Tencor Corp Topographic phase control for overlay measurement
JP6789295B2 (ja) * 2015-12-08 2020-11-25 ケーエルエー コーポレイション 偏光ターゲットおよび偏光照明を用いた回折光の振幅および位相の制御
CN108604065B (zh) * 2015-12-23 2021-10-26 Asml荷兰有限公司 量测方法、目标和衬底
EP3299890A1 (en) 2016-09-27 2018-03-28 ASML Netherlands B.V. Metrology recipe selection

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170038198A1 (en) * 2001-04-10 2017-02-09 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
JP2013051412A (ja) * 2011-08-30 2013-03-14 Asml Netherlands Bv オーバーレイエラーを判定するための方法及び装置
WO2016162228A1 (en) * 2015-04-10 2016-10-13 Asml Netherlands B.V. Method and apparatus for inspection and metrology
WO2017102327A1 (en) * 2015-12-17 2017-06-22 Asml Netherlands B.V. Polarization tuning in scatterometry

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023067777A1 (ja) * 2021-10-21 2023-04-27 ギガフォトン株式会社 電子デバイスの製造方法及びリソグラフィ制御プロセッサ

Also Published As

Publication number Publication date
JP7110327B2 (ja) 2022-08-01
TWI716729B (zh) 2021-01-21
WO2019048214A1 (en) 2019-03-14
US10620550B2 (en) 2020-04-14
KR102565829B1 (ko) 2023-08-09
TW201920939A (zh) 2019-06-01
CN111065970A (zh) 2020-04-24
IL273001B1 (en) 2023-06-01
IL273001A (en) 2020-04-30
KR20200032749A (ko) 2020-03-26
EP3454123A1 (en) 2019-03-13
CN111065970B (zh) 2023-03-31
KR20220051433A (ko) 2022-04-26
IL273001B2 (en) 2023-10-01
US20190072859A1 (en) 2019-03-07

Similar Documents

Publication Publication Date Title
JP6855565B2 (ja) メトロロジレシピ選択
JP6880184B2 (ja) スタック差を使用した設計及び補正
JP6934541B2 (ja) メトロロジパラメータ決定及びメトロロジレシピ選択
US11448974B2 (en) Metrology parameter determination and metrology recipe selection
KR102416276B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법
JP2019507368A (ja) メトロロジ方法、ターゲット、及び基板
JP2018507438A (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
JP7000454B2 (ja) メトロロジパラメータ決定及びメトロロジレシピ選択
JP7110327B2 (ja) メトロロジ方法及び装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210219

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210811

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211223

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220701

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220720

R150 Certificate of patent or registration of utility model

Ref document number: 7110327

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150