JP2017518533A - メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法 - Google Patents

メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法 Download PDF

Info

Publication number
JP2017518533A
JP2017518533A JP2016571164A JP2016571164A JP2017518533A JP 2017518533 A JP2017518533 A JP 2017518533A JP 2016571164 A JP2016571164 A JP 2016571164A JP 2016571164 A JP2016571164 A JP 2016571164A JP 2017518533 A JP2017518533 A JP 2017518533A
Authority
JP
Japan
Prior art keywords
radiation
target
substrate
metrology
periodic structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016571164A
Other languages
English (en)
Other versions
JP6408610B2 (ja
Inventor
ボエフ、アリー、ジェフリー デン
ボエフ、アリー、ジェフリー デン
バタチャリア、カウスチュヴ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2017518533A publication Critical patent/JP2017518533A/ja
Application granted granted Critical
Publication of JP6408610B2 publication Critical patent/JP6408610B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70158Diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/708Mark formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

【課題】精度が十分に定義および制御されるとともに、プロセス誘発変動に対して強いオーバーレイターゲットおよびメトロロジレシピの設計方法を提供する。【解決手段】メトロロジターゲットはリソグラフィプロセスによって形成され、各ターゲットは下部格子および上部格子を備える。リソグラフィプロセスのオーバーレイ性能は、各ターゲットを放射で照射して回折放射の非対称性を観測することにより測定される。メトロロジレシピおよびターゲット設計のパラメータは、再現性よりむしろ、オーバーレイ測定の精度を最大にするように選択される。この方法は、(i)上部格子により回折した放射を表す第1放射成分と(ii)上部格子および介在層を通り抜けた後に下部格子により回折した放射を表す第2放射成分との間から、少なくとも一つの相対振幅および相対位相を計算することを含む。上部格子の設計は、相対振幅が一つに近づくよう修正されてよい。メトロロジレシピの照明放射の波長は、相対位相がπ/2または3π/2に近づくよう調整できる。【選択図】図8

Description

この出願は、2014年6月2日に出願された米国仮特許出願第62/006,524号の利益を要求し、その全体が参照により本明細書に組み込まれる。
本発明は、例えばリソグラフィ技術によるデバイスの製造において用いることのできるメトロロジ用装置およびリソグラフィ技術を用いたデバイス製造方法に関する。
リソグラフィ装置は、所望のパターンを基板、通常は基板のターゲット部分に転写する機械である。リソグラフィ装置は例えば集積回路(IC)の製造に用いられる。この場合、例えばマスクまたはレチクルとも称されるパターニングデバイスが、集積回路の個々の層に形成されるべき回路パターンを形成するために使用されうる。このパターンが基板(例えばシリコンウェハ)の(例えばダイの一部、あるいは1つまたは複数のダイを含む)ターゲット部分に転写される。パターン転写は典型的には基板に形成された放射感応性材料(レジスト)層への結像による。一般に一枚の基板にはネットワーク状に隣接する一群のターゲット部分が含まれ、これらは連続的に露光される。
リソグラフィプロセスでは、例えばプロセス制御および検証のため、作り出した構造を測定することがしばしば望まれる。そのような測定のためのさまざまなツールが知られており、クリティカルディメンジョン(CD)測定のためにしばしば使用される走査電子顕微鏡や、デバイス内の2つの層のアライメント精度であるオーバーレイ測定のための専用ツールが含まれる。近年、リソグラフィ分野での使用のためにさまざまな形態のスキャトロメータが開発されている。これらのデバイスは、放射ビームをターゲット上に誘導し、散乱放射の一以上の特性、例えば、波長の関数としての単一反射角での強度、反射角の関数としての一以上の波長での強度、又は、反射角の関数としての偏光を測定して、ターゲットの対象となっている特性を決定することができる回折「スペクトル」を取得する。
公知のメトロロジ技術において、オーバーレイ測定結果は、ターゲットを回転するか、あるいは、照明モード又は結像モードを変更して−1次回折強度及び+1次回折強度を別個に取得しながら、一定の条件下でターゲットを2回測定することで得られる。所与の格子のためにこれらの強度を比較することにより、格子の非対称性を測定が提供され、オーバーレイ格子中の非対称性はオーバーレイエラーの指標として用いることができる。
周知であるように、各製品およびプロセスは、それによってオーバーレイ測定が実行される、メトロロジターゲットの設計および適当なメトロロジ「レシピ」の選択に注意を要する。周知の作業方法は、例えば、「全測定不確実性(total measurement uncertainty)」またはTMUなどにより測定される測定における再現性を向上することを目的としている。本発明者は、これらの周知の設計技術はある状況では完全に成功せず、それらの間では一致した測定結果をもたらすかもしれないが、実際の製造環境で可能なほどの精度ではないことを見いだした。
精度が十分に定義および制御されるとともに、プロセス誘発変動に対して強い(ロバストな)オーバーレイターゲットおよびメトロロジレシピの設計方法を提供することが望ましい。
第1実施形態は、リソグラフィプロセスによって形成されるメトロロジターゲットを設計する方法を提供する。各ターゲットを放射で照射して結果として生じる回折スペクトルの特定部分の非対称性を観測することにより、リソグラフィプロセスのオーバーレイ性能を測定できるように、各ターゲットが、基板の下層に形成される第1周期構造と、基板の上層の第1周期構造よりも上側に形成される第2周期構造とを備える。メトロロジレシピパラメータおよび設計パラメータの値は、再現性を最大にするよりむしろ、選択された値に従ったメトロロジターゲットがリソグラフィプロセスにより形成されて測定されるときにオーバーレイ測定の精度を最大にするように選択される。
別の実施形態では、リソグラフィプロセスによって形成されるメトロロジターゲットを設計する方法が提供される。各ターゲットを放射で照射して結果として生じる回折スペクトルの特定部分の非対称性を観測することにより、リソグラフィプロセスのオーバーレイ性能を測定できるように、各ターゲットが、基板の下層に形成される第1周期構造と、基板の上層の第1周期構造よりも上側に形成される第2周期構造とを備える。この方法は、オーバーレイを測定するために使用される照明放射の特性を表す一つ以上のメトロロジレシピパラメータを定義することと、ターゲットの設計を表す一つ以上の設計パラメータを定義することと、(i)第2周期構造により回折したときの照明放射を表す第1放射成分と(ii)第2層を通り抜けて第1層に進んで第1層に戻った後に、第1周期構造により回折したときの照明放射を表す第2放射成分との間から、少なくとも一つの相対振幅および相対位相を計算することと、選択された値に従ったメトロロジターゲットがリソグラフィプロセスにより形成されて測定されるときにオーバーレイ測定の精度を最大にするように、計算された相対振幅および/または相対位相に基づいてメトロロジレシピパラメータおよび設計パラメータの値を選択することと、を備える。
本方法はさらに、選択されたパラメータ値に従って基板上にメトロロジターゲットを形成することを備えてもよい。
さらなる実施形態は、リソグラフィプロセスによって形成される複数のメトロロジターゲットが設けられた基板を提供する。各ターゲットを放射で照射して結果として生じる回折スペクトルの特定部分の非対称性を観測することにより、第1および第2周期構造間のアライメントを測定できるように、各ターゲットは、少なくとも、基板の下層に形成される第1周期構造と、上層の第1周期構造よりも上側に形成される第2周期構造とを備える。第2周期構造は、回折スペクトルの一部に対して低減された回折効率を有するよう形成された修正格子であり、第2周期構造により回折する放射成分の振幅は、第2層および一つ以上の介在層の物質を通って進むことにより弱められた第1周期構造により回折する放射成分の振幅と同じオーダーとなるよう弱められる。
本発明の更なる態様は、リソグラフィプロセスに使用するための一対のパターニングデバイス;リソグラフィプロセスを用いて一連の製品パターンが一連の基板に与えられるコンピュータプログラムプロダクトおよびデバイス製造方法であり、添付の請求項に全て規定されている。
本発明のさらなる特徴、効果は、本発明のさまざまな実施形態の構成および作用とともに添付の図面を参照して以下に詳細に説明される。本発明は、本明細書に記載された特定の実施形態に限定されないことを留意されたい。これらの実施形態は、単に説明のみを目的として本明細書に示されている。本明細書に含まれる技術に基づくさらなる実施形態は、当業者にとって明らかであろう。
添付の図面を参照して、例示のみを目的として本発明の実施形態について以下で説明する。
本発明の実施形態に係るリソグラフィ装置を示す図である。
本発明の実施形態に係るリソグラフィックセル又はクラスタを示す図である、
図3(a)は本発明の実施形態に係るターゲットを第1の照明アパーチャ対を用いて測定する際に使用される暗視野スキャトロメータの概略図であり、図3(b)は任意の方向の照明に対するターゲット格子の回折スペクトルの詳細図であり、図3(c)は回折に基づくオーバーレイ測定にスキャトロメータを使用する際に別の照明モードを提供する第2の照明アパーチャ対を示し、図3(d)は第1及び第2のアパーチャ対を組み合わせた第3の照明アパーチャ対を示す図である。
複数の格子ターゲットの公知の形態及び基板上の測定スポットの輪郭を示す図である。
図3のスキャトロメータで得られる、図4のターゲットのイメージを示す。
図3のスキャトロメータおよび本発明の第1実施形態に係る新規なメトロロジターゲットを用いたオーバーレイ測定のステップを表すフローチャートである。
一対のオーバーレイメトロロジターゲットの概略断面図である。
図7に示すようなターゲットを用いたメトロロジにおいて回折信号に寄与する第1および第2放射成分を示す図である。
回折に基づくオーバーレイメトロロジをこのようなターゲットに実行したときに得られるシミュレーションされた強度信号を示す図である。
実験により得られる対応する強度信号を示す図である。
回折に基づくオーバーレイメトロロジを実行したときの第1放射成分と第2放射成分の間の相対位相の影響を示す図である。
回折に基づくオーバーレイメトロロジの分析において、係数Kへの相対位相の影響を示す図である。
オーバーレイメトロロジのための信号品質指標の計算に用いられる値を示す図である。
オーバーレイメトロロジターゲットの設計において上部格子を修正することにより信号コントラストの改善を示す図である。
本発明の実施形態に係る設計方法の一例のフローチャートである。 本発明の実施形態に係る設計方法の一例のフローチャートである。
図15の方法により設計されたオーバーレイメトロロジターゲットにおいて上部格子強度の変動により3つの異なる品質指標がどのように影響を受けるかを示すグラフである。
図15の方法で用いられる、メトロロジターゲットの数学的モデルへの摂動の導入を示す図である。
照射波長に対する6つのパラメータのグラフを示し、図15および図16の方法でメトロロジレシピを設計するプロセスを示す図である。
大きなターゲットと図3のスキャトロメータの瞳イメージセンサを用いた本発明の別の実施形態を示す図である。 大きなターゲットと図3のスキャトロメータの瞳イメージセンサを用いた本発明の別の実施形態を示す図である。
本明細書は、本発明の特徴を組み込んだ一つ以上の実施形態を開示している。開示された実施形態は、単に本発明の例示に過ぎない。本発明の範囲は、開示された実施形態に限られない。本発明は、この文書に添付された特許請求の範囲によって定義される。
本明細書において「一実施形態」、「実施形態の一実施例」とは、説明した実施形態が特定のフィーチャ、構造、または特徴を含んでいてもよいことを表すが、すべての実施形態がその特定のフィーチャ、構造、または特徴を必ずしも含んでいるわけではない。さらにまた、上記のフレーズは必ずしも同じ実施形態を指すものではない。さらに、特定のフィーチャ、構造、または特徴を一実施形態に関して説明するとき、明示的に説明しようがしまいが、他の実施形態に関してそのような特定のフィーチャ、構造、または特徴を作用させることは、当業者の知識の範囲内であるとして理解すべきである。
本発明の実施形態は、ハードウェア、ファームウェア、ソフトウェア、またはそれらの組み合わせで実現されてもよい。本発明の実施形態は、また、一つ以上のプロセッサにより読み込まれ、実行されるコンピュータ読み取り可能媒体に記憶されたインストラクションとして実現されてもよい。コンピュータ読み取り可能媒体は、機械により読み取り可能な形式の情報を記憶または伝送するメカニズムを含んでもよい(例えば、コンピュータデバイス)。例えば、コンピュータ読み取り可能媒体は、読み出し専用メモリ(ROM);ランダムアクセスメモリ(RAM);磁気ディスク記憶媒体;光記憶媒体;フラッシュメモリ装置;電気的、光学的、音響的またはその他の形式の伝搬信号などである。さらに、ファームウェア、ソフトウェア、ルーチン、インストラクションは、特定の動作を実行できるものとして、ここで説明されてもよい。しかしながら、このような説明は、単に便宜上のためだけであり、このような動作は、実際は、ファームウェア、ソフトウェア、ルーチン、インストラクションなどを実行するコンピュータデバイス、プロセッサ、コントローラ、その他のデバイスによって生じるものであると理解すべきである。
本発明の実施形態を詳細に説明する前に、本発明の実施形態を実装可能である例示的な環境を提示することが有益である。
図1は、リソグラフィ装置LAを模式的に示す。この装置は、放射ビームB(例えばUV放射またはDUV放射)を調整するよう構成された照明系(照明器)ILと、パターニングデバイス(例えばマスク)MAを支持し、パターニングデバイスをあるパラメータに従って正確に位置決めするよう構成された第1位置決め装置PMに接続されているパターニングデバイス支持部または支持構造(例えばマスクテーブル)MTと、基板(例えば、レジストでコーティングされたウェハ)Wを保持し、基板をパラメータに従って正確に位置決めするよう構成された第2位置決め装置PWに接続されている基板テーブル(例えばウェハテーブル)WTと、パターニングデバイスMAにより放射ビームBに付与されたパターンを基板Wの(例えば1つまたは複数のダイを含む)ターゲット部分Cに投影するよう構成されている投影系(例えば屈折投影レンズ系)PSと、を含む。
照明系は、屈折光学素子、反射光学素子、磁気的光学素子、電磁気的光学素子、静電的光学素子、あるいは他の種類の光学素子などの各種の光学素子、またはこれらの組合せを含み得るものであり、放射の向きや形状を整え、あるいは放射を制御するためのものである。
パターニングデバイス支持部は、パターニングデバイスの向き、リソグラフィ装置の設計、およびパターニングデバイスが真空環境で保持されるか否か等のその他の条件に応じた方式でパターニングデバイスを保持する。パターニングデバイス支持部は、機械的固定、真空固定、静電固定、またはパターニングデバイスを保持するその他の固定技術を用いてもよい。パターニングデバイス支持部は、例えばフレームまたはテーブルであってもよく、これらは固定されていてもよいし必要に応じて移動可能であってもよい。パターニングデバイス支持部は、パターニングデバイスが例えば投影系に対して所望の位置にあることを保証してもよい。本明細書における「レチクル」または「マスク」なる用語の使用は、より一般的な用語である「パターニングデバイス」と同じ意味と見なしてよい。
本明細書において「パターニングデバイス」なる用語は、基板のターゲット部分にパターンを生成するために放射ビーム断面にパターンを与えるのに使用される何らかのデバイスについて言及するものとして広義に解釈される。放射ビームに付与されたパターンは、基板のターゲット部分に望まれるパターンに厳密に一致していなくてもよい。例えば、位相シフトフィーチャまたはいわゆるアシストフィーチャがパターンに含まれていてもよい。一般に、放射ビームに付与されたパターンは、ターゲット部分に生成される集積回路等のデバイスにおける特定の機能層に対応する。
パターニングデバイスは透過型であっても反射型であってもよい。パターニングデバイスの例としては、例えばマスクやプログラマブルミラーアレイ、プログラマブルLCDパネルなどがある。マスクはリソグラフィの分野では周知であり、バイナリマスクやレベンソン型位相シフトマスク、ハーフトーン型位相シフトマスク、更に各種のハイブリッド型マスクが含まれる。プログラマブルミラーアレイの一例としては、小型のミラーがマトリックス状に配列され、各ミラーが入射してくる放射ビームを異なる方向に反射するように個別に傾斜されるというものがある。これらの傾斜ミラーにより、マトリックス状ミラーで反射された放射ビームにパターンが付与されることになる。
本明細書で使用される「投影系」という用語は、使用される露光光あるいは液浸や真空の利用などの他の要因に関して適切とされるいかなる投影系をも包含するよう広く解釈されるべきである。投影系には例えば屈折光学系、反射光学系、反射屈折光学系、磁気的光学系、電磁気的光学系、静電的光学系、またはこれらの任意の組み合わせなどが含まれる。以下では「投影レンズ」という用語は、より一般的な用語である「投影系」と同義に用いられ得る。
ここに図示されるのは、(例えば透過型マスクを用いる)透過型のリソグラフィ装置である。これに代えて、(例えば上述のようなプログラマブルミラーアレイまたは反射型マスクを用いる)反射型のリソグラフィ装置を用いることもできる。
リソグラフィ装置は2つ以上(2つの場合にはデュアルステージと呼ばれる)の基板テーブル(および/または2つ以上のマスクテーブル)を備えるタイプのものであってもよい。このような「多重ステージ型」の装置においては追加されたテーブルは並行して使用されるか、あるいは1以上のテーブルで露光が行われている間に他の1以上のテーブルで準備工程を実行するようにしてもよい。
また、リソグラフィ装置は、基板の少なくとも一部が比較的屈折率の高い液体、たとえば水で覆われ、それにより投影系と基板との間の空間が充填されるタイプの装置であってもよい。液浸液は例えばマスクと投影系との間などの、リソグラフィ装置の他の空間に与えられてもよい。液浸技術は、投影系の開口数を大きくするため技術として周知である。本明細書で使用される「液浸(immersion)」という用語は、基板などの構造が液体の中に沈められなければならないことを意味するものではなく、むしろ露光中投影系と基板との間に液体がある程度のことを意味するものである。
図1に示されるように、照明器ILは放射源SOから放射ビームを受け取る。例えば光源がエキシマレーザである場合には、光源とリソグラフィ装置とは別体であってもよい。この場合、光源はリソグラフィ装置の一部を構成しているとはみなされなく、放射ビームは光源SOから照明器ILへとビーム搬送系BDを介して受け渡される。ビーム搬送系BDは例えば適当な方向変更用のミラーおよび/またはビームエキスパンダを含む。あるいは光源が例えば水銀ランプである場合には、光源はリソグラフィ装置に一体に構成されていてもよい。光源SOと照明器ILとは、またビーム搬送系BDが必要とされる場合にはこれも合わせて、放射系と総称される。
照明器ILは放射ビームの角強度分布を調整するためのアジャスタADを含んでもよい。一般には、照明器の瞳面における強度分布の少なくとも半径方向外径および/または内径の大きさ(通常それぞれ「シグマ−アウタ(σ−outer)」、「シグマ−インナ(σ−inner)」と呼ばれる)が調整される。加えて照明器ILは、インテグレータINおよびコンデンサCOなどの種々の他の要素を含んでもよい。照明器はビーム断面における所望の均一性および強度分布を得るべく放射ビームを調整するために用いられる。
放射ビームBは、パターニングデバイス支持部(例えばマスクテーブルMT)に保持されるパターニングデバイス(例えばマスク)MAに入射して、当該パターニングデバイスによりパターンが付与される。パターニングデバイス(例えばマスク)MAを通過した放射ビームBは投影系PSに進入する。投影系PSはそのビームを基板Wのターゲット部分Cに集束する。第2の位置決め装置PWおよび位置センサIF(例えば、干渉計、リニアエンコーダ、2Dエンコーダ、静電容量センサなど)により基板テーブルWTを正確に移動させることができる。基板テーブルWTは例えば放射ビームBの経路上に異なるターゲット部分Cを位置決めするように移動される。同様に、第1の位置決め装置PMおよび他の位置センサ(図1には明示せず)は、放射ビームBの経路に対してパターニングデバイス(例えばマスク)MAを正確に位置決めするのに使用されうる。この位置決めは例えばマスクライブラリからのマスクの機械検索後や走査中に行われる。一般に、パターニングデバイス支持部(例えばマスクテーブル)MTの移動は、第1の位置決め装置PMの一部を形成するロングストロークモジュール(粗い位置決め用)およびショートストロークモジュール(精細な位置決め用)により実現されうる。同様に基板テーブルWTの移動は、第2の位置決め装置PWの一部を形成するロングストロークモジュールおよびショートストロークモジュールを使用して実現されうる。ステッパでは(スキャナとは異なり)、パターニングデバイス支持部(例えばマスクテーブル)MTは、ショートストロークのアクチュエータにのみ接続されているか、あるいは固定されていてもよい。
パターニングデバイス(例えばマスク)MAと基板Wとは、マスクアライメントマークM1、M2および基板アライメントマークP1、P2を用いてアライメントされてもよい。図においては基板アライメントマークが専用のターゲット部分を占拠しているが、アライメントマークはターゲット部分間のスペースに配置されてもよい(これはスクライブライン・アライメントマークとして公知である)。同様に、パターニングデバイス(例えばマスク)MAに複数のダイがある場合にはマスクアライメントマークをダイ間に配置してもよい。デバイスフィーチャの間において、小アライメントマーカがダイ内に含まれてもよい。その場合、マーカはできるだけ小さく、且つ隣接するフィーチャと異なる結像または処理条件を必要としないことが望ましい。
図示の装置は様々なモードで使用され得る。スキャンモードにおいては、放射ビームに付与されたパターンがターゲット部分Cに投影される間、パターニングデバイス(例えばマスクテーブル)MTおよび基板テーブルWTは同期して走査される(すなわち単一動的露光)。パターニングデバイス(例えばマスクテーブル)MTに対する基板テーブルWTの速度および方向は、投影系PSの拡大(縮小)特性および像反転特性により定められてもよい。スキャンモードでは露光フィールドの最大サイズが単一動的露光でのターゲット部分の(非走査方向の)幅を制限し、走査移動距離がターゲット部分の(走査方向の)長さを決定する。当技術分野で周知のような、他のタイプのリソグラフィ装置および動作モードも可能である。例えば、ステップモードが知られている。いわゆる「マスクレス」リソグラフィでは、プログラマブルパターニングデバイスが静止してしかしながら変化するパターンとともに保持され、基板テーブルWTが移動および走査される。
上記で記載した使用モードを組み合わせて動作させてもよいし、各モードに変更を加えて動作させてもよいし、さらに全く別の使用モードでリソグラフィ装置を使用してもよい。
リソグラフィ装置LAは、いわゆるデュアルステージタイプで、2つの基板テーブルWTa、WTbおよび2つのステーション、すなわち、露光ステーションおよび測定ステーションを有し、それらの間で基板テーブルを交換することができる。1つの基板テーブル上の1つの基板を露光ステーションで露光している間に、測定ステーションで別の基板を他の基板テーブル上に載せ、さまざまな予備工程を実行することができる。予備工程は、レベルセンサLSを使用して基板の表面制御をマッピングすることと、アライメントセンサASを使用して基板上のアライメントマーカの位置を測定することを含んでよい。
図2に示すように、リソグラフィ装置LAは、リソグラフィセルLCの一部を形成している。リソグラフィセルは、リソセル(lithocell)またはクラスタ(cluster)とも称され、基板に露光前プロセスおよび露光後プロセスを実行する装置も含む。従来、これらは、レジスト層を堆積させるスピンコータSC、露光されたレジストを現像するディベロッパDE、チルプレートCH、およびベークプレートBKを含む。基板ハンドラー、つまりロボットROは、入力/出力ポートIO1,IO2から基板を持ち上げ、これを異なるプロセス装置間で移動させ、これをリソグラフィ装置のローディングベイLBへと送出する。これらの装置は、往々にしてまとめてトラックと呼ばれ、トラック制御ユニットTCUの制御下にある。トラック制御ユニットTCU自体は、監視制御システムSCSにより制御される。監視制御システムSCSは、リソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、様々な装置を操作して、スループットおよび処理効率を最大限にすることができる。
周知のスキャトロメータの例は、米国特許出願公開第2006/033921A1号および米国特許出願公開第2010/201963A1号に記載されたタイプの角度分解スキャトロメータを含む。このようなスキャトロメータで使用されるターゲットは、例えば40μm×40μmの比較的大きい格子であり、測定ビームは格子よりも小さいスポットを生成する(すなわち、格子は満たされない)。回折次数の暗視野検出を用いた回折に基づくオーバーレイメトロロジにより、より小さいターゲット上のオーバーレイ測定が可能となる。暗視野メトロロジの例を、国際特許出願第2009/078708号および国際特許出願第2009/106279(PCT/EP第09/001271号、2009年2月23日に出願、現在の優先日には未公開)に見ることができ、これらの文献の全体が参照により本明細書に組み込まれる。この技術をさらに発展させたものが、米国特許出願公開第2011/0027704A号、米国特許出願公開第2011/0043791A号、米国特許出願公開第2012/0044470A号、米国特許出願公開第2012/0123581A号、米国特許出願公開第2013/0258310A号および米国特許出願公開第2013/0271740A号並びに米国特許出願61/652,552号および米国特許出願61/803,673号に記載されている。これらのターゲットは、照明スポットよりも小さくすることが可能であり、ウェハ上の製品構造により囲まれてよい。複合格子ターゲットを用いて、一つの像中で複数の格子を測定できる。全てのこれらの出願の内容も参照により組み込まれる。本発明の実施形態において好適なメトロロジ装置(スキャトロメータ)を図3(a)に示す。格子ターゲットTおよび回折光線が図3(b)により詳細に図示されている。装置およびその形式および使用法のバリエーションの詳細が米国特許出願公開第2011/027704号および上述の他の先行特許出願で与えられる。それらの先行特許、出願公開、および出願が参照により本明細書に組み込まれる。光軸が点線Oで表されている。光軸は装置にわたっていくつかの分岐を有する。この装置において、放射源11(例えば、キセノンランプ)によって放出される光は、レンズ12、14および対物レンズ16を備える光学系によって、ビームスプリッタ15を介して基板W上に誘導される。これらのレンズは、4F配置の二重シーケンスに配置される。基板イメージを検出器に与えるものであれば、異なるレンズ配置を用いることができ、同時に空間周波数フィルタリングのための中間瞳面のアクセスを可能とする。従って、放射が基板に入射する角度範囲は、基板面の空間スペクトルを与える面、ここでは(共役)瞳面と称される、に空間強度分布を規定することによって選択することができる。特に、これは、適切な形態のアパーチャプレート13をレンズ12とレンズ14との間の、対物レンズの瞳面の背面投影像である面に挿入することによって実現することができる。図示の実施例において、アパーチャプレート13は、選択された異なる照明モードを可能とする、符号13Nおよび13Sが付された別の形を有する。本実施例のアパーチャプレートは、様々な軸外照明モードを形成する。第1照明モードでは、アパーチャプレート13Nは、説明の便宜上「北」と標記された方向から軸外照明を提供する。第2照明モードでは、アパーチャプレート13Sは、「南」と標記された反対の方向から同様の照明を提供するために用いられる。異なるアパーチャを用いた他の照明モードも可能である。所望の照明モード外の不要な光は所望の測定信号と干渉するため、瞳面の残りの部分は暗いことが望ましい。
図3(b)に示されるように、格子ターゲットTは、基板Wとともに、対物レンズ16の光軸Oに対して直交するように設置される。光軸Oから外れた角度でターゲットTに入射する照明Iの光線は、ゼロ次光線(実線0)および2つの1次光線(一点鎖線+1および二点鎖線−1)を生じさせる。オーバーフィルされた小ターゲット格子の場合、これらの光線は、メトロロジターゲットTおよび他のフィーチャを含む基板の領域を覆う多数の平行光線の一つにすぎないことを忘れてはならない。複合格子ターゲットが設けられる場合、ターゲット内のそれぞれ個別の格子がそれぞれの回折スペクトルを生じさせる。プレート13内のアパーチャは(有効な光量を受け入れるのに必要な)有限の幅をもつため、実際には入射光線Iがある範囲の角度を占めており、回折光線0および+1/−1はある程度拡散される。小ターゲットの点広がり関数によれば、+1および−1の各次はさらに一定の角度範囲に拡散され、図示されるような一本の理想的な光線とはならない。ここで、格子ピッチおよび照射角は、対物レンズに入射する1次光線が中心光軸と近接して並ぶように設計または調整され得ることを留意されたい。図3(a)および図3(b)に図示される光線はある程度軸外に表されているが、これは、単にそれらを光線を図中でより容易に区別できるようにするためである。
少なくとも基板W上のターゲットにより回折した0次および+1次は、対物レンズ16により集光され、ビームスプリッタ15を介して誘導されて戻る。図3(a)に戻ると、北(N)および南(S)と標記された正反対にあるアパーチャを指定して、第1および第2照明モードの両方が図示されている。入射光Iが光軸の北側から来る場合、アパーチャプレート13Nを用いて第1照明モードが適用されるときに、+1(N)と標記された+1次回折光線が対物レンズ16に入射する。それに対して、アパーチャプレート13Sを用いて第2照明モードが適用されるとき、(−1(S)と標記された)−1次回折光線がレンズ16に入射する光線となる。
第2ビームスプリッタ17は、回折ビームを2つの測定分岐に分割する。第1の測定分岐では、光学系18が、ゼロ次および1次回折ビームを用いて第1センサ19(例えば、CCDまたはCMOSセンサ)上にターゲットの回折スペクトル(瞳面イメージ)を形成する。各回折次がセンサ上の異なる点に当たるため、イメージ処理によって各次数を比較対照することができる。センサ19によって捕捉された瞳面イメージは、メトロロジ装置を焦点合わせするため、および/または、1次ビームの強度測定値を正規化するために使用することができる。瞳面イメージは、再構築といった数多くの測定目的だけでなく、非対称性測定のために使用することもできるが、これらは本開示の主題ではない。後述の第1実施例は、第2の測定分岐を用いて非対称性を測定する。
第2の測定分岐では、光学系20,22がセンサ23(例えばCCDまたはCMOSセンサ)上に基板W上のターゲットのイメージを形成する。第2の測定分岐では、瞳面と共役な面内にアパーチャ絞り21が設けられる。アパーチャ絞り21は、ゼロ次回折ビームを遮断してセンサ23上に形成されるターゲットのイメージが−1次または+1次ビームのみから形成されるようにする機能をもつ。センサ19および23によって捕捉されたイメージは、イメージプロセッサ・コントローラPUに出力される。このイメージプロセッサ・コントローラPUの機能は、どのような種類の測定が行われているかに依存する。本明細書において「イメージ」という用語は広い意味で用いられていることに注意する。そのため、格子ラインのイメージは、−1次および+1次のどちらか一方しか存在しない場合、形成されない。
図3に示されるアパーチャプレート13および視野絞り21の特定の形態は単なる例示である。本発明の別の実施形態においては、ターゲットの軸上照明が用いられ、軸外アパーチャを有するアパーチャ絞りを使って実質的に1つの1次回折光のみをセンサに通す。
(13および21で示されるアパーチャはその場合に効果的に交換される。)さらに別の実施形態では、(図3には示されない)2次、3次およびさらに高次のビームを、1次ビームの代わりに、または、1次ビームに加えて、測定に用いることができる。
これらの異なる種類の測定に適合可能な照明とするために、アパーチャプレート13は、ディスクの周りに形成された多数のアパーチャパターンを備えてよく、このディスクが回転することで所望のパターンが所定の位置に配置される。代替的にあるいは付加的に、プレート13のセットを設けてこれを交換することで同じ効果を達成することも可能である。変形可能ミラーアレイ、透過型空間光モジュレータといった、プログラマブル照明デバイスを使用することもできる。照明モードを調節する別の方法として、可動ミラーまたはプリズムを使用することもできる。
アパーチャプレート13との関連で説明したとおり、瞳絞り21を変更すること、または、異なるパターンを有する瞳絞りで代用すること、または、固定視野絞りをプログラム可能な空間光変調器で置き換えることにより、結像のための回折次数の選択を代替的に達成することができる。この場合、測定光学系の照明側は一定とすることができ、その一方、結像側が第1および第2のモードを持つことになる。事実上、3種類の測定方法があり、それぞれに利点および欠点がある。1つの方法では、照明モードを変更して異なる次数を測定する。別の方法では、結像モードを変更する。第3の方法では、照明モードおよび結像モードは変更しないが、ターゲットを180度回転させる。それぞれの場合で、所望の効果は同じ、すなわち、ターゲットの回折スペクトルにおいて互いに対称な非ゼロ次回折放射の第1および第2の部分を選択することである。
本実施例において結像に用いられる光学系は、視野絞り21によって制限される広い入射瞳を有するが、他の実施形態または用途においては、結像システム自身の入射瞳のサイズが所望の次数に制限することができる程度の小ささであり、したがって、視野絞りとして機能してもよい。図3(c)および図3(d)には、異なるアパーチャプレートが示され、以下でさらに説明するとおりこれらを使用することもできる。
典型的には、ターゲット格子は、南北または東西に延びるその格子ラインに位置合わせされる。つまり、格子は、基板WのX方向またはY方向に位置合わせされる。アパーチャプレート13Nまたは13Sは、(設定によりXまたはYの)一方向に向けられた格子を測定するためだけに使用することができることに注意する。直交格子の測定のために、ターゲットの90度および270度の回転を実施してもよい。しかし、より簡便には、図3(c)に示されるアパーチャプレート13Eまたは13Wを用いて、東または西からの照明を照明光学系に設けることである。アパーチャプレート13N〜13Wは別個に形成して交換可能とすることができ、あるいは、90度、180度または270度回転可能な単一のアパーチャプレートとしてもよい。既に述べたとおり、図3(c)に記載の軸外(オフアクシス)アパーチャを、照明アパーチャプレート13に設ける代わりに、視野絞り21に設けることもできる。その場合、照明は軸上(オンアクシス)となる。
図3(d)は、第1および第2の照明モード対を組み合わせるために用いることができる第3のアパーチャプレート対を示す。アパーチャプレート13NWは北および東にアパーチャを有し、アパーチャプレート13SEは南および西にアパーチャを有する。これらの異なる回折信号間のクロストークがそれほど大きくなければ、X格子およびY格子の両方の測定を、照明モードを変更することなく行うことができる。さらに様々なアパーチャプレート13Qが図20および図21の実施例に示される。
回折に基づくオーバーレイ測定−イントロダクション
図4は、周知の手法にしたがって基板W上に形成された複合メトロロジターゲットを示す。この複合ターゲットは、メトロロジ装置の照明ビームによって形成される測定スポット31内にそのすべてが入るように互いに近接して位置決めされた4つの個別の格子32〜35を備える。これらの格子のそれぞれがメトロロジターゲットである。したがって、4つの格子はすべて同時に照明され、同時にセンサ19および23上に結像される。オーバーレイ測定に特化した例では、格子32〜35は、それら自身が、基板W上に形成される半導体デバイスの異なる層にパターン付けされた格子を重ね合わせることによって形成された複合格子である。複合格子の異なる部分が形成された層と層の間のオーバーレイ測定を容易にするため、格子32〜35は異なるバイアスされたオーバーレイオフセットを有してよい。また、入射する放射をXおよびY方向に回折するように、格子32〜35は、図示されるようにその向きが異なっていてもよい。一実施例では、格子32および34は、それぞれ+d、−dのバイアスをもつX方向格子である。これは、格子32は、その重なりあう成分として、各成分がその公称位置に正確に印刷された場合、一方の成分が他方の成分に対して距離dだけオフセットされるように配置された成分を有することを意味する。格子34は、その成分として、完全に印刷された場合、第1の格子などと反対方向にdのオフセットが生じるように配置された成分を有する。格子33および35は、それぞれ+dおよび−dのオフセットを有するY方向格子である。4つの格子が図示されているが、別の実施形態においては、所望の精度を得るためにより大きなマトリックスが必要となることもあり得る。例えば、9つの複合格子からなる3×3アレイは、−4d、−3d、−2d、−d、0、+d、+2d、+3d、+4dのバイアスを有し得る。これらの格子の別個のイメージを、センサ23によって捕捉されるイメージにおいて特定することができる。
各ターゲットを生成するために、少なくとも2層に格子パターン(周期的構造)が形成され、各層のパターンはレチクルなどのパターニングデバイスにより規定されることを理解されたい。図4の実施例では、4つ全ての格子が同じ対の層に形成されることを想定しているが、これは一つのオプションにすぎない。必要に応じて、層1および層2間のオーバーレイを測定するために格子32および34を形成することができ、一方で、格子33および35は異なる層間、例えば層3および層4の間のオーバーレイを測定できる。
図5は、図3の装置において図4のターゲットを使用し、図3(d)のアパーチャプレート13NWまたは13SEを使用することにより、センサ23上に形成され、且つ、センサ23によって検出され得るイメージの一例を示す。瞳面イメージセンサ19は、異なる個々の格子32〜35を分解することができないが、イメージセンサ23は分解できる。クロスハッチングされた四角形40は、センサ上のイメージフィールドを表しており、この中で、基板上の照明されたスポット31が対応する円形領域41に結像されている。フィールドは暗いことが理想的である。この暗視野イメージ内において、四角形領域42〜45は、個別の格子32〜35のイメージを表している。格子が製品領域内に位置している場合、製品フィーチャもこのイメージフィールドの周辺部に認められることがある。図5の暗視野イメージ内には一つの複合格子ターゲットだけが図示されているが、実際にはリソグラフィにより製造される半導体デバイスまたは他のプロダクトは多くの層を有しており、異なる対の層間でオーバーレイ測定がなされるべきである。対の層間の各オーバーレイ測定において、一つ以上の複合格子ターゲットが必要とされ、それ故に他の複合格子ターゲットがイメージフィールド内に存在してよい。イメージプロセッサ・コントローラPUは、パターン認識を用いてこれらのイメージを処理し、格子32〜35の別個のイメージ42〜45を特定する。
格子の別々のイメージが特定されると、例えば、特定された領域内の選択されたピクセル強度値を平均または合計することにより、それら個々のイメージの強度を測定することが可能になる。イメージの強度および/またはその他の特性は互いに比較することができる。これらの結果を組み合わせてリソグラフィプロセスのさまざまなパラメータを測定することができる。オーバーレイ性能は、そのようなパラメータのうちの重要な一例であり、強度を比較することにより、オーバーレイ測定として用いられ得る非対称性が明らかとなる。非対称性およびそれ故オーバーレイを測定するための別の技術では、瞳面イメージセンサ19が用いられる。このセンサを用いた実施例が図20および図21を参照して後述される。
回折に基づくオーバーレイでの信号形成
イントロダクションで説明した種類のエラーの原因を理解するために、まずオーバーレイ測定の原点を考慮し、さらに、計算で用いられる信号が回折に基づくオーバーレイメトロロジにおいてどのように用いられるかを詳細に考慮することが有効である。上述したように、オーバーレイの測定は、適切な照明の下でターゲットにより形成される回折スペクトルの反対側部分の間の非対称性を観察することに基づく。一部分は、高次の回折放射を備え、これはゼロ次ではないことを意味する。これらの例で選択された部分は実質的に1次から成るが、必要であればさらに高次を含むことができる。
図7は、一対のオーバーレイ格子ターゲットの断面を示す。これらは、例えば、図4に図示される形の複合ターゲットにおけるターゲット32および34であってよい。下部格子は、基板W上の層L1に形成されるフィーチャである周期的構造を備える。同じピッチPを有する上部格子が上層L2に形成されている。上部格子と下部格子との間の変位Xは、測定されるべきオーバーレイOVとプログラムされたオフセット(この例では+dまたは−d)の組み合わせである。図6のステップ5における各格子に対し、+1次回折信号と−1次回折信号の強度を差し引くことにより、非対称性ΔIが計算される。これらの回折信号は、以下の式に示すように、係数Kによって変位Xに関連させることができる。
Figure 2017518533
ここで、OVおよびdはピッチPよりも非常に小さいと仮定されている。オーバーレイOVは、以下の式により示されるように、異なるオフセットに対する非対称性測定の組み合わせから計算される。
Figure 2017518533
上記の式は周知であり実際に適用されているが、性能は、例えば照射光の波長および変更を規定する、最良のメトロロジの「レシピ(recipe)」にも依存する。一般的に最良のレシピは実験によって決まる。本発明者が認識したところでは、原則からどのような非対称回折信号かを再検討することにより、後述のターゲット設計およびレシピ選択方法により、測定されたオーバーレイにおけるエラーの原因を明らかにすることができ、解決することができる。特に、測定品質を決定するために通常使用される基準が、場合によっては最も正確な測定を与えない可能性があることが示される。
図8は、入射放射線(中心ビーム800)が層L1,L2内の下部格子および上部格子と相互作用する様子を模式的に示す。各層は、例えば、上部格子により回折される+1次および−1次ビーム802−および802+並びに下部格子により回折されるビーム804−および804+を含む、それぞれの回折スペクトルを発生させる。これらの放射成分は、遠視野(ファーフィールド)で互いに干渉して回折信号を生じさせ、この信号は検査装置により測定される。この実施例によれば、上部格子で回折した放射802+は、振幅Aおよび位相αを有し、一方、回折スペクトルの反対部分に相当する上部格子で回折した放射802−は、振幅Aおよび位相−αを有する。下部格子で回折した放射804−および804+は両方とも、振幅Bおよび位相βにより規定される。この表現形式においては、位相αはX/Pに比例し、これは測定されるべき格子シフトである。パラメータA,Bおよびβは、格子、層の介在「スタック」、および適用される測定レシピの特性に完全に依存する。簡単に言えば、位相βは、放射が、下部格子自身と相互作用する前およびその後に、上部格子および任意の介在層を通過する必要性に起因する位相遅延を表す。
これら2つの放射成分間の干渉に関して検出強度を表す場合、以下の式のように書くことができる。
Figure 2017518533
図9は、一実施例のターゲットにおける、αに対する強度I+1およびI−1の計算値を示す。両方の信号がノンゼロ平均値付近を基準とした正弦波形状を有していることに注する。この実施例におけるβの値は、図示のように、シフトXに対して1.14ラジアンである。βの重要性については以下でさらに説明する。
図10は、実際の製品から測定された強度I+1およびI−1の測定値を示す。計算値とよく一致していることが分かる。図9および図10のグラフを見ると、信号は良好なコントラストを示している。すなわち、それらは変位Xへの強い依存を示しており、正確なオーバーレイ測定をもたらすことが期待される。残念なことに、このような良好なコントラストは、全ての実測定で明らかではない。このような信号I+1またはI−1に関するコントラスト値CTは、以下の式により定義することができる。
Figure 2017518533
maxおよびIminは、正弦波変化に関する関連強度信号の最大値および最小値である。CTは、ゼロから理論的最大値である1まで変動する。上部格子信号および下部格子信号間の干渉結果をモデリングした上記式においては、αが全サイクルにわたって変化するとき、cos(β+α)またはcos(β−α)の項は、+1から−1まで変化する。本発明者は、A=Bのときに、測定強度信号の良好なコントラストが得られることを見いだした。簡単に述べられているが、この依存関係は、従来技術においては必ずしも明確に認識されていなかった、またはターゲット設計を向上するのに適用されていなかった。これは、興味深い観測につながる。実際のターゲットにおいて、値Bは、通常、Aと比べて非常に小さい。これは、新しく作られたレジスト材料に形成され、他の材料により覆われていない上部格子が非常に強いAの値を与えるのに対して、下部格子の放射成分が介在物質層を二回通過しなければならないためである。本明細書に開示される方法は、上部格子が製品層に形成される場合には、「エッチング後(after-etch)」のオーバーレイメトロロジに適用することもできる。
本発明者は、A=Bのときにだけ最良のコントラスト(CT=1.0)を得ることができることを見いだした。イントロダクションおよび請求項の言葉では、すなわち、第1放射成分と第2放射成分の相対振幅RA=A÷Bが1である。信号の相対振幅が2(または1/2)の場合、CTは0.8に減少する。RAが4の場合、CTは0.5直下であり、RAが10の場合、CTはわずか0.2である。
その結果として、観測される回折信号に可能な限り強力に貢献するよう各格子を作るのではなく、埋設された下部格子とより同等の貢献をするよう格子を作ることが目標であるという、オーバーレイメトロロジターゲット用の設計方法が提案される。これは、以下に説明するように、格子の一つ(通常、上部格子)を著しく「弱体化(weakening)」することを含んでよい。
相対振幅RAによりとても強く影響される各強度信号のコントラストに加えて、上記の式は、これから説明するように、相対位相βの強い影響力を明らかにする。本明細書に開示されたターゲット設計方法(より正確には、ターゲットおよびレシピ設計方法)は、βの値を最適化しようとする。
図11は、シフトXに対する強度信号I+1とI−1との間の位相関係を示しており(都合のよいように位相αに関して表されている)、それらの相対位相の固定成分βが半周器にわたって変化したときのものである。良好な品質測定のために、Xが変化したときに、強度は異なった挙動を示さなければならない。しかしながら、グラフが示すように、βがπ/2付近のときに異なった挙動が存在しているが、βがゼロ付近の場合には完全に欠けている。従って、たとえ各信号のコントラストCTが非常に高くても、オーバーレイ測定が依存する異なった挙動も、相対位相βに非常に依存する。本発明者は、βの値のために、ある製造の場合におけるメトロロジの問題を認識した。
このβと測定品質の関係は、分析的にも導き出すことができる。上記から、観測された強度値の非対称性ΔIからのオーバーレイ測定OVの計算は、係数Kに依存することを思い出されたい。以下の式は、上記で定義されたパラメータA,Bおよびβに関して、Kの値を導き出す。式を簡略化するために、X<<Pと仮定されており、その結果、sin(α)を2πX/Pで近似することができる。
Figure 2017518533
図12は、導き出された式により計算された、βに対するKの値をプロットしたものである。βがゼロまたはπ付近のとき、Kはゼロに近くβに非常に敏感であることを観察されたい。我々は、これから、オーバーレイの測定は、低感度であり、プロセス変動に高く依存していることを予測できる。βがπ/2または3π/2に近いとき、他方では、Kは、プロセス変動に起因するβの小さな変動に対して、高く且つ比較的安定している。
今、相対位相βは、2つの項:第2放射成分に対する有効光路差と測定に用いられる放射の波長λ、の関数である。有効光路差は、製品設計およびプロセス要求によって決まり、通常はターゲット設計プロセスの一部として影響されない。波長項λは、設計プロセスの一部として選択可能であり、メトロロジレシピに定められているパラメータである。結果として、開示される設計方法は、βを可能な限りπ/2または3π/2に近づけようとする。プロセス変動はβが完全には制御可能でないことを意味しているが、βがこれらの理想値の一つを有するように設計することは、オーバーレイ測定の品質がプロセス変動に対してより耐性があることを意味している。
実際の設計上の問題では、ターゲット設計および/またはメトロロジレシピ設計の両方は、いくつかの可変パラメータを有してよい。最適設計解は、設計されるものと実際の状況で達成可能なものとの間で妥協を図ることである。簡単な例として、既存のメトロロジ装置は、無限に調整可能な波長を有しておらず、いくつかの波長を選択できるだけである。偏光の選択は影響力が大きい可能性がある。最適解の探索を単純化するために、一つ以上の品質測定をメトロロジレシピおよびターゲット設計の組合せの候補の成功を評価するために規定してもよい。特に、実験用ターゲットに適用できる品質の測定は有益である。
図13は、コントラスト基準(1に近い相対振幅RA)と相対位相基準(π/2または3π/2に近い相対位相β)の両方を包含する信号品質指標Sの導出を図解する。スタック感度指標とも称されるこの指標は、実際のターゲットの実験的な測定、及び/又はシミュレーション測定に用いることができる。グラフでは、水平軸は、周期Pの比としてシフトXを表している。強度信号I+1およびI−1がプロットされている(OV=0の理想的な場合)。曲線の実線部分は、典型的なバイアス格子対により覆われる範囲を表す。一例として、各層の格子ピッチはP=700nmであってよく、バイアス±20nmが与えられてもよい。平均強度Iおよび強度変化ΔIを(実際のサンプルからまたはシミュレーションで)測定することができる。実際上は、2つのバイアス値+dおよび−d間の強度信号の一つの差またはバイアス値の一つに対する2つの強度信号間の差としてΔIを定義することができる。後者のΔIの定義はグラフに図示されたものであるが、不明なオーバーレイの影響が相殺されるので、前者の定義は実験で用いるのに便利である。平均強度Iは単純に(I+1+I−1)/2である。ΔI/Iとして信号品質指標Sを定義すると、以下のように記載することができる。
Figure 2017518533
強度に関して上記のように導出された式をパラメータA,B,αおよびβに関する式に書き換えると、以下のようになる。
Figure 2017518533
この信号測定Sは、一つの測定にRAとβの両方の影響を組み込んでいることに注意する。最大信号品質(最大オーバーレイ測定精度)は、値S=0.5により表されるが、もちろん任意のスケールを採用することができる。ガイドラインとして、適切な信号品質のテストとして、例えばS>2を選択してよい。図13のグラフは、可能性のある実験的な検証方法も示している。我々は、β=π/2または3π/2が達成されたかを実験的に検証できることについても言及する。各信号I+1およびI−1の強度は、α=0とα=πとで同じになるべきである。実験的なターゲットが「ライン・オーバー・ライン」および「ライン・オーバー・トレンチ」の両方で提供される場合、この同じであることを直接的にテストすることができる。
実用的な設計方法に関し、第1の要件は、オーバーレイメトロロジターゲットを形成する周期的構造の一つまたは両方の強度を調整できることである。通常は、下部格子の寄与が既に弱い場合、上部格子が調整されるべき格子となる。
図14(a)は、上部格子900が周期P内に第1フィーチャ902のみを有するオーバーレイメトロロジターゲットの一例の断面図を示す。これは、50%のマークスペース比を有する従来の格子である。図14(b)は、上部格子が周期P内に第1フィーチャ902および第2フィーチャ904を有するよう修正された修正ターゲットを示す。第2フィーチャは、上部格子の周期性内に効果的に高調波を導入する。この修正により、放射は、検出装置により検出される回折スペクトルの一部の外側で、回折スペクトルの高次内に向けられる。
第1フィーチャと第2フィーチャの相対寸法は、設計のパラメータとして調整することができる。パラメータΔCDは、実施例の実行中に定義される。ΔCD=0で、第2フィーチャおよび第2フィーチャは同じサイズである。ΔCDが増加するとき、第1フィーチャのみが残るまで(図14(a)の状態)、第1フィーチャは大きくなるが、第2フィーチャの寸法は小さくなる。図14(b)の状態は、ΔCDの中間値により達成される。上部格子を弱める他の形態の修正も勿論可能である。一例は、周期方向に直交する方向の格子ラインをサブセグメント化することである。例えばX方向格子において、各ラインをY方向に走るセグメント化されたラインのセットとして形成することができる。
図14(c)および図14(d)は、オーバーレイ測定用の信号品質へのこの設計の修正の影響を図解する。再度、例示のために、ターゲットはP=700nmおよびd=20nmで設計されている。この図解においては、β=π/2または3π/2が達成されていることが仮定されている。グラフ(c)と(d)は縦軸が異なることを注意する。グラフ(c)は、従来のターゲット(a)に対応しており、(b)の修正された設計に対応するグラフに対応するグラフ(d)よりも非常に大きい信号強度を示している。しかしながら、これはより優れた測定を示しているわけではない。従来のターゲットにおいては、コントラストCTはわずか0.55であり、信号品質指標Sは0.23にすぎないことを示すことができる。それに対して、修正された設計(b)においては、グラフ(d)は、0.92のコントラストCTおよび0.35の信号品質指標Sを示している。これらの値は、従来のターゲットよりも非常に優れている。
ターゲットおよびメトロロジレシピのための設計方法の例示
図15は、上記で特定された原理を実施する設計方法の一例を示す。この例では、下部格子およびスタックは設計により変更できないことが前提とされている。上部格子はレジスト層に適用されており、ΔCD等の設計パラメータにより変更可能であることが前提とされている。また、メトロロジレシピは、設計により変更可能なパラメータを有する。このようなパラメータの主な例は波長および偏光であるが、実際の検査装置は、いくつかの追加的なパラメータの制御を提供してもよい。当業者であれば、容易に他の方法を予想でき、本発明はこの特定の実施例に限定されない。以下のようなステップが定義される。
メトロロジターゲットの数学的モデルにおいて、上部および下部格子&スタック上に強度を調整可能なレジスト格子を置く。
全ての利用可能な測定レシピに対してβを計算する(より詳細は図16を参照)。
π/2または3π/2に近いβを有するレシピを選択する。
各選択されたレシピに対して:観測される回折次数の「コントラスト」が最大となるまでレジスト格子の強度を調整する。
処理された下部格子に摂動(perturbation)(例えば下部格子非対称BGA(bottom grating asymmetry))を与え、全ての選択されたレシピに対して誘発されたOVエラーを計算する。
最小のOVエラーを有し、且つセンサにより検出されるのに十分な信号を有するレシピを選択する。
摂動の適用は、選択された設計が理想条件下だけでなく一連の実際の条件下で確実に高い測定品質を可能とするのに効果的である。上記のステップは、オーバーレイターゲットが望まれる製品格子の全てに対して繰り返すことができる。既に述べたように、図15および図16の特定の方法のステップは、本明細書に開示された原理から逸脱することなく変更することができる。例えば、説明される方法のステップは暗に信号品質指標Sの良好な値をもたらすが、別の方法も暗にSを計算し、設計およびレシピの候補を評価する基準としてこれを用いてよい。
図16は、βを計算するステップを実行するより詳細な一つの方法を示す。再度、これは説明に役立つ一例にすぎない。(数学的モデルを用いて計算により実行される)ステップを以下に示す。
X=−P/2でレジスト格子を置き、回折スペクトルの観測部分(例えば1次)の第1の回折効率DEを計算する。
X=−P/4でレジスト格子を置き、第2の回折効率DEを計算する。
X=0でレジスト格子を置き、第3の回折効率DEを計算する。
X=+P/4でレジスト格子を置き、第4の回折効率DEを計算する。
計算された回折効率DE〜DEから相対位相βを計算する。
4つの回折効率から相対位相βを計算するための式を以下に示す。
Figure 2017518533
上記の設計方法で利用される品質指標と、メトロロジターゲットおよびレシピの設計に現在適用される品質測定との差を示す。再度、例示のために、ターゲットはP=700nmおよびd=20nmで設計されている。グラフは、上部格子の強度パラメータΔCDに対する3つの品質パラメータのプロットを示す。格子強度は、左側の最小値から右側の最大強度まで変化する(この例において、最大強度はΔCD=100nmで表される)。ターゲットはパーフェクト(摂動無し)であると仮定されており、βは約π/2である。
グラフにプロットされた品質指標は以下の通りである。
光子ショット雑音PN(任意単位)。
パーセンテージで表す全体のターゲットの回折効率DE(任意尺度)。ここで、DEは、メトロロジ方法で観測される1次内に回折する入射照明パワーの割合として定義される。
上記で定義されるように、コントラストCTは0〜1のスケールである。
従来技術では最初の指標がよく認識されており、特に光子ショット雑音は増大する品質指標としてよく使用される。PNを最小化することは、結果として生じる測定結果が一貫性があり且つランダムエラーの影響を受けにくいという利点をもたらす。この一貫性は、低い「全測定不確実性(total measurement uncertainty)」またはTMUとしてよく表現される。本発明者は、しかしながら、低TMUは測定の一貫性を示すが、常に良好な測定精度の優れた指標ではない、ということを認識している。従来の作業方法は、PNを最小化及び/又はDEを最大化するよう設定されたΔCDパラメータを備える設計を好むかもしれない。これは、ΔCD軸上の白丸により表されるΔCD値を選択することを意味している。コントラストCTを最大化することに基づく新しい設計方法は、黒丸により表される値で良好な結果を得ることができることを示唆している。光子ショット雑音の若干の増加はTMUを増大させるが、測定はより正確且つプロセスロバストである。
アプリケーションの例および結果
図18は、P=700nmのターゲットの例およびΔCDがコントラストを最大化するよう最適化された弱められた上部格子を示す。特定の下部格子非対称性BGA=1nmが、実際の製造プロセスで発生する可能性のある摂動の例として導入されている。メトロロジターゲットおよびメトロロジレシピの設計者の問題は、どのようにしてこのような摂動に対して比較的ロバストな正確なオーバーレイの測定結果を得るかである。どうして相対位相βの制御がこの目的を達成するための有効な技術であるかをこれから示す。βは、メトロロジで使用される放射の波長λの選択により効果的に制御することができることを思い起こしてほしい。
図19は、波長λの範囲に対してプロットされた、設計および測定方法の4つのパラメータのグラフ(a)〜(d)を示す。グラフ(e)および(f)は結果を示す。これらの測定の全ては、下部格子の非対称性が生じた図18のターゲットに基づいている。測定は、TEまたはTM偏光でなされ、これらは別々にプロットされている。レシピは、TEおよびTM放射の一方または両方を用いるよう設計できる。最初の4つのグラフを考慮すると、以下のパラメータが上記で検討され、ここでプロットされている。
(a)上記で定義されたような平均強度I=(I+1+I−1)/2を示す。(b)上記で定義されたような係数Kを示す。
(c)上記で定義されたようなスタック感度Sを示す。
(d)上記で定義されたような相対位相βを度で示す。
グラフは上記の説明でなされた所見を裏付けている。例えば:測定信号の高平均強度は高スタック感度Sのよい指標ではない(それどころか反対である);λの変動に比較的感度の低いKの高値は、βが90度(π/2ラジアン)の場合のλの値に対応する。
結果を見ると、グラフ(e)は測定の「再現」または再現性を示す。白点は、TMUを最小化することを狙った従来の設計プロセスが770nmを超えたくらいの波長でTE放射を使用することを示唆している。グラフ(f)はしかしながら、下部格子の非対称性の摂動に起因するオーバーレイ測定のエラーを示す。黒点は、最小のエラーをもたらすレシピがTM放射を用いたものであることを示す。さらに、760nm付近の波長を選択することにより、設計された動作点は、波長に対するオーバーレイエラーのグラフ中の非常に広い最小値の中間におくことができる。これは適切な波長の選択を容易にするだけでなく、検査装置が限定された波長だけしか利用できない場合、実際の製造状況でプロセス誘発された摂動の高い耐性度も示している。
瞳面における回折に基づくオーバーレイ
図20および図21を参照すると、新規な方法は、暗視野スキャトロメトリを備える小ターゲットだけでなく、大きなターゲットおよび瞳面イメージセンサ19を用いた角度分解スキャトロメトリにも適用できる。この例においては、対称な、13Qで図示されたセグメント化された照明プロファイルが用いられている。aおよびbと標記された、2つの直径方向に反対の四分円は、このアパーチャパターンにおいて明るく(透明)、他の2つの四分円は暗い(不透明)。このタイプのアパーチャは、米国特許出願公開第2010/0201963号から、スキャトロメトリ装置において知られている。図12の中心に見られるように、照明スポット31によってアンダーフィルされたターゲット格子732を使用している。図示されていないが、この構成732は、複合ターゲットの複合格子を形成する大きな一連の格子の一部である。図8の例との類推により、複合オーバーレイ格子732〜735並びに補助的な複合格子732’〜735’および732’〜735が存在してよい。
図4〜図6の例では、検出器23が基板Wの面に対応するイメージ面に用いられるのに対して、図12および図13の方法は、対物レンズの瞳面と共役な面に位置する検出器19を用いている。検出器19は、例えばCCDカメラセンサなどのイメージセンサであってよい。あるいは、イメージセンサに代えて、個別の点検出器が配置されてもよい。アパーチャプレート13Qにより提供される照明パターンは、図12の左側でaおよびbで標記される明るい四分円を有するが、センサ19により見られる回折パターンは右側に表されている。このパターンでは、aおよびbと標記されるゼロ次反射に加えて、a−1、a+1、b−1およびb+1と標記される目に見える1次回折信号が存在する。他の照明アパーチャの四分円は暗いので、より一般的には照明パターンが180°の回転対称性を有するので、回折次数a−1およびb+1は、「フリーに」それらが照明アパーチャの他の部分からのゼロ次または高次信号とオーバーラップしないことを意味する。セグメント化された照明パターンのこの特定を利用して、仮に従来の環状に対称な照明アパーチャが用いられたとした場合に結像可能な最小ピッチの半分のピッチを有する回折格子(オーバーレイターゲット)からクリアーな1次信号を得ることができる。スキャトロメトリ用に利用できるこの回折パターンおよび方法は、公知の出願である米国特許出願公開第2010/0201963号に記載されている。
図21は、ターゲット732等からの図20の回折スペクトル用いて分解能のミスマッチに対して補正されたオーバーレイ測定を得る方法のフローチャートである。ステップS11〜S15は、図6の方法のステップS1〜S6に密接に対応しており、詳細には説明しない。主な相違点は以下の通りである。図6の方法は、(例えば)センサ23で捕捉した第1および第2イメージに見られる格子イメージ42の強度を比較することにより、格子32に対する非対称信号を取得することを思い出されたい。対称的に図21の方法は、(例えば)瞳イメージセンサ19での同じ回折スペクトル内で抽出された+1および−1回折次数の強度を比較することにより、格子732に対する非対称信号を取得する。
本明細書に開示された技術により、オーバーレイ測定の優れた精度を達成するメトロロジターゲットおよびレシピの設計および使用が可能となる。本方法は、例えばプロセス変動に対して可能な限りロバストな測定を行い、現在の作業方法により解決することが困難な特殊なスタックの場合であってもそれらを行うために適用することができる。
上記の実施例で使用される特定のパラメータは定義される唯一のものではないことを理解されたい。メトロロジに使用されるリソグラフィ装置および検査装置の制限に応じて、付加的/または代替的なパラメータを実際の設計プロセスで使用することができる。検査装置は、照明モードおよび波長の選択が制限される既存のものであってもよい。例えばレーザポンププラズマ光源を有する将来の装置の場合、より広い波長の選択範囲を利用可能となる可能性があり、本明細書に開示された設計および方法はこの柔軟性を十分に利用することができる。上述したターゲット構造は、測定の目的で特に設計され、かつ形成されたメトロロジターゲットであるが、他の実施形態においては、基板上に形成されるデバイスの機能部分であるターゲットに関して特性を測定してもよい。多くのデバイスは規則的な格子状の構造を有する。本明細書で使用される「ターゲット格子」および「ターゲット構造」なる用語は、その構造が特に行われている測定のために設けられたものであることを必要とするものではない。
基板およびパターニングデバイス上に実現されるターゲットの物理的な格子構造に関連して、一実施形態は、関連するメトロロジレシピと一緒に、基板上にターゲットを設計および作成する方法を記載する1つ以上の一連の機械可読命令を含むコンピュータプログラムを含んでよい。このコンピュータプログラムは、例えば、設計プロセスのために採用される別のコンピュータシステムにおいて実行し得る。あるいは、設計プロセスは、図3の装置のユニットPUおよび/または図2の制御ユニットLACUにおいて実行し得る。また、そのようなコンピュータプログラムを記憶したデータ記憶媒体(例えば、半導体メモリ、磁気ディスク又は光ディスク)が設けられてもよい。
以上では光学リソグラフィとの関連で本発明の実施形態の使用に特に言及しているが、本発明は、インプリントリソグラフィなどの他の用途においても使用可能であり、状況が許せば、光学リソグラフィに限定されないことが理解される。インプリントリソグラフィでは、パターニングデバイスの微細構成によって、基板上に生成されるパターンが画定される。パターニングデバイスの微細構成を基板に供給されたレジストの層に押しつけ、その後に電磁放射、熱、圧力またはその組合せにより、レジストを硬化する。パターニングデバイスをレジストから離し、レジストを硬化した後にパターンを残す。
本明細書で使用する「放射」および「ビーム」という用語は、イオンビームあるいは電子ビームといったような粒子ビームのみならず、紫外線(UV)放射(例えば、365nm、355nm、248nm、193nm、157nmまたは126nmの波長を有する)および極端紫外線光(EUV)放射(例えば、5nm〜20nmの範囲の波長を有する)を含むあらゆるタイプの電磁放射を包含する。
「レンズ」という用語は、状況が許せば、屈折、反射、磁気、電磁気および静電気光学部品を含む様々なタイプの光学部品のいずれか、またはその組合せを指してもよい。
特定の実施形態についての上記説明は発明の一般的性質を完全に公開しており、したがって、当分野の能力に含まれる知識を適用することによって、過度の実験をすることなく、および本発明の一般概念から逸脱することなく、種々の応用に対してそのような特定の実施形態を直ちに修正しおよび/または適応させることができる。したがって、そのような適応および修正は、本明細書に提示された教示および助言に基づき、開示された実施形態の意義および等価物の範囲内であると意図されている。本明細書の表現または専門用語は例示による説明を目的としており限定のためではなく、本明細書の専門用語または表現は教示および助言を考慮して当業者によって解釈されるべきものであることを理解されたい。
本発明の広さおよび範囲は、上述した例示的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲およびそれらの等価物にしたがってのみ規定されるべきである。
「課題を解決する手段」および「要約書」の項ではなく「発明の詳細な説明」の項が請求項を解釈するのに使用されるように意図されていることを理解されたい。「課題を解決する手段」および「要約書」の欄は本発明者が考えた本発明の実施例の1つ以上を示すものであるが、すべてを説明するものではない。よって、本発明および請求項をいかなる形にも限定するものではない。
特定の機能および関係の実現を例証する機能的な構成要素の助けを用いて本発明を説明してきた。これらの機能的な構成要素の境界は、説明の便宜上、適宜定義されている。それらの特別な機能および関係が適切に実行される限り、別の境界も定義することができる。
特定の実施形態についての上記説明は発明の一般的性質を完全に公開しており、したがって、当分野の能力に含まれる知識を適用することによって、過度の実験をすることなく、および本発明の一般概念から逸脱することなく、種々の応用に対してそのような特定の実施形態を直ちに修正しおよび/または適応させることができる。したがって、そのような適応および修正は、本明細書に提示された教示および助言に基づき、開示された実施形態の意義および等価物の範囲内であると意図されている。本明細書の表現または専門用語は説明を目的としており限定のためではなく、本明細書の専門用語または表現は教示および助言を考慮して当業者によって解釈されるべきものであることを理解されたい。
本発明の広さおよび範囲は、上述した例示的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲およびそれらの等価物にしたがってのみ規定されるべきである。

Claims (19)

  1. リソグラフィプロセスによって形成されるメトロロジターゲットを設計する方法であって、各ターゲットを放射で照射して結果として生じる回折スペクトルの特定部分の非対称性を観測することにより、リソグラフィプロセスのオーバーレイ性能を測定できるように、各ターゲットが、基板の下層に形成される第1周期構造と、基板の上層の第1周期構造よりも上側に形成される第2周期構造とを備え、
    オーバーレイを測定するために使用される照明放射の特性を表す一つ以上のメトロロジレシピパラメータを定義することと、
    ターゲットの設計を表す一つ以上の設計パラメータを定義することと、
    (i)第2周期構造により回折したときの照明放射を表す第1放射成分と(ii)第2層を通り抜けて第1層に進んで第1層に戻った後に、第1周期構造により回折したときの照明放射を表す第2放射成分との間から、少なくとも一つの相対振幅および相対位相を計算することと、
    選択された値に従ったメトロロジターゲットがリソグラフィプロセスにより形成されて測定されるときにオーバーレイ測定の精度を最大にするように、計算された相対振幅および/または相対位相に基づいてメトロロジレシピパラメータおよび設計パラメータの値を選択することと、
    を備える方法。
  2. ターゲット設計パラメータは、第1および第2成分の振幅が同じオーダーとなるように選択される、請求項1に記載の方法。
  3. 第2放射成分の振幅は、第1放射成分の振幅の10倍未満、選択的に5倍未満または2倍未満である、請求項1または2に記載の方法。
  4. 第2周期構造は、各周期内に第1フィーチャおよび第2フィーチャを備え、選択するステップは、ある割合の照明放射を回折スペクトルの観測されない部分内に向けるように、第1および第2フィーチャの相対寸法を調整することを備える、請求項1から3のいずれかに記載の方法。
  5. 第1および第2放射成分は、第1周期構造により1次で回折した放射を実質的に表し、回折スペクトルの観測されない部分が第1よりも高次を含む、請求項1から4のいずれかに記載の方法。
  6. メトロロジレシピパラメータおよび設計パラメータの値は、第1および第2放射成分間の相対位相が、0またはπよりもπ/2または3π/2ラジアンに近くなるように選択される、請求項1から5のいずれかに記載の方法。
  7. 照明放射の波長は、メトロロジレシピパラメータの一つであり、第1および第2放射成分間の相対位相が所望の値を有するよう調整される、請求項6に記載の方法。
  8. 相対位相の所望の値はπ/2または3π/2ラジアンである、請求項1から7のいずれかに記載の方法。
  9. メトロロジレシピパラメータおよび設計パラメータのための値を選択するステップは、
    計算された相対振幅および/または相対位相に基づいて、少なくとも一つの設計の候補および複数のレシピの候補を特定することと、
    各レシピに対してシミュレーションされたオーバーレイ測定を計算すると同時に、シミュレーションされたプロセス変動をターゲットの数学的モデルに適用することと、
    シミュレーションされたオーバーレイ測定の測定エラーに基づいて設計およびレシピの最終的な組合せを選択することと、
    を備える、請求項1から8のいずれかに記載の方法。
  10. リソグラフィプロセスで用いるパターニングデバイスの対を形成することをさらに備え、パターニングデバイスは基板に連続的に与えられるときに製品フィーチャおよびメトロロジターゲットを形成するパターンを規定し、メトロロジターゲットは請求項1から9のいずれかに記載の方法により選択される設計パラメータ値に従って設計される周期構造を有する、請求項1から9のいずれかに記載の方法。
  11. リソグラフィプロセスによって形成される複数のメトロロジターゲットが設けられた基板であって、各ターゲットを放射で照射して結果として生じる回折スペクトルの特定部分の非対称性を観測することにより、第1および第2周期構造間のアライメントを測定できるように、各ターゲットは、少なくとも、基板の下層に形成される第1周期構造と、上層の第1周期構造よりも上側に形成される第2周期構造とを備え、第2周期構造は、回折スペクトルの一部に対して低減された回折効率を有するよう形成された修正格子であり、第2周期構造により回折する放射成分の振幅は、第2層および一つ以上の介在層の物質を通って進むことにより弱められた第1周期構造により回折する放射成分の振幅と同じオーダーとなるよう弱められる、基板。
  12. 第1および第2放射成分は、周期的構造により1次で回折した放射を実質的に表す、請求項11に記載の基板。
  13. 第2放射成分の振幅は、第1放射成分の振幅の10倍未満、選択的に5倍未満または2倍未満である、請求項11または12に記載の基板。
  14. 第2周期構造は、各周期内に第1フィーチャおよび第2フィーチャを備え、第2フィーチャは、ある割合の照明放射を回折スペクトルの他の部分内に向けるように設計される、請求項11から13のいずれかに記載の基板。
  15. 複数のターゲットは、少なくとも、異なる既知の第1第2周期構造間の位置オフセットを有する第1のターゲットの対を含む、請求項11から14のいずれかに記載の基板。
  16. リソグラフィプロセスに用いる一対のパターニングデバイスであって、パターニングデバイスが、連続的に基板に与えられるとき請求項11から15のいずれかに記載の基板を形成するパターンを規定する、一対のパターニングデバイス。
  17. リソグラフィプロセスの性能パラメータを測定する方法であって、
    (a)請求項1から9のいずれかに記載の方法によりメトロロジターゲットおよびメトロロジレシピを設計すること、
    (b)リソグラフィプロセスを実行して、ステップ(a)で作成された設計に従って基板上に複数のメトロロジターゲットを形成する構造を作ること、
    (c)レシピに従って検査装置を使用して、回折放射を観測することにより二つ以上のターゲットで非対称性を測定すること、および
    (d)ステップ(c)でなされた非対称性測定の結果を用いて、リソグラフィプロセスの性能パラメータとしてオーバーレイの測定を計算すること、
    を備える、方法。
  18. 請求項1から9のいずれかに記載の設計方法をプロセッサに実行させるための機械可読命令を備える、コンピュータプログラムプロダクト。
  19. リソグラフィプロセスを用いて一連の製品パターンが一連の基板に与えられるデバイス製造方法であって、請求項17に記載の方法を用いて少なくとも一つの基板上に、製品パターンの一部として又はそのそばに複数のメトロロジターゲットを形成および検査することと、計算された性能パラメータに従って、その後の基板のためにリソグラフィプロセスを制御することと、を含む方法。
JP2016571164A 2014-06-02 2014-08-01 メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法 Active JP6408610B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462006524P 2014-06-02 2014-06-02
US62/006,524 2014-06-02
PCT/EP2014/066616 WO2015185166A1 (en) 2014-06-02 2014-08-01 Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method

Publications (2)

Publication Number Publication Date
JP2017518533A true JP2017518533A (ja) 2017-07-06
JP6408610B2 JP6408610B2 (ja) 2018-10-17

Family

ID=51298739

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016571164A Active JP6408610B2 (ja) 2014-06-02 2014-08-01 メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法

Country Status (10)

Country Link
US (2) US10025199B2 (ja)
EP (1) EP3149544B1 (ja)
JP (1) JP6408610B2 (ja)
KR (1) KR101918251B1 (ja)
CN (1) CN106462076B (ja)
IL (1) IL248982B (ja)
NL (1) NL2013293A (ja)
SG (1) SG11201609566VA (ja)
TW (1) TWI558998B (ja)
WO (1) WO2015185166A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021501309A (ja) * 2017-10-16 2021-01-14 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定するメトロロジ装置、リソグラフィシステム、及び方法
WO2021016407A1 (en) * 2019-07-23 2021-01-28 Kla Corporation System and method for error reduction in metrology measurements
JP2021510210A (ja) * 2018-01-02 2021-04-15 ケーエルエー コーポレイション 回折に基づく重ね合わせ散乱計測
JP2021515416A (ja) * 2018-02-27 2021-06-17 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を算出するメトロロジ装置及び方法
JP2022504488A (ja) * 2018-10-08 2022-01-13 エーエスエムエル ネザーランズ ビー.ブイ. メトトロジ方法、パターニングデバイス、装置及びコンピュータプログラム
JP2022535149A (ja) * 2019-07-10 2022-08-04 ケーエルエー コーポレイション データ駆動型ミスレジストレーションパラメータ設定および測定システムおよび方法

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6408610B2 (ja) 2014-06-02 2018-10-17 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
WO2016124399A1 (en) 2015-02-06 2016-08-11 Asml Netherlands B.V. A method and apparatus for improving measurement accuracy
CN107430352B (zh) 2015-03-25 2020-01-21 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
US10545104B2 (en) * 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
KR102098034B1 (ko) * 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 제조 방법
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
KR102477933B1 (ko) * 2015-12-17 2022-12-15 에이에스엠엘 네델란즈 비.브이. 메트롤로지 장치의 조정 또는 측정 타겟의 특성에 기초한 측정
NL2017945A (en) * 2015-12-23 2017-06-28 Asml Netherlands Bv Lithographic apparatus and method for performing a measurement
JP6682263B2 (ja) * 2015-12-25 2020-04-15 キヤノン株式会社 検出装置、露光装置および物品の製造方法
US10684238B2 (en) * 2016-01-11 2020-06-16 Bruker Technologies Ltd. Method and apparatus for X-ray scatterometry
US10546790B2 (en) 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
JP6839720B2 (ja) 2016-04-22 2021-03-10 エーエスエムエル ネザーランズ ビー.ブイ. スタック差の決定及びスタック差を用いた補正
WO2017186491A1 (en) * 2016-04-28 2017-11-02 Asml Netherlands B.V. Hhg source, inspection apparatus and method for performing a measurement
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US10394132B2 (en) * 2016-05-17 2019-08-27 Asml Netherlands B.V. Metrology robustness based on through-wavelength similarity
KR102640173B1 (ko) 2016-06-14 2024-02-26 삼성전자주식회사 회절 기반 오버레이 마크 및 오버레이 계측방법
KR20190031542A (ko) 2016-07-21 2019-03-26 에이에스엠엘 네델란즈 비.브이. 타겟을 측정하는 방법, 기판, 메트롤로지 장치, 및 리소그래피 장치
CN113608418B (zh) 2016-09-30 2023-12-15 株式会社尼康 测量系统及基板处理系统、及元件制造方法
US10527952B2 (en) * 2016-10-25 2020-01-07 Kla-Tencor Corporation Fault discrimination and calibration of scatterometry overlay targets
US10504802B2 (en) 2016-11-09 2019-12-10 Kla-Tencor Corporation Target location in semiconductor manufacturing
EP3321738A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method of measuring a parameter of a device manufacturing process, metrology apparatus, substrate, target, device manufacturing system, and device manufacturing method
US10635004B2 (en) * 2016-11-10 2020-04-28 Asml Netherlands B.V. Correction using stack difference
EP3333633A1 (en) * 2016-12-09 2018-06-13 ASML Netherlands B.V. Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
US10983005B2 (en) * 2016-12-15 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Spectroscopic overlay metrology
EP3336605A1 (en) 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
US10656535B2 (en) * 2017-03-31 2020-05-19 Imec Vzw Metrology method for a semiconductor manufacturing process
US10222202B2 (en) * 2017-05-25 2019-03-05 Varian Semiconductor Equipment Associates, Inc. Three dimensional structure fabrication control using novel processing system
US10663633B2 (en) * 2017-06-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture design and methods thereof
WO2019010325A1 (en) * 2017-07-06 2019-01-10 Kla-Tencor Corporation ESTIMATION OF AMPLITUDE AND PHASE ASYMMETRY IN IMAGING TECHNOLOGY TO OBTAIN HIGH PRECISION IN RECOVERY METROLOGY
EP3454126A1 (en) * 2017-09-08 2019-03-13 ASML Netherlands B.V. Method for estimating overlay
WO2019083560A1 (en) * 2017-10-23 2019-05-02 Kla-Tencor Corporation REDUCTION OR ELIMINATION OF PATTERN PLACEMENT ERROR IN METROLOGY MEASUREMENTS
US10473460B2 (en) * 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US11067389B2 (en) * 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
US11181490B2 (en) 2018-07-05 2021-11-23 Bruker Technologies Ltd. Small-angle x-ray scatterometry
WO2020007558A1 (en) * 2018-07-06 2020-01-09 Asml Netherlands B.V. Position sensor
CN112543892A (zh) * 2018-07-26 2021-03-23 Asml荷兰有限公司 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
NL2021852A (en) * 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
KR102120551B1 (ko) * 2018-09-14 2020-06-09 (주)오로스 테크놀로지 오버레이 측정장치
US11294293B2 (en) * 2018-09-19 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay marks for reducing effect of bottom layer asymmetry
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
WO2020117361A1 (en) * 2018-12-03 2020-06-11 Kla Corporation Single cell in-die metrology targets and measurement methods
US20220350268A1 (en) * 2019-10-14 2022-11-03 Asml Holding N.V. Metrology mark structure and method of determining metrology mark structure
EP3839632A1 (en) * 2019-12-20 2021-06-23 ASML Netherlands B.V. Method for determining a measurement recipe and associated apparatuses
WO2021175527A1 (en) 2020-03-03 2021-09-10 Asml Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3882701A1 (en) 2020-03-19 2021-09-22 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
US11487929B2 (en) 2020-04-28 2022-11-01 Kla Corporation Target design process for overlay targets intended for multi-signal measurements
CN111600198B (zh) * 2020-05-26 2021-05-04 陕西源杰半导体科技股份有限公司 一种通讯用超大功率激光器及其制备方法
WO2022040226A1 (en) * 2020-08-17 2022-02-24 Tokyo Electron Limited Coaxial see-through inspection system
CN115616862A (zh) * 2021-07-15 2023-01-17 长鑫存储技术有限公司 量测标记、半导体结构、量测方法、设备以及存储介质
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP2006157023A (ja) * 2004-11-30 2006-06-15 Accent Optical Technologies Inc オーバレイマークを設計する方法
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
JP2014512101A (ja) * 2011-04-06 2014-05-19 ケーエルエー−テンカー コーポレイション 向上したプロセス制御のための品質測定値を提供するための方法およびシステム

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI282909B (en) * 1999-12-23 2007-06-21 Asml Netherlands Bv Lithographic apparatus and a method for manufacturing a device
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7009704B1 (en) * 2000-10-26 2006-03-07 Kla-Tencor Technologies Corporation Overlay error detection
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
DE60314484T2 (de) * 2002-11-01 2008-02-21 Asml Netherlands B.V. Untersuchungsverfahren und Verfahren zur Herstellung einer Vorrichtung
JP4074867B2 (ja) * 2003-11-04 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 第1及び第2位置合せマークの相対位置を計測する方法及び装置
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US8214771B2 (en) * 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
CN101526750B (zh) * 2009-01-13 2011-06-29 上海微电子装备有限公司 用于光刻设备的对准系统及应用其的光刻设备
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
NL2009001A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and patterning devices for measuring phase aberration.
EP2579100A3 (en) * 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
KR101759608B1 (ko) 2012-05-29 2017-07-20 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 기판, 리소그래피 시스템 및 디바이스 제조 방법
US8913237B2 (en) 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
KR102015934B1 (ko) * 2012-07-05 2019-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피를 위한 계측법
NL2011477A (en) * 2012-10-10 2014-04-14 Asml Netherlands Bv Mark position measuring apparatus and method, lithographic apparatus and device manufacturing method.
WO2014074873A1 (en) 2012-11-09 2014-05-15 Kla-Tencor Corporation Reducing algorithmic inaccuracy in scatterometry overlay metrology
CN105143986B (zh) 2013-03-20 2017-04-26 Asml荷兰有限公司 用于测量微结构的非对称性的方法和设备、位置测量方法、位置测量设备、光刻设备和器件制造方法
JP6408610B2 (ja) 2014-06-02 2018-10-17 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP2006157023A (ja) * 2004-11-30 2006-06-15 Accent Optical Technologies Inc オーバレイマークを設計する方法
JP2014512101A (ja) * 2011-04-06 2014-05-19 ケーエルエー−テンカー コーポレイション 向上したプロセス制御のための品質測定値を提供するための方法およびシステム
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021501309A (ja) * 2017-10-16 2021-01-14 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定するメトロロジ装置、リソグラフィシステム、及び方法
JP2021510210A (ja) * 2018-01-02 2021-04-15 ケーエルエー コーポレイション 回折に基づく重ね合わせ散乱計測
JP7101786B2 (ja) 2018-01-02 2022-07-15 ケーエルエー コーポレイション 回折に基づく重ね合わせ散乱計測
JP2021515416A (ja) * 2018-02-27 2021-06-17 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を算出するメトロロジ装置及び方法
JP7227988B2 (ja) 2018-02-27 2023-02-22 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を算出するメトロロジ装置及び方法
JP2022504488A (ja) * 2018-10-08 2022-01-13 エーエスエムエル ネザーランズ ビー.ブイ. メトトロジ方法、パターニングデバイス、装置及びコンピュータプログラム
US11385553B2 (en) 2018-10-08 2022-07-12 Asml Netherlands B.V. Metrology method, patterning device, apparatus and computer program
JP7179979B2 (ja) 2018-10-08 2022-11-29 エーエスエムエル ネザーランズ ビー.ブイ. メトトロジ方法、パターニングデバイス、装置及びコンピュータプログラム
JP2022535149A (ja) * 2019-07-10 2022-08-04 ケーエルエー コーポレイション データ駆動型ミスレジストレーションパラメータ設定および測定システムおよび方法
JP7258210B2 (ja) 2019-07-10 2023-04-14 ケーエルエー コーポレイション データ駆動型ミスレジストレーションパラメータ設定および測定システムおよび方法
WO2021016407A1 (en) * 2019-07-23 2021-01-28 Kla Corporation System and method for error reduction in metrology measurements
US11353799B1 (en) 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements

Also Published As

Publication number Publication date
KR20170015453A (ko) 2017-02-08
SG11201609566VA (en) 2016-12-29
EP3149544A1 (en) 2017-04-05
US20180329305A1 (en) 2018-11-15
CN106462076B (zh) 2018-06-22
KR101918251B1 (ko) 2018-11-13
TWI558998B (zh) 2016-11-21
IL248982A0 (en) 2017-01-31
TW201546444A (zh) 2015-12-16
US10025199B2 (en) 2018-07-17
NL2013293A (en) 2016-03-31
JP6408610B2 (ja) 2018-10-17
WO2015185166A1 (en) 2015-12-10
US20150346605A1 (en) 2015-12-03
US10809628B2 (en) 2020-10-20
CN106462076A (zh) 2017-02-22
IL248982B (en) 2020-10-29
EP3149544B1 (en) 2018-10-10

Similar Documents

Publication Publication Date Title
JP6408610B2 (ja) メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法
JP6577086B2 (ja) メトロロジ方法および装置、リソグラフィシステムならびにデバイス製造方法
TWI632434B (zh) 計量方法、目標及基板
JP6077647B2 (ja) メトロロジー方法及び装置、基板、リソグラフィシステム並びにデバイス製造方法
TWI597583B (zh) 判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法
TWI554847B (zh) 檢測裝置及方法、具有度量衡目標之基板、微影系統及元件製造方法
JP6510658B2 (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
JP6045588B2 (ja) メトロロジ方法及び装置並びにデバイス製造方法
JP6251386B2 (ja) クリティカルディメンション関連特性を決定する方法、検査装置およびデバイス製造方法
US10162272B2 (en) Metrology method and apparatus, substrates for use in such methods, lithographic system and device manufacturing method
TW201721093A (zh) 度量衡方法及裝置、電腦程式及微影系統
JP6393397B2 (ja) リソグラフィ装置の照射線量決定方法、検査装置およびデバイス製造方法
TW201921181A (zh) 用於判定圖案化製程參數的方法
EP3454123A1 (en) Metrology method and apparatus
CN115552221A (zh) 包括目标布置的衬底和相关联的至少一个图案形成装置、光刻方法和量测方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180501

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180815

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180828

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180920

R150 Certificate of patent or registration of utility model

Ref document number: 6408610

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250