JP2016072596A - Substrate processing apparatus, semiconductor device manufacturing method and program - Google Patents

Substrate processing apparatus, semiconductor device manufacturing method and program Download PDF

Info

Publication number
JP2016072596A
JP2016072596A JP2015032843A JP2015032843A JP2016072596A JP 2016072596 A JP2016072596 A JP 2016072596A JP 2015032843 A JP2015032843 A JP 2015032843A JP 2015032843 A JP2015032843 A JP 2015032843A JP 2016072596 A JP2016072596 A JP 2016072596A
Authority
JP
Japan
Prior art keywords
substrate
temperature
film
hard mask
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015032843A
Other languages
Japanese (ja)
Other versions
JP6046757B2 (en
Inventor
敦彦 須田
Atsuhiko Suda
敦彦 須田
島本 聡
Satoshi Shimamoto
聡 島本
大橋 直史
Tadashi Ohashi
直史 大橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to CN201510111989.8A priority Critical patent/CN106033735B/en
Priority to TW104108310A priority patent/TWI574311B/en
Priority to KR1020150041268A priority patent/KR101664153B1/en
Priority to US14/669,764 priority patent/US9355866B2/en
Publication of JP2016072596A publication Critical patent/JP2016072596A/en
Application granted granted Critical
Publication of JP6046757B2 publication Critical patent/JP6046757B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE: To provide a constitution capable of inhibiting variation in characteristics of transistors.SOLUTION: A substrate processing apparatus comprises: a processing chamber; a gas supply part for supplying a hard mask formation gas to the processing chamber; a substrate placement part where an n-th lot substrate Wn with an etched film being formed; a heating part included in the substrate placement part; and a control part for controlling a temperature distribution of the heating part based on etching information of an m-th lot substrate Wm processed previous to the n-th lot.SELECTED DRAWING: Figure 2

Description

本発明は、基板処理装置、半導体装置の製造方法、プログラムに関する。   The present invention relates to a substrate processing apparatus, a semiconductor device manufacturing method, and a program.

近年、半導体装置は高集積化の傾向にある。それに伴い、半導体装置に採用されるトランジスタのパターンサイズが著しく微細化されている。例えばトランジスタのゲート電極を形成する際に実施する。ゲート電極の幅は、45nm世代トランジスタの場合、例えば40nm未満が求められている。これらのパターンは、ハードマスクやレジストの形成工程、リソグラフィ工程、エッチング工程等で形成している。 In recent years, semiconductor devices tend to be highly integrated. Along with this, the pattern size of transistors employed in semiconductor devices has been remarkably miniaturized. For example, this is performed when a gate electrode of a transistor is formed. In the case of a 45 nm generation transistor, the width of the gate electrode is required to be, for example, less than 40 nm. These patterns are formed by a hard mask or resist formation process, a lithography process, an etching process, or the like.

ところでトランジスタを複数採用する半導体装置の場合、性能のばらつきを抑えるため各トランジスタの性能を均一にすることが求められている。性能を均一にするためには、トランジスタの特性を左右するチャネル長さに相当するゲート電極幅を均一にすることが望ましい。ゲート電極幅のばらつきの許容値は、例えばゲート電極幅の約10%程度と言われている。   By the way, in the case of a semiconductor device employing a plurality of transistors, it is required to make the performance of each transistor uniform in order to suppress variation in performance. In order to make the performance uniform, it is desirable to make the gate electrode width corresponding to the channel length that influences the characteristics of the transistor uniform. The allowable value of the variation in the gate electrode width is said to be about 10% of the gate electrode width, for example.

そこで本発明は、トランジスタの特性のばらつきを抑制することが可能な構成を提供することを目的とする。   In view of the above, an object of the present invention is to provide a configuration capable of suppressing variations in characteristics of transistors.

本発明の一態様によれば、処理室と、前記処理室にハードマスク形成ガスを供給するガス供給部と、被エッチング膜が形成された第nロットの基板Wnが載置される基板載置部と、前記基板載置部に内包された加熱部と、前記第nロットより前に処理された第mロットの基板Wmのエッチング情報に基づいて前記加熱部の温度分布を制御する制御部とを有する構成が提供される。     According to one aspect of the present invention, a substrate mounting on which a processing chamber, a gas supply unit that supplies a hard mask forming gas to the processing chamber, and an nth lot of substrates Wn on which an etching target film is formed are mounted. A heating unit included in the substrate mounting unit, and a control unit that controls temperature distribution of the heating unit based on etching information of the substrate Wm of the m-th lot processed before the n-th lot. A configuration is provided.

本発明によれば、ゲート電極幅のばらつきを抑制することが可能な構成を提供することができる。   According to the present invention, it is possible to provide a configuration capable of suppressing variations in gate electrode width.

本発明の一実施形態に係るゲート電極の形成方法を説明する説明図である。It is explanatory drawing explaining the formation method of the gate electrode which concerns on one Embodiment of this invention. 本発明の一実施形態に係る枚葉式の成膜装置の概略構成図である。It is a schematic block diagram of the single-wafer | sheet-fed film-forming apparatus which concerns on one Embodiment of this invention. 本発明の一実施形態に係る枚葉式の成膜装置のシャワーヘッドを説明する説明図である。It is explanatory drawing explaining the shower head of the single-wafer | sheet-fed film-forming apparatus which concerns on one Embodiment of this invention. 本発明の一実施形態に係る成膜工程を説明するフロー図である。It is a flowchart explaining the film-forming process which concerns on one Embodiment of this invention. 本発明の一実施形態に係るエッチング装置を説明する説明図である。It is explanatory drawing explaining the etching apparatus which concerns on one Embodiment of this invention. エッチング工程を実施した基板を説明する説明図である。It is explanatory drawing explaining the board | substrate which performed the etching process. エッチング工程を実施した基板を説明する説明図である。It is explanatory drawing explaining the board | substrate which performed the etching process.

<本発明の第一実施形態>
以下に、本発明の一実施形態の半導体製造方法(基板処理方法とも呼ぶ)について、図面を参照しながら説明する。
本実施形態では、処理対象となる基板としては、例えば、半導体装置(半導体デバイス)が作り込まれる半導体ウエハ基板(以下、単に「ウエハ」という。)が挙げられる。
<First embodiment of the present invention>
Hereinafter, a semiconductor manufacturing method (also referred to as a substrate processing method) according to an embodiment of the present invention will be described with reference to the drawings.
In the present embodiment, the substrate to be processed includes, for example, a semiconductor wafer substrate (hereinafter simply referred to as “wafer”) on which a semiconductor device (semiconductor device) is fabricated.

(1)ゲート電極形成工程
本実施形態におけるゲート電極形成工程を、図1を用いて説明する。
(1) Gate electrode formation process The gate electrode formation process in this embodiment is demonstrated using FIG.

(ハードマスク膜形成工程)
図1(A)(B)を用いて説明する。図1(A)に記載のウエハ200上に形成されたPoly−Si膜101上に、後述する成膜装置を用いた成膜工程により、図(B)に記載のようにハードマスク膜としてのシリコン窒化膜(SiN膜)102を形成する。Poly−Si膜101は後にゲート電極となる層であり、被エッチング膜とも呼ぶ。ハードマスク膜102は反射防止膜としての役割も有する。
(Hard mask film formation process)
This will be described with reference to FIGS. On the Poly-Si film 101 formed on the wafer 200 shown in FIG. 1A, a hard mask film is formed as shown in FIG. A silicon nitride film (SiN film) 102 is formed. The Poly-Si film 101 is a layer that will later become a gate electrode, and is also called a film to be etched. The hard mask film 102 also has a role as an antireflection film.

ここで、ハードマスク層を形成する理由を説明する。近年の微細化に伴い、後述するレジスト形成工程ではレジストの薄膜化が求められている。然しながら、薄膜化に伴ってレジストのエッチング耐性が劣化するため、エッチング工程中にレジストが消失してしまうことがある。そこで、レジストの下部に位置する反射防止膜等に、エッチング耐性の高いハードマスクを用いる手法がとられている。   Here, the reason for forming the hard mask layer will be described. With the recent miniaturization, there is a demand for thinning the resist in the resist forming process described later. However, since the etching resistance of the resist deteriorates as the film becomes thinner, the resist may disappear during the etching process. Therefore, a technique of using a hard mask having high etching resistance for an antireflection film or the like located under the resist is taken.

(レジスト膜形成工程)
図1(C)を用いて説明する。ハードマスク膜102上に、レジスト塗布装置を用いてレジスト膜103を形成する。
(Resist film formation process)
This will be described with reference to FIG. A resist film 103 is formed on the hard mask film 102 using a resist coating apparatus.

(露光工程)
図1(D)を用いて説明する。レジスト膜103上にエッチングマスク103を形成した後、露光装置にて所望のパターンを露光する。
(Exposure process)
This will be described with reference to FIG. After the etching mask 103 is formed on the resist film 103, a desired pattern is exposed by an exposure apparatus.

(エッチング工程)
図1(E)を用いて説明する。露光工程の後、後述するエッチング装置を用いてプラズマによるドライエッチング処理を行いPoly−Si膜101に溝を形成する。溝には、後の工程において、例えばシリコン酸化膜等で構成される絶縁膜が埋め込まれる。
(Etching process)
This will be described with reference to FIG. After the exposure step, a dry etching process using plasma is performed using an etching apparatus described later to form grooves in the Poly-Si film 101. In the subsequent process, an insulating film made of, for example, a silicon oxide film is embedded in the trench.

(レジスト膜・ハードマスク膜除去工程)
図1(F)を用いて説明する。エッチング工程の後、Poly−Si膜101の上方にあるレジスト膜103、ハードマスク膜102を除去する。
(Resist film / hard mask film removal process)
This will be described with reference to FIG. After the etching process, the resist film 103 and the hard mask film 102 above the Poly-Si film 101 are removed.

以上のようにしてウエハ200上に複数のPoly−Si膜101を形成する。   A plurality of Poly-Si films 101 are formed on the wafer 200 as described above.

(2)基板処理装置(成膜装置)の構成
続いて、ハードマスク膜を形成する基板処理装置2000を説明する。基板処理装置は、成膜装置とも呼ぶ。
本実施形態に係る基板処理装置は、処理対象となる基板に対して一枚ずつ処理を行う枚葉式の基板処理装置として構成されている。
(2) Configuration of Substrate Processing Apparatus (Film Forming Apparatus) Next, the substrate processing apparatus 2000 that forms a hard mask film will be described. The substrate processing apparatus is also called a film forming apparatus.
The substrate processing apparatus according to the present embodiment is configured as a single-wafer type substrate processing apparatus that processes a substrate to be processed one by one.

以下、本実施形態に係る基板処理装置の構成について、図2を参照しながら説明する。図2は、本実施形態に係る枚葉式の基板処理装置の概略構成図である。   Hereinafter, the configuration of the substrate processing apparatus according to the present embodiment will be described with reference to FIG. FIG. 2 is a schematic configuration diagram of a single-wafer type substrate processing apparatus according to the present embodiment.

(処理容器)
図2に示すように、基板処理装置2000は処理容器202を備えている。処理容器202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、処理容器202は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料により構成されている。処理容器202内には、基板としてのシリコンウエハ等のウエハ200を処理する処理空間201と、ウエハ200を処理空間201に搬送する際にウエハ200が通過する搬送空間203とが形成されている。処理容器202は、上部容器202aと下部容器202bで構成される。上部容器202aと下部容器202bの間には仕切り板204が設けられる。
(Processing container)
As shown in FIG. 2, the substrate processing apparatus 2000 includes a processing container 202. The processing container 202 is configured as a flat sealed container having a circular cross section, for example. Moreover, the processing container 202 is comprised, for example with metal materials, such as aluminum (Al) and stainless steel (SUS). In the processing container 202, a processing space 201 for processing a wafer 200 such as a silicon wafer as a substrate and a transfer space 203 through which the wafer 200 passes when the wafer 200 is transferred to the processing space 201 are formed. The processing container 202 includes an upper container 202a and a lower container 202b. A partition plate 204 is provided between the upper container 202a and the lower container 202b.

上部容器202aの内部の外周端縁近傍には、排気バッファ室209が設けられている。   An exhaust buffer chamber 209 is provided in the vicinity of the outer peripheral edge inside the upper container 202a.

下部容器202bの側面には、ゲートバルブ205に隣接した基板搬入出口206が設けられており、ウエハ200は基板搬入出口206を介して図示しない搬送室との間を移動する。下部容器202bの底部には、リフトピン207が複数設けられている。   A substrate loading / unloading port 206 adjacent to the gate valve 205 is provided on the side surface of the lower container 202b, and the wafer 200 moves between a transfer chamber (not shown) via the substrate loading / unloading port 206. A plurality of lift pins 207 are provided at the bottom of the lower container 202b.

(基板載置部)
処理空間201内には、ウエハ200を支持する基板載置部210が設けられている。基板載置部210は、ウエハ200を載置する基板載置面211と、基板載置面211を表面に持つ基板載置台212と、基板載置台212に内包された加熱源としてのヒータ213と、を主に有する。基板載置台212には、リフトピン207が貫通する貫通孔214が、リフトピン207と対応する位置にそれぞれ設けられている。
(Substrate placement part)
In the processing space 201, a substrate platform 210 that supports the wafer 200 is provided. The substrate platform 210 includes a substrate platform 211 on which the wafer 200 is placed, a substrate platform 212 having the substrate platform 211 on its surface, and a heater 213 as a heating source contained in the substrate platform 212. , Mainly. The substrate mounting table 212 is provided with through holes 214 through which the lift pins 207 pass, respectively, at positions corresponding to the lift pins 207.

基板載置台212は、シャフト217によって支持される。シャフト217は、処理容器202の底部を貫通しており、更には処理容器202の外部で昇降機構218に接続されている。昇降機構218を作動させてシャフト217及び基板載置台212を昇降させることにより、基板載置面211上に載置されるウエハ200を昇降させることが可能となっている。なお、シャフト217の下端部の周囲はベローズ219により覆われており、処理容器202内は気密に保持されている。   The substrate mounting table 212 is supported by the shaft 217. The shaft 217 passes through the bottom of the processing container 202, and is further connected to the lifting mechanism 218 outside the processing container 202. By operating the elevating mechanism 218 to elevate and lower the shaft 217 and the substrate mounting table 212, the wafer 200 placed on the substrate placing surface 211 can be raised and lowered. In addition, the periphery of the lower end portion of the shaft 217 is covered with a bellows 219, and the inside of the processing container 202 is kept airtight.

基板載置台212は、ウエハ200の搬送時には、基板載置面211が基板搬入出口206に対向する位置(ウエハ搬送位置)まで下降し、ウエハ200の処理時には、図1で示されるように、ウエハ200が処理空間201内の処理位置(ウエハ処理位置)となるまで上昇する。   When the wafer 200 is transferred, the substrate mounting table 212 is lowered to a position where the substrate mounting surface 211 faces the substrate loading / unloading port 206 (wafer transfer position). When the wafer 200 is processed, as shown in FIG. Ascent 200 moves up to a processing position (wafer processing position) in the processing space 201.

具体的には、基板載置台212をウエハ搬送位置まで下降させた時には、リフトピン207の上端部が基板載置面211の上面から突出して、リフトピン207がウエハ200を下方から支持するようになっている。また、基板載置台212をウエハ処理位置まで上昇させたときには、リフトピン207は基板載置面211の上面から埋没して、基板載置面211がウエハ200を下方から支持するようになっている。なお、リフトピン207は、ウエハ200と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。   Specifically, when the substrate mounting table 212 is lowered to the wafer transfer position, the upper end portion of the lift pins 207 protrudes from the upper surface of the substrate mounting surface 211, and the lift pins 207 support the wafer 200 from below. Yes. When the substrate mounting table 212 is raised to the wafer processing position, the lift pins 207 are buried from the upper surface of the substrate mounting surface 211 so that the substrate mounting surface 211 supports the wafer 200 from below. In addition, since the lift pins 207 are in direct contact with the wafer 200, it is desirable to form the lift pins 207 from a material such as quartz or alumina, for example.

ヒータ213は、ウエハ200の中心である中心面と、その中心面の外周である外周面をそれぞれ個別に加熱制御可能な構成である。例えば、基板載置面211の中心に設けられ、上方から見て周状のセンターゾーンヒータ213aと、同じく周状であり、アウトゾーンヒータ213aの外周に設けられたアウトゾーンヒータ213bを有する。センターゾーンヒータ213aはウエハの中心面を加熱し、アウトゾーンヒータ213bはウエハの外周面を加熱する。 The heater 213 is configured to be able to individually control the heating of the central surface that is the center of the wafer 200 and the outer peripheral surface that is the outer periphery of the central surface. For example, it has a center zone heater 213a which is provided at the center of the substrate mounting surface 211 and is circumferential when viewed from above, and an out zone heater 213b which is also circumferential and provided on the outer periphery of the out zone heater 213a. The center zone heater 213a heats the center surface of the wafer, and the out zone heater 213b heats the outer peripheral surface of the wafer.

センターゾーンヒータ213a、アウトゾーンヒータ213bは、それぞれヒータ電力供給線を介してヒータ温度制御部215に接続される。ヒータ温度制御部215は各ヒータへの電力供給を制御することで、ウエハ200の中心面、外周面の温度を制御する。   The center zone heater 213a and the out zone heater 213b are each connected to the heater temperature control unit 215 via a heater power supply line. The heater temperature control unit 215 controls the temperature of the central surface and the outer peripheral surface of the wafer 200 by controlling the power supply to each heater.

基板載置台213には、ウエハ200の温度を計測する温度計測器216aと温度計測器216bが内包される。温度計測器216aはセンターゾーンヒータ213a近傍の温度を計測するよう、基板載置台212の中心部に設けられる。温度計測器216bはアウトゾーンヒータ213b近傍の温度を計測するよう、基板載置台212の外周部に設けられる。温度計測器216a、温度計測器216bは温度情報受信部216cに接続される。各温度計測器で計測した温度は、温度情報受信部216cに送信される。温度情報受信部216cは受信した温度情報を後述するコントローラ260に温度情報を送信する。コントローラ260は受信した温度情報や後述するエッチング情報に基づきヒータ温度を制御する。なお、温度計測器216a、温度計測器216b、温度情報受信部216cをまとめて温度検出部216とする。   The substrate mounting table 213 includes a temperature measuring device 216 a and a temperature measuring device 216 b that measure the temperature of the wafer 200. The temperature measuring device 216a is provided at the center of the substrate mounting table 212 so as to measure the temperature near the center zone heater 213a. The temperature measuring device 216b is provided on the outer peripheral portion of the substrate mounting table 212 so as to measure the temperature in the vicinity of the out-zone heater 213b. The temperature measuring device 216a and the temperature measuring device 216b are connected to the temperature information receiving unit 216c. The temperature measured by each temperature measuring instrument is transmitted to the temperature information receiving unit 216c. The temperature information receiving unit 216c transmits the received temperature information to the controller 260 described later. The controller 260 controls the heater temperature based on the received temperature information and etching information described later. The temperature measuring unit 216a, the temperature measuring unit 216b, and the temperature information receiving unit 216c are collectively referred to as a temperature detecting unit 216.

(シャワーヘッド)
処理空間201の上部(ガス供給方向上流側)には、ガス分散機構としてのシャワーヘッド230が設けられている。シャワーヘッド230の蓋231にはガス導入口231a、231bが設けられる。ガス導入口231a、231bには後述するガス供給系が接続される。ガス導入孔231a、231bから導入されるガスは、シャワーヘッド230のバッファ空間232に供給される。
(shower head)
A shower head 230 as a gas dispersion mechanism is provided in the upper portion of the processing space 201 (upstream side in the gas supply direction). The lid 231 of the shower head 230 is provided with gas introduction ports 231a and 231b. A gas supply system, which will be described later, is connected to the gas inlets 231a and 231b. Gases introduced from the gas introduction holes 231 a and 231 b are supplied to the buffer space 232 of the shower head 230.

バッファ空間232は、第一のバッファ空間232aと第二のバッファ空間232bとを有する。第一のバッファ空間232aは分散板234に内包される。第二のバッファ空間232bは、蓋231と分散板234の間に構成される。   The buffer space 232 has a first buffer space 232a and a second buffer space 232b. The first buffer space 232a is included in the dispersion plate 234. The second buffer space 232 b is configured between the lid 231 and the dispersion plate 234.

シャワーヘッド230は、分散板234を備えている。分散版234は、ガス導入孔231a、231bから供給されるガスを分散させる。この分散板234の上流側がバッファ空間232bであり、下流側が処理空間201である。分散板234には、後述するように複数の貫通孔234c、貫通孔234dが設けられている。分散板234は、基板載置面211と対向するように配置されている。   The shower head 230 includes a dispersion plate 234. The dispersion plate 234 disperses the gas supplied from the gas introduction holes 231a and 231b. The upstream side of the dispersion plate 234 is a buffer space 232b, and the downstream side is a processing space 201. The dispersion plate 234 is provided with a plurality of through holes 234c and a through hole 234d as will be described later. The dispersion plate 234 is disposed so as to face the substrate placement surface 211.

(ガス供給系)
シャワーヘッド230の蓋231に設けられたガス導入孔231aには第一ガス供給管としてのガス供給管243aが貫通されている。ガス導入孔231bには第二ガス供給管としてのガス供給管242が接続されている。ガス供給管243aは後述するシャワーヘッド234に内包されたバッファ空間232aに接続される。ガス供給管242は、231bへの接続によって、バッファ空間232bに連通する。
(Gas supply system)
A gas supply pipe 243a as a first gas supply pipe is passed through the gas introduction hole 231a provided in the lid 231 of the shower head 230. A gas supply pipe 242 as a second gas supply pipe is connected to the gas introduction hole 231b. The gas supply pipe 243a is connected to a buffer space 232a included in a shower head 234 described later. The gas supply pipe 242 communicates with the buffer space 232b by connection to 231b.

ガス供給管242には、リモートプラズマユニット(RPU)244eを介してガス供給管244aが接続される。 A gas supply pipe 244a is connected to the gas supply pipe 242 via a remote plasma unit (RPU) 244e.

第一ガス供給管243aを含む第一ガス供給系243からは第一のガスが主に供給され、第二ガス供給管242、ガス供給管244aを含む第二ガス供給系242からは第二のガスが主に供給される。   The first gas is mainly supplied from the first gas supply system 243 including the first gas supply pipe 243a, and the second gas is supplied from the second gas supply system 242 including the second gas supply pipe 242 and the gas supply pipe 244a. Gas is mainly supplied.

(第一ガス供給系)
第一ガス供給管243aには、上流方向から順に、第一ガス供給源243b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)243c、及び開閉弁であるバルブ243dが設けられている。そして、第一ガス供給管243aからは、第一ガスが、MFC243c、バルブ243dを介して、バッファ室232a内に供給される。
(First gas supply system)
The first gas supply pipe 243a is provided with a first gas supply source 243b, a mass flow controller (MFC) 243c, which is a flow rate controller (flow rate control unit), and a valve 243d, which is an on-off valve, in order from the upstream direction. . The first gas is supplied from the first gas supply pipe 243a into the buffer chamber 232a via the MFC 243c and the valve 243d.

第一ガスは、処理ガスの一つであり、例えばSi(シリコン)元素を含む原料であるヘキサクロロジシラン(SiCl、略称:HCDS)ガスである。なお、第一ガスとしては、常温常圧で固体、液体、及び気体のいずれであっても良い。第一ガスが常温常圧で液体の場合は、第一ガス供給源243bとマスフローコントローラ243cとの間に、図示しない気化器を設ければよい。ここでは気体として説明する。 The first gas is one of the processing gases, for example, hexachlorodisilane (Si 2 Cl 6 , abbreviation: HCDS) gas which is a raw material containing Si (silicon) element. The first gas may be any of solid, liquid, and gas at normal temperature and pressure. When the first gas is liquid at normal temperature and normal pressure, a vaporizer (not shown) may be provided between the first gas supply source 243b and the mass flow controller 243c. Here, it will be described as gas.

主に、第一ガス供給管243a、MFC243c、バルブ243dにより、第一ガス供給系243が構成される。なお、第一ガス供給系243は、第一ガス供給源243b、後述する第一不活性ガス供給系を含めて考えてもよい。また、第一ガス供給系243は、処理ガスの一つである第一ガスを供給するものであることから、処理ガス供給系の一つに該当する。   A first gas supply system 243 is mainly configured by the first gas supply pipe 243a, the MFC 243c, and the valve 243d. Note that the first gas supply system 243 may include the first gas supply source 243b and a first inert gas supply system described later. Further, the first gas supply system 243 corresponds to one of the processing gas supply systems because it supplies the first gas that is one of the processing gases.

第一ガス供給管243aのバルブ243dよりも下流側には、第一不活性ガス供給管246aの下流端が接続されている。第一不活性ガス供給管246aには、上流方向から順に、不活性ガス供給源246b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)246c、及び開閉弁であるバルブ246dが設けられている。そして、第一不活性ガス供給管246aからは、不活性ガスが、MFC246c、バルブ246d、第一ガス供給管243aを介して、バッファ空間232a内に供給される。   The downstream end of the first inert gas supply pipe 246a is connected to the downstream side of the valve 243d of the first gas supply pipe 243a. The first inert gas supply pipe 246a is provided with an inert gas supply source 246b, a mass flow controller (MFC) 246c, which is a flow rate controller (flow rate control unit), and a valve 246d, which is an on-off valve, in order from the upstream direction. ing. Then, the inert gas is supplied from the first inert gas supply pipe 246a into the buffer space 232a via the MFC 246c, the valve 246d, and the first gas supply pipe 243a.

不活性ガスは、第一ガスのキャリアガスとして作用するもので、第一ガスとは反応しないガスを用いることが好ましい。具体的には、例えば、窒素(N)ガスを用いることができる。また、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。 The inert gas acts as a carrier gas for the first gas, and a gas that does not react with the first gas is preferably used. Specifically, for example, nitrogen (N 2 ) gas can be used. In addition to N 2 gas, for example, a rare gas such as helium (He) gas, neon (Ne) gas, or argon (Ar) gas can be used.

主に、第一不活性ガス供給管246a、MFC246c及びバルブ246dにより、第一不活性ガス供給系が構成される。なお、第一不活性ガス供給系は、不活性ガス供給源246b、第一ガス供給管243aを含めて考えてもよい。また、第一不活性ガス供給系は、第一ガス供給系243に含めて考えてもよい。   A first inert gas supply system is mainly configured by the first inert gas supply pipe 246a, the MFC 246c, and the valve 246d. The first inert gas supply system may include the inert gas supply source 246b and the first gas supply pipe 243a. The first inert gas supply system may be included in the first gas supply system 243.

(第二ガス供給系)
第二ガス供給管242に接続されるガス供給管244aには、下流にRPU244eが設けられている。上流には、上流方向から順に、第二ガス供給源244b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)244c、及び開閉弁であるバルブ244dが設けられている。そして、ガス供給管244aからは、第二ガスが、MFC244c、バルブ244d、RPU244e、ガス供給管242を介して、シャワーヘッド230内に供給される。第二ガスは、リモートプラズマユニット244eによりプラズマ状態とされ、ウエハ200上に照射される。
(Second gas supply system)
The gas supply pipe 244a connected to the second gas supply pipe 242 is provided with an RPU 244e downstream. A second gas supply source 244b, a mass flow controller (MFC) 244c, which is a flow rate controller (flow rate control unit), and a valve 244d, which is an on-off valve, are provided upstream from the upstream direction. Then, the second gas is supplied from the gas supply pipe 244a into the shower head 230 via the MFC 244c, the valve 244d, the RPU 244e, and the gas supply pipe 242. The second gas is brought into a plasma state by the remote plasma unit 244e and irradiated onto the wafer 200.

第二ガスは、処理ガスの一つであり、例えばアンモニア(NH)ガスが用いられる。 The second gas is one of the processing gases, and for example, ammonia (NH 3 ) gas is used.

主に、第二ガス供給管242、ガス供給管244a、MFC244c、バルブ244dにより、第二ガス供給系244が構成される。なお、第二ガス供給系244は、第二ガス供給源244b、RPU244e、後述する第二不活性ガス供給系を含めて考えてもよい。また、第二ガス供給系244は、処理ガスの一つである第二ガスを供給するものであることから、処理ガス供給系の他の一つに該当することになる。   A second gas supply system 244 is mainly configured by the second gas supply pipe 242, the gas supply pipe 244a, the MFC 244c, and the valve 244d. Note that the second gas supply system 244 may include the second gas supply source 244b, the RPU 244e, and a second inert gas supply system described later. Further, since the second gas supply system 244 supplies the second gas that is one of the processing gases, it corresponds to the other one of the processing gas supply systems.

ガス供給管244aのバルブ244dよりも下流側には、第二不活性ガス供給管247aの下流端が接続されている。第二不活性ガス供給管247aには、上流方向から順に、不活性ガス供給源247b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)247c、及び開閉弁であるバルブ247dが設けられている。そして、第二不活性ガス供給管247aからは、不活性ガスが、MFC247c、バルブ247d、ガス供給管244a、RPU244e、第二ガス供給管242を介して、シャワーヘッド230内に供給される。   The downstream end of the second inert gas supply pipe 247a is connected to the downstream side of the valve 244d of the gas supply pipe 244a. The second inert gas supply pipe 247a is provided with an inert gas supply source 247b, a mass flow controller (MFC) 247c, which is a flow rate controller (flow rate control unit), and a valve 247d, which is an on-off valve, in order from the upstream direction. ing. Then, the inert gas is supplied from the second inert gas supply pipe 247a into the shower head 230 via the MFC 247c, the valve 247d, the gas supply pipe 244a, the RPU 244e, and the second gas supply pipe 242.

不活性ガスは、第二ガスのキャリアガス又は希釈ガスとして作用するものである。具体的には、例えば、窒素(N)ガスを用いることができる。また、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いてもよい。 The inert gas acts as a carrier gas or dilution gas for the second gas. Specifically, for example, nitrogen (N 2 ) gas can be used. In addition to N 2 gas, for example, a rare gas such as helium (He) gas, neon (Ne) gas, or argon (Ar) gas may be used.

主に、第二不活性ガス供給管247a、MFC247c、及びバルブ247dにより、第二不活性ガス供給系が構成される。なお、第二不活性ガス供給系は、不活性ガス供給源247b、第二ガス供給管243a、RPU244eを含めて考えてもよい。また、第二不活性ガス供給系は、第二ガス供給系244に含めて考えてもよい。   A second inert gas supply system is mainly configured by the second inert gas supply pipe 247a, the MFC 247c, and the valve 247d. Note that the second inert gas supply system may include the inert gas supply source 247b, the second gas supply pipe 243a, and the RPU 244e. The second inert gas supply system may be included in the second gas supply system 244.

主に、第一ガス供給系、第二ガス供給系によりガス供給部が構成される。なお、不活性ガス供給系、シャワーヘッドをガス供給部に含めて考えてもよい。更には、第一ガスと第二ガスをまとめてハードマスク形成ガスと呼んでも良い。   A gas supply unit is mainly configured by the first gas supply system and the second gas supply system. Note that an inert gas supply system and a shower head may be included in the gas supply unit. Furthermore, the first gas and the second gas may be collectively referred to as a hard mask forming gas.

(ガス排気系)
処理容器202の雰囲気を排気する排気系は、処理容器202に接続された排気管222を有する。排気管222は、排気バッファ室209の上面又は側方に設けられた排気孔221を介して、排気バッファ室209内に接続される。排気管222には、排気バッファ室209に連通する処理空間201内を所定の圧力に制御する圧力制御器であるAPC(Auto Pressure Controller)223が設けられる。APC223は、開度調整可能な弁体(図示せず)を有し、後述するコントローラ260からの指示に応じて排気管222のコンダクタンスを調整する。排気管222において、APC223の下流側には、排気ポンプ224が設けられる。排気ポンプ224は、排気管222を介して、排気バッファ室209及びこれに連通する処理空間201の雰囲気を排気する。また、排気管222において、APC223の下流側若しくは上流側、またはこれらの両方には、図示しないバルブが設けられる。主に、排気管222、APC223、排気ポンプ224、及び図示せぬバルブによって、ガス排気系が構成される。
(Gas exhaust system)
An exhaust system that exhausts the atmosphere of the processing container 202 includes an exhaust pipe 222 connected to the processing container 202. The exhaust pipe 222 is connected to the exhaust buffer chamber 209 through an exhaust hole 221 provided on the upper surface or side of the exhaust buffer chamber 209. The exhaust pipe 222 is provided with an APC (Auto Pressure Controller) 223 which is a pressure controller for controlling the inside of the processing space 201 communicating with the exhaust buffer chamber 209 to a predetermined pressure. The APC 223 has a valve body (not shown) whose opening degree can be adjusted, and adjusts the conductance of the exhaust pipe 222 in accordance with an instruction from the controller 260 described later. In the exhaust pipe 222, an exhaust pump 224 is provided on the downstream side of the APC 223. The exhaust pump 224 exhausts the atmosphere of the exhaust buffer chamber 209 and the processing space 201 communicating with the exhaust buffer chamber 209 via the exhaust pipe 222. In the exhaust pipe 222, a valve (not shown) is provided on the downstream side or the upstream side of the APC 223, or both of them. A gas exhaust system is mainly configured by the exhaust pipe 222, the APC 223, the exhaust pump 224, and a valve (not shown).

(シャワーヘッド)
続いて、図3を用いてシャワーヘッド230の詳細構造を説明する。
シャワーヘッド230は、第一のバッファ空間232aと第二のバッファ空間232bを有し、それぞれのバッファ空間の雰囲気は隔離されている。具体的には、第一のバッファ空間232aは分散板234に内包されており、分散板234の一部である上壁234aを境界として、バッファ空間232bと分けられている。
(shower head)
Next, the detailed structure of the shower head 230 will be described with reference to FIG.
The shower head 230 has a first buffer space 232a and a second buffer space 232b, and the atmosphere of each buffer space is isolated. Specifically, the first buffer space 232a is included in the dispersion plate 234, and is separated from the buffer space 232b with an upper wall 234a that is a part of the dispersion plate 234 as a boundary.

分散板234は処理空間201と接する下壁234bを有する。下壁234bには、第一のバッファ空間232aと連通する複数の貫通孔234cが設けられている。更には、第二のバッファ空間232bと連通する複数の貫通孔234dが設けられている。貫通孔234cと貫通孔234dは、径方向に交互に設けられており、それぞれの貫通孔から供給されるガスが、均一にウエハ200上に供給されるよう構成されている。なお、貫通孔234dは上壁234aと下壁234bを接続する柱234eに内包されている。   The dispersion plate 234 has a lower wall 234 b in contact with the processing space 201. A plurality of through holes 234c communicating with the first buffer space 232a are provided in the lower wall 234b. Further, a plurality of through holes 234d communicating with the second buffer space 232b are provided. The through holes 234c and the through holes 234d are alternately provided in the radial direction, and the gas supplied from the respective through holes is uniformly supplied onto the wafer 200. The through hole 234d is included in a pillar 234e that connects the upper wall 234a and the lower wall 234b.

第一のガス供給管243aは、ガス導入孔231a、バッファ空間232bを介して上壁234aに接続される。接続されることで、第一のガス供給管243aは第一のバッファ空間232aに連通される。   The first gas supply pipe 243a is connected to the upper wall 234a via the gas introduction hole 231a and the buffer space 232b. By being connected, the first gas supply pipe 243a communicates with the first buffer space 232a.

第一のバッファ空間232aは、貫通孔234dを内包する柱234eを有すると共に、径方向に連通した空間である。第一ガス供給管243aから供給されたガスは第一のバッファ空間232aで分散され、複数の分散孔234cを介して処理空間201に供給される。   The first buffer space 232a is a space having a pillar 234e that encloses the through hole 234d and communicating in the radial direction. The gas supplied from the first gas supply pipe 243a is dispersed in the first buffer space 232a and supplied to the processing space 201 through the plurality of dispersion holes 234c.

第二のバッファ空間232bは、上壁234aと天井231との間に構成される。上壁234aには貫通孔234dが設けられており、ガス供給管242と貫通孔234dは連通されている。ガス供給管242から供給されたガスは貫通孔234dを介して処理空間201に供給される。   The second buffer space 232b is configured between the upper wall 234a and the ceiling 231. A through hole 234d is provided in the upper wall 234a, and the gas supply pipe 242 and the through hole 234d communicate with each other. The gas supplied from the gas supply pipe 242 is supplied to the processing space 201 through the through hole 234d.

(コントローラ)
成膜装置2000は、成膜装置2000の各部の動作を制御するコントローラ260を有している。コントローラ260は、演算部261及び記憶部262を少なくとも有する。コントローラ260は、上記した各構成に接続され、上位コントローラや使用者の指示に応じて記憶部262からプログラムやレシピを呼び出し、その内容に応じて各構成の動作を制御する。具体的には、コントローラ260は、ゲートバルブ205、昇降機構218、ヒータ213、MFC243c、244c、246c、247c、バルブ243d、244d、246d、247d、APC223、排気ポンプ224等の動作を制御する。
(controller)
The film forming apparatus 2000 includes a controller 260 that controls the operation of each unit of the film forming apparatus 2000. The controller 260 includes at least a calculation unit 261 and a storage unit 262. The controller 260 is connected to each configuration described above, calls a program or recipe from the storage unit 262 in accordance with an instruction from the host controller or the user, and controls the operation of each configuration in accordance with the contents. Specifically, the controller 260 controls operations of the gate valve 205, the lifting mechanism 218, the heater 213, the MFCs 243c, 244c, 246c, 247c, the valves 243d, 244d, 246d, 247d, the APC 223, the exhaust pump 224, and the like.

コントローラ260は、工場内の上位装置に接続されている。コントローラ260は、本成膜装置とは異なる装置であるエッチング装置でのエッチング工程の基板処理に関する情報等を受信可能としている。コントローラ260は、本成膜装置の情報に基づいたスタンドアローンの制御だけでなく、他の工程で使用した装置の情報に基づいて各構成の動作を制御可能とする。   The controller 260 is connected to a host device in the factory. The controller 260 can receive information related to substrate processing in an etching process in an etching apparatus which is an apparatus different from the film forming apparatus. The controller 260 can control the operation of each component based not only on stand-alone control based on information on the film forming apparatus but also on information on apparatuses used in other processes.

なお、コントローラ260は、専用のコンピュータとして構成してもよいし、汎用のコンピュータとして構成してもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)を用意し、その外部記憶装置263を用いて汎用のコンピュータにプログラムをインストールすることにより、本実施形態に係るコントローラ260を構成することができる。   The controller 260 may be configured as a dedicated computer or a general-purpose computer. For example, an external storage device storing the above-described program (for example, magnetic tape, magnetic disk such as a flexible disk or hard disk, optical disk such as CD or DVD, magneto-optical disk such as MO, semiconductor memory such as USB memory or memory card) And installing the program in a general-purpose computer using the external storage device 263, the controller 260 according to this embodiment can be configured.

また、コンピュータにプログラムを供給するための手段は、外部記憶装置263を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置263を介さずにプログラムを供給するようにしてもよい。なお、記憶部262や外部記憶装置263は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において記録媒体という言葉を用いた場合は、記憶部262単体のみを含む場合、外部記憶装置263単体のみを含む場合、又は、その両方を含む場合がある。   Further, the means for supplying the program to the computer is not limited to supplying the program via the external storage device 263. For example, the program may be supplied without using the external storage device 263 by using communication means such as the Internet or a dedicated line. Note that the storage unit 262 and the external storage device 263 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium. Note that when the term “recording medium” is used in this specification, it may include only the storage unit 262 alone, may include only the external storage device 263 alone, or may include both.

(3)成膜工程
次に、成膜装置2000を用いたハードマスク膜の成膜工程(図1(B))の詳細について図4を用いて説明する。対象とするウエハには、被エッチング膜であるポリシリコン膜が成膜されている。なお、以下の説明において、成膜装置2000を構成する各部の動作はコントローラ260により制御される。
(3) Film Forming Process Next, the details of the hard mask film forming process (FIG. 1B) using the film forming apparatus 2000 will be described with reference to FIG. A polysilicon film, which is a film to be etched, is formed on the target wafer. In the following description, the operation of each part constituting the film forming apparatus 2000 is controlled by the controller 260.

ここでは、第一ガス(第一の処理ガス)としてHCDSガスを用い、第二ガス(第二の処理ガス)としてNHガスを用いて、ウエハ200上にシリコン含有膜としてSiN(シリコン窒化)膜を形成する例について説明する。なお、SiN膜はハードマスク層102として形成される。 Here, HCDS gas is used as the first gas (first processing gas), NH 3 gas is used as the second gas (second processing gas), and SiN (silicon nitride) is formed as a silicon-containing film on the wafer 200. An example of forming a film will be described. The SiN film is formed as the hard mask layer 102.

(基板搬入載置工程:S102)
成膜装置2000では、先ず、基板載置台212をウエハ200の搬送位置まで下降させることにより、基板載置台212の貫通孔214にリフトピン207を貫通させる。その結果、リフトピン207が、基板載置台212表面よりも所定の高さ分だけ突出した状態となる。続いて、ゲートバルブ205を開いて搬送空間203を移載室(図示せず)と連通させる。そして、この移載室からウエハ移載機(図示せず)を用いてウエハ200を搬送空間203に搬入し、リフトピン207上にウエハ200を移載する。これにより、ウエハ200は、基板載置台212の表面から突出したリフトピン207上に水平姿勢で支持される。
(Substrate loading and loading step: S102)
In the film forming apparatus 2000, first, the substrate mounting table 212 is lowered to the transfer position of the wafer 200, thereby causing the lift pins 207 to pass through the through holes 214 of the substrate mounting table 212. As a result, the lift pins 207 protrude from the surface of the substrate mounting table 212 by a predetermined height. Subsequently, the gate valve 205 is opened to allow the transfer space 203 to communicate with the transfer chamber (not shown). Then, the wafer 200 is loaded into the transfer space 203 from the transfer chamber using a wafer transfer machine (not shown), and the wafer 200 is transferred onto the lift pins 207. Thereby, the wafer 200 is supported in a horizontal posture on the lift pins 207 protruding from the surface of the substrate mounting table 212.

処理容器202内にウエハ200を搬入したら、ウエハ移載機を処理容器202の外へ退避させ、ゲートバルブ205を閉じて処理容器202内を密閉する。その後、基板載置台212を上昇させることにより、基板載置台212に設けられた基板載置面211上にウエハ200を載置させ、さらに基板載置台212を上昇させることにより、前述した処理空間201内の処理位置までウエハ200を上昇させる。   When the wafer 200 is loaded into the processing container 202, the wafer transfer machine is retracted out of the processing container 202, the gate valve 205 is closed, and the inside of the processing container 202 is sealed. Thereafter, by raising the substrate mounting table 212, the wafer 200 is mounted on the substrate mounting surface 211 provided on the substrate mounting table 212, and by further raising the substrate mounting table 212, the processing space 201 described above. The wafer 200 is raised to the processing position inside.

ウエハ200が搬送空間203に搬入された後、処理空間201内の処理位置まで上昇すると、ガス排気系におけるバルブを開き、排気バッファ室209とAPC223の間を連通させるとともに、APC223と排気ポンプ224の間を連通させる。APC223は、排気管222のコンダクタンスを調整することで、排気ポンプ224による排気バッファ室209の排気流量を制御し、排気バッファ室209に連通する処理空間201を所定の圧力に維持する。なお、他の排気系のバルブは閉状態を維持する。また、第一ガス排気系におけるバルブを閉じるときは、TMPの上流側に位置するバルブを閉状態とした後、TMPの下流側に位置するバルブを閉状態とすることで、TMPの動作を安定に維持する。   When the wafer 200 is moved up to the processing position in the processing space 201 after being transferred into the transfer space 203, the valve in the gas exhaust system is opened, the exhaust buffer chamber 209 and the APC 223 are communicated, and the APC 223 and the exhaust pump 224 are connected. Communicate between them. The APC 223 controls the exhaust flow rate of the exhaust buffer chamber 209 by the exhaust pump 224 by adjusting the conductance of the exhaust pipe 222 and maintains the processing space 201 communicating with the exhaust buffer chamber 209 at a predetermined pressure. The other exhaust system valves remain closed. Also, when closing the valve in the first gas exhaust system, the valve located on the upstream side of the TMP is closed, and then the valve located on the downstream side of the TMP is closed to stabilize the operation of the TMP. To maintain.

(基板加熱工程:S104)
基板載置台212の内部に埋め込まれたセンターゾーンヒータ213a、アウトゾーンヒータ213bに電力を供給し、ウエハ200の表面が所定の温度分布となるよう制御される。この際、センターゾーンヒータ213a、アウトゾーンヒータ213bの温度は温度検出部216a、温度検出部216bで検出された温度情報やエッチング工程(E)後の膜情報等に基づいてヒータ213への通電具合を制御することによって調整される。このときのウエハ200の温度が、例えば250から700℃、好ましくは300から650℃、より好ましくは350から600℃の範囲内となるよう、センターゾーンヒータ213a、アウトゾーンヒータ213bを制御する。
(Substrate heating process: S104)
Electric power is supplied to the center zone heater 213a and the out zone heater 213b embedded in the substrate mounting table 212, and the surface of the wafer 200 is controlled to have a predetermined temperature distribution. At this time, the temperature of the center zone heater 213a and the out zone heater 213b is determined based on the temperature information detected by the temperature detection unit 216a and the temperature detection unit 216b, the film information after the etching process (E), and the like. It is adjusted by controlling. At this time, the center zone heater 213a and the out zone heater 213b are controlled so that the temperature of the wafer 200 falls within the range of, for example, 250 to 700 ° C., preferably 300 to 650 ° C., more preferably 350 to 600 ° C.

このようにして、ウエハ200の表面温度が所定の範囲内となるように制御する。
尚、ここでは基板搬入載置工程(S102)と基板加熱工程(S104)を別工程として説明したが、それに限るものではなく、この二つの工程を平行して行っても良い。
In this way, the surface temperature of the wafer 200 is controlled to be within a predetermined range.
In addition, although the board | substrate carrying-in mounting process (S102) and the board | substrate heating process (S104) were demonstrated as a separate process here, it is not restricted to it, You may perform these two processes in parallel.

(成膜工程:S106)
基板加熱工程(S104)の後は、次に、処理ガス供給工程(S106)を行う。
(Film formation process: S106)
After the substrate heating step (S104), a processing gas supply step (S106) is performed next.

処理ガス供給工程(S106)では、先ず、バルブ243dを開とすると共に、MFC243cを制御して、所定流量の第一のガスであるHCDSガスを供給する。それと並行して、バルブ244dを開とすると共に、MFC243cを制御して、所定流量の第二のガスを供給する。このとき、事前に起動したRPU244eを介することから、第二のガスであるアンモニアガスはプラズマ状態で処理空間201に供給される。   In the processing gas supply step (S106), first, the valve 243d is opened, and the MFC 243c is controlled to supply HCDS gas, which is the first gas having a predetermined flow rate. At the same time, the valve 244d is opened and the MFC 243c is controlled to supply the second gas having a predetermined flow rate. At this time, ammonia gas which is the second gas is supplied to the processing space 201 in a plasma state because it passes through the RPU 244e activated in advance.

具体的には、第一の処理ガス(HCDS)を供給する際は、バルブ243dを開くとともに、第一ガスの流量が所定流量となるようにマスフローコントローラ243cを調整することで、処理空間201内への第一ガスの供給を開始する。第一ガスの供給流量は、例えば100〜500sccmである。第一ガスは、シャワーヘッド230により分散されて処理空間201内のウエハ200上に均一に供給される。このとき、第一の処理ガスは、熱エネルギーの影響が支配的なガスを用いることが望ましい。熱エネルギーが支配的なため、後述する温度分布制御による膜厚制御が容易なためである。   Specifically, when supplying the first processing gas (HCDS), the valve 243d is opened and the mass flow controller 243c is adjusted so that the flow rate of the first gas becomes a predetermined flow rate. The supply of the first gas to is started. The supply flow rate of the first gas is, for example, 100 to 500 sccm. The first gas is dispersed by the shower head 230 and is uniformly supplied onto the wafer 200 in the processing space 201. At this time, it is desirable to use a gas in which the influence of thermal energy is dominant as the first processing gas. This is because thermal energy is dominant and film thickness control by temperature distribution control described later is easy.

このとき、第一不活性ガス供給系のバルブ246dを開き、第一不活性ガス供給管246aから不活性ガス(Nガス)を供給する。不活性ガスの供給流量は、例えば500〜5000sccmである。なお、パージガス供給系の第三ガス供給管245aから不活性ガスを流してもよい。 At this time, the valve 246d of the first inert gas supply system is opened, and the inert gas (N 2 gas) is supplied from the first inert gas supply pipe 246a. The supply flow rate of the inert gas is, for example, 500 to 5000 sccm. Note that an inert gas may flow from the third gas supply pipe 245a of the purge gas supply system.

第二の処理ガス(NHガス)を供給する際は、バルブ244dを開けて、リモートプラズマユニット244e、シャワーヘッド230を介して、処理空間201内への第二ガスの供給を開始する。このとき、第二ガスの流量が所定流量となるように、MFC244cを調整する。第二ガスの供給流量は、例えば1000〜10000sccmである。 When supplying the second processing gas (NH 3 gas), the valve 244d is opened, and the supply of the second gas into the processing space 201 is started via the remote plasma unit 244e and the shower head 230. At this time, the MFC 244c is adjusted so that the flow rate of the second gas becomes a predetermined flow rate. The supply flow rate of the second gas is, for example, 1000 to 10000 sccm.

プラズマ状態の第二ガスは、シャワーヘッド230により分散されて処理空間201内のウエハ200上に均一に供給され、ウエハ200上のHCDSと反応し、ウエハ200上にハードマスク膜としてのSiN膜を生成する。   The second gas in the plasma state is dispersed by the shower head 230 and uniformly supplied onto the wafer 200 in the processing space 201, reacts with the HCDS on the wafer 200, and forms a SiN film as a hard mask film on the wafer 200. Generate.

このとき、第二不活性ガス供給系のバルブ247dを開き、第二不活性ガス供給管247aから不活性ガス(Nガス)を供給する。不活性ガスの供給流量は、例えば500〜5000sccmである。 At this time, the valve 247d of the second inert gas supply system is opened, and an inert gas (N 2 gas) is supplied from the second inert gas supply pipe 247a. The supply flow rate of the inert gas is, for example, 500 to 5000 sccm.

このときの処理空間201内の処理温度、処理圧力は、第一のガスと第二のガスが反応し、SiN膜が形成可能な範囲に制御される。このときの処理室201内の圧力は、例えば1〜13300Pa、好ましくは20〜1330Paの範囲内の圧力とする。   At this time, the processing temperature and processing pressure in the processing space 201 are controlled within a range in which the first gas and the second gas react to form a SiN film. At this time, the pressure in the processing chamber 201 is, for example, 1 to 13300 Pa, preferably 20 to 1330 Pa.

(基板搬出工程:S108)
被エッチング膜であるポリシリコン膜上にハードマスク膜としてのSiN膜を形成したら、搬入・載置工程102と逆の手順によりウエハ200を搬出する。搬出後、被エッチング膜が形成された未処理のウエハ200を処理室に搬入し、同様の処理を行う。
(Substrate unloading step: S108)
After the SiN film as the hard mask film is formed on the polysilicon film that is the film to be etched, the wafer 200 is unloaded by the reverse procedure of the loading / mounting process 102. After unloading, the unprocessed wafer 200 on which the etching target film is formed is loaded into the processing chamber and the same processing is performed.

(処理枚数判定工程:S110)
以上の各工程からなる成膜工程の後、処理ガス供給工程(S106)で処理したウエハ200が所定の枚数に到達したか否かを判定する(S110)。
(Processing number determination step: S110)
After the film forming process including the above processes, it is determined whether or not the number of wafers 200 processed in the process gas supply process (S106) has reached a predetermined number (S110).

処理ガス供給工程(S106)で処理したウエハ200が所定の枚数に到達していなければ、その後は、次に待機している新たなウエハ200の処理を開始するため、基板搬入載置工程(S102)に移行する。また、所定の枚数のウエハ200に対して処理ガス供給工程(S106)を実施した場合には1ロットの基板処理を終了する。   If the number of wafers 200 processed in the processing gas supply step (S106) has not reached the predetermined number, the substrate loading / unloading step (S102) is then started in order to start processing a new wafer 200 that is waiting next. ). When the processing gas supply process (S106) is performed on a predetermined number of wafers 200, one lot of substrate processing is completed.

前述のゲート電極形成工程で説明したように、ハードマスク膜を成膜工程で形成した後、レジスト膜形成工程、露光工程を経て、エッチング装置にてエッチング工程を実施する。   As described in the above-described gate electrode formation process, after the hard mask film is formed in the film formation process, the etching process is performed in the etching apparatus through the resist film formation process and the exposure process.

ところで、エッチング工程終了後に柱状のポリシリコン膜の幅を確認したところ、基板面内においてPoly−Si膜で構成されるゲート電極の幅が、ウエハの中心とその外周とでばらつくことを発見した。発明者による鋭意研究の結果、エッチング工程において、ウエハの中心とその外周とでエッチングレートが異なることが、ばらつきの原因の一つであることがわかった。   By the way, when the width of the columnar polysilicon film was confirmed after completion of the etching process, it was found that the width of the gate electrode composed of the Poly-Si film varies between the center of the wafer and its outer periphery in the substrate surface. As a result of intensive studies by the inventor, it has been found that one of the causes of variation is that the etching rate is different between the center of the wafer and its outer periphery in the etching process.

以下にエッチングレートがウエハの中心とその外周で異なる理由を説明する。
まず、一般的なエッチング装置を説明する。エッチング工程においてプラズマによるドライエッチングを実施する場合、例えば図5のようなエッチング装置5000を用いる。エッチング装置5000は容器501内に平行平板型プラズマ電極を有し、供給されたエッチングガスはそれによってプラズマ状態とされる。図5においては、ウエハ200を載置する載置部を兼ねた下部電極502と、ガスを供給するシャワーヘッドを兼ねた上部電極503が平行平板電極として構成される。電極にはそれぞれ電源が接続されている。
The reason why the etching rate is different between the center of the wafer and the outer periphery thereof will be described below.
First, a general etching apparatus will be described. When dry etching using plasma is performed in the etching process, for example, an etching apparatus 5000 as shown in FIG. 5 is used. The etching apparatus 5000 has a parallel plate type plasma electrode in the container 501, and the supplied etching gas is changed into a plasma state. In FIG. 5, a lower electrode 502 that also serves as a mounting portion on which the wafer 200 is placed and an upper electrode 503 that also serves as a shower head for supplying gas are configured as parallel plate electrodes. A power source is connected to each electrode.

図5において、504は下部電極501を支持する円筒状の支持部であり、506は容器501内の雰囲気を排気するための排気管である。排気管506にはバルブ等で構成される排気制御部507が設けられ、排気量を制御している。排気管506の先端にはポンプが接続され、容器501内の雰囲気を排気している。下部電極502と容器501との間には流路508が形成される。流路508は上方から見た場合に周状に構成されている。   In FIG. 5, reference numeral 504 denotes a cylindrical support portion that supports the lower electrode 501, and reference numeral 506 denotes an exhaust pipe for exhausting the atmosphere in the container 501. The exhaust pipe 506 is provided with an exhaust control unit 507 configured by a valve or the like to control the exhaust amount. A pump is connected to the tip of the exhaust pipe 506 to exhaust the atmosphere in the container 501. A channel 508 is formed between the lower electrode 502 and the container 501. The channel 508 is formed in a circumferential shape when viewed from above.

上部電極503は、内部にガス流路が設けられると共に、ウエハ200と向かい合う面には分散孔が設けられている。ガス流路は、ガス供給管509が接続されている。ガス供給管にはガス供給を制御するバルブやマスフローコントローラ等のガス供給制御部510が設けられている。ガス供給制御部510は、図示しないエッチングガス源から供給されたガスの流量等を制御する。矢印で示されるガス511は上部電極503を介して上部電極503と下部電極502の間の空間に供給される。供給されたエッチングガスは上部電極503、下部電極502によってプラズマ状態とされ、ウエハ200上の膜をエッチングする。   The upper electrode 503 is provided with a gas flow path therein, and a dispersion hole is provided on a surface facing the wafer 200. A gas supply pipe 509 is connected to the gas flow path. The gas supply pipe is provided with a gas supply control unit 510 such as a valve for controlling gas supply or a mass flow controller. The gas supply control unit 510 controls the flow rate of gas supplied from an etching gas source (not shown). A gas 511 indicated by an arrow is supplied to a space between the upper electrode 503 and the lower electrode 502 via the upper electrode 503. The supplied etching gas is brought into a plasma state by the upper electrode 503 and the lower electrode 502, and the film on the wafer 200 is etched.

エッチングに使用されたガスは流路508を介して排気管506から排気される。継続してエッチングする際は、ガス供給制御部510と排気制御部507との協働により処理容器501内の圧力を所望の圧力に調整し、エッチング処理を行う。   The gas used for etching is exhausted from the exhaust pipe 506 through the flow path 508. When the etching is continued, the pressure in the processing container 501 is adjusted to a desired pressure by the cooperation of the gas supply control unit 510 and the exhaust control unit 507, and the etching process is performed.

エッチング装置5000のような枚葉装置で基板を処理する場合に、処理容器501内の圧力やガス流量、排気量等を調整して所定の処理条件に調整するが、次の問題が起きる場合がある。   When a substrate is processed by a single wafer apparatus such as the etching apparatus 5000, the pressure, gas flow rate, exhaust amount, etc. in the processing container 501 are adjusted to a predetermined processing condition, but the following problems may occur. is there.

第一の問題を説明する。エッチング装置5000の場合、構造上の問題からエッチングガスはウエハ200の外周である流路508を介して排気管506から排気される。例えばエッチング時間を短くするために、ウエハ200にアタックするエッチングガスのボリュームを増やしてエッチング効率を高める場合、処理容器501内の圧力を高くしたり、ガス供給/排気する際の流速を高くしたりしてガスを拡散容易としている。このような場合、ウエハ外周面200b上では、ウエハ中心面200a上よりもガスが高速で供給される。従って、ウエハ外周面のエッチングガスの供給量は、ウエハ中心面よりも多い。即ち、ウエハ外周面のプラズマ密度はウエハ中心面のプラズマ密度よりも高い。このような状況であることから、ウエハ外周面200bのエッチングレートは、ウエハ中心面200aよりも高いことが考えられる。   Explain the first problem. In the case of the etching apparatus 5000, the etching gas is exhausted from the exhaust pipe 506 through the flow path 508 that is the outer periphery of the wafer 200 due to structural problems. For example, in order to shorten the etching time, when the etching efficiency is increased by increasing the volume of the etching gas attacking the wafer 200, the pressure in the processing vessel 501 is increased, or the flow rate when supplying / exhausting the gas is increased. And gas diffusion is easy. In such a case, gas is supplied at a higher speed on the wafer outer peripheral surface 200b than on the wafer central surface 200a. Therefore, the supply amount of the etching gas on the wafer outer peripheral surface is larger than that on the wafer central surface. That is, the plasma density on the wafer outer peripheral surface is higher than the plasma density on the wafer central surface. Because of this situation, it is considered that the etching rate of the wafer outer peripheral surface 200b is higher than that of the wafer center surface 200a.

第二の問題を説明する。例えばエッチング時間を短くするため、プラズマ密度を高めてエッチング効率を高める場合、電極への電力供給量を増やすことが考えられる。しかしながら、上部電極503中央部の下方の空間(すなわちウエハ中心面200a上方空間)に磁界が集まるため、上部電極503中央部の下方の空間のプラズマ密度は、上部電極503の外周の下方の空間(すなわちウエハ外周面200bの上方空間)のプラズマ密度よりも高くなってしまう。このような状況であることから、ウエハ中心面200aのエッチングレートは、ウエハ外周面200bよりも高いことが考えられる。   Explain the second problem. For example, in order to shorten the etching time, when the plasma density is increased to increase the etching efficiency, it is conceivable to increase the amount of power supplied to the electrodes. However, since the magnetic field collects in the space below the center of the upper electrode 503 (that is, the space above the wafer center plane 200a), the plasma density in the space below the center of the upper electrode 503 is the space below the outer periphery of the upper electrode 503 ( That is, it becomes higher than the plasma density in the space above the wafer outer peripheral surface 200b. Because of this situation, it can be considered that the etching rate of the wafer central surface 200a is higher than that of the wafer outer peripheral surface 200b.

次に、ウエハの中心とその外周とでエッチングレートが異なった場合の問題点について図6、図7を用いて説明する。   Next, problems when the etching rates are different between the center of the wafer and the outer periphery thereof will be described with reference to FIGS.

図6は第一の問題であるウエハ外周面200bのエッチングレートが、ウエハ中心面200aよりも高い場合を説明した図である。ここではウエハ中心面200aのエッチングレートをRa1、ウエハ外周面のエッチングレートをRb1とする。更には、ウエハ中心面200a上に形成されたpoly-Si膜を101a、ハードマスクを102a、レジストを103aと呼ぶ。ウエハ外周面200b上に形成されたpoly-Si膜を101b、ハードマスクを102b、レジストを103bと呼ぶ。 FIG. 6 is a diagram illustrating a case where the etching rate of the wafer outer peripheral surface 200b, which is the first problem, is higher than that of the wafer central surface 200a. Here, the etching rate of the wafer center surface 200a is Ra1, and the etching rate of the wafer outer peripheral surface is Rb1. Furthermore, the poly-Si film formed on the wafer center plane 200a is referred to as 101a, the hard mask is referred to as 102a, and the resist is referred to as 103a. The poly-Si film formed on the wafer outer peripheral surface 200b is referred to as 101b, the hard mask is referred to as 102b, and the resist is referred to as 103b.

ウエハ中心面200a上にエッチング残渣が残らないように、中心側エッチングレートRa1のエッチング時間に合わせてエッチングする場合、外周側エッチングレートRb1のエッチングレートが高いため、ウエハ外周面200b上ではエッチングガスの供給量が中心側に比べて多くなる。 When etching is performed in accordance with the etching time of the center side etching rate Ra1 so that no etching residue remains on the wafer center surface 200a, the etching rate of the outer periphery side etching rate Rb1 is high. Supply amount increases compared to the center side.

前述したように、近年の微細化に伴いレジストが薄膜化されており、大量のエッチングガスに曝されると、レジストもエッチングされてしまうことがある。更には、レジストそのものがエッチングされてしまうため、レジストの下方に形成されたハードマスクの上部がエッチングガスに曝される。ハードマスクはある程度のエッチング耐性を有するが、大量のエッチングガスに曝された場合はエッチングされてしまう。その結果、ハードマスク102bの幅方向の一部がエッチングされてしまい、所望の幅を維持できないことがある。そのため、ハードマスク102bの下方では、複数の柱状で構成されるPoly−Si膜101bがエッチングされ、それぞれの柱が所望の幅よりも細くなってしまう。更には、エッチングガスを長時間さらすことで、Poly−Si膜101bにアンダーカットが起きてしまい、所望の幅を維持することができない。 As described above, the resist is thinned with the recent miniaturization, and the resist may be etched when exposed to a large amount of etching gas. Furthermore, since the resist itself is etched, the upper part of the hard mask formed under the resist is exposed to the etching gas. The hard mask has a certain etching resistance, but is etched when exposed to a large amount of etching gas. As a result, part of the hard mask 102b in the width direction is etched, and the desired width may not be maintained. Therefore, below the hard mask 102b, the Poly-Si film 101b configured by a plurality of columns is etched, and each column becomes thinner than a desired width. Furthermore, when the etching gas is exposed for a long time, an undercut occurs in the Poly-Si film 101b, and a desired width cannot be maintained.

以上の説明のように、ウエハ外周面200bではウエハ中心面200aのPoly−Si膜101aよりも細いPoly−Si膜101bが形成される。従って、ウエハ200の中心面と外周面とでゲート電極の幅にばらつきが出てしまう。 As described above, the Poly-Si film 101b that is thinner than the Poly-Si film 101a on the wafer center surface 200a is formed on the wafer outer peripheral surface 200b. Accordingly, the width of the gate electrode varies between the center surface and the outer peripheral surface of the wafer 200.

図7は第二の問題であるウエハ中心面200aのエッチングレートが、ウエハ外周面200bよりも高い場合を説明した図である。ここではウエハ中心面200aのエッチングレートをRa2、ウエハ外周面のエッチングレートをRb2とする。更には、ウエハ中心面200a上に形成されたpoly-Si膜を101a、ハードマスクを102a、レジストを103aと呼ぶ。ウエハ外周面200b上に形成されたpoly-Si膜を101b、ハードマスクを102b、レジストを103bと呼ぶ。 FIG. 7 is a diagram for explaining a case where the etching rate of the wafer central surface 200a, which is the second problem, is higher than that of the wafer outer peripheral surface 200b. Here, the etching rate of the wafer center surface 200a is Ra2, and the etching rate of the wafer outer peripheral surface is Rb2. Furthermore, the poly-Si film formed on the wafer center plane 200a is referred to as 101a, the hard mask is referred to as 102a, and the resist is referred to as 103a. The poly-Si film formed on the wafer outer peripheral surface 200b is referred to as 101b, the hard mask is referred to as 102b, and the resist is referred to as 103b.

ウエ外周200b上にエッチング残渣が残らないように、外周側エッチングレートRb2に合わせてエッチングする場合、中心側エッチングレートRa2のエッチングレートが高いため、ウエハ中心面200a上では外周側に比べてエッチングガスの供給量が多くなる。 When etching is performed in accordance with the outer peripheral etching rate Rb2 so that no etching residue remains on the wafer outer periphery 200b, the etching gas at the central etching rate Ra2 is higher than that at the outer peripheral side on the wafer central surface 200a. The amount of supply increases.

前述したように、近年の微細化に伴いレジストが薄膜化されており、大量のエッチングガスに曝されると、レジストもエッチングされてしまうことがある。更には、レジストそのものがエッチングされてしまうため、レジストの下方に形成されたハードマスクの上部がエッチングガスに曝さる。ハードマスクはある程度のエッチングガス耐性を有するが、大量のエッチングガスに曝された場合はエッチングされてしまう。その結果、ハードマスク102aの幅方向の一部がエッチングされてしまい、所望の幅を維持できないことがある。そのため、ハードマスク102aの下方に形成されたPoly−Si膜101aも削られ、所望の幅よりも細くなってしまう。更には、プラズマ密度の高いエッチングガスに曝されるので、Poly−Si膜101aにアンダーカットが起きてしまい、各柱は所望の幅を維持することができない。 As described above, the resist is thinned with the recent miniaturization, and the resist may be etched when exposed to a large amount of etching gas. Furthermore, since the resist itself is etched, the upper part of the hard mask formed below the resist is exposed to the etching gas. The hard mask has a certain level of etching gas resistance, but is etched when exposed to a large amount of etching gas. As a result, a part of the hard mask 102a in the width direction is etched, and a desired width may not be maintained. For this reason, the Poly-Si film 101a formed below the hard mask 102a is also removed and becomes thinner than a desired width. Furthermore, since the plasma is exposed to an etching gas having a high plasma density, an undercut occurs in the Poly-Si film 101a, and each column cannot maintain a desired width.

このような状況であるので、図7に記載のように、ウエハ中心面200aには、ウエハ外周面200bのPoly−Si膜101bよりも細い柱状のPoly−Si膜101aが形成される。従って、ウエハ200の中心面と外周面でゲート電極の幅にばらつきが出てしまう。 Under such circumstances, as shown in FIG. 7, a columnar Poly-Si film 101a that is thinner than the Poly-Si film 101b on the wafer outer peripheral surface 200b is formed on the wafer center surface 200a. Accordingly, the width of the gate electrode varies between the central surface and the outer peripheral surface of the wafer 200.

以上説明したように、ドライエッチングを行う場合に、ウエハ面内においてゲート電極の幅を均一にすることは困難である。   As described above, when dry etching is performed, it is difficult to make the width of the gate electrode uniform in the wafer surface.

そこで本実施形態においては、新たに処理するロット(第nロットと呼ぶ)のウエハWnの成膜工程でハードマスクを形成する際に、エッチング工程が終了した処理済みロット(第mロットと呼ぶ)のウエハのエッチング情報に応じて、ハードマスクの膜厚をウエハ200の中心面と外周面とで異ならせるよう膜厚分布を制御する。ここでエッチング情報とは、ウエハ200の中心面、外周面におけるエッチングレート、エッチング後の被エッチング膜(Poly−Si膜101)の柱の幅やその分布を言う。ウエハ200の中心面、外周面におけるエッチングレートについては、例えばロットmのエッチング結果を見て算出する。被エッチング膜の柱の幅については、エッチング処理後に、既存の測定装置を用いて測定する。   Therefore, in the present embodiment, when the hard mask is formed in the film forming process of the wafer Wn of the lot to be newly processed (referred to as the nth lot), the processed lot (referred to as the mth lot) after the etching process is completed. In accordance with the wafer etching information, the film thickness distribution is controlled so that the film thickness of the hard mask differs between the central surface and the outer peripheral surface of the wafer 200. Here, the etching information refers to the etching rate on the central surface and the outer peripheral surface of the wafer 200, the column width of the etched film (Poly-Si film 101) after etching, and its distribution. The etching rates on the center surface and the outer peripheral surface of the wafer 200 are calculated by looking at the etching result of the lot m, for example. The column width of the film to be etched is measured using an existing measuring apparatus after the etching process.

以下に、ハードマスクの膜厚をウエハ200の中心面と外周面とで異ならせる具体的方法と膜厚を異ならせる理由について説明する。ロットnから見て、ロットmのウエハを「他の基板」もしくは「基板Wm」と呼ぶ。これに対して、新たに処理するロットのウエハを単に「基板」もしくは「基板Wn」と呼ぶ。   Hereinafter, a specific method for changing the film thickness of the hard mask between the central surface and the outer peripheral surface of the wafer 200 and the reason for changing the film thickness will be described. When viewed from the lot n, the wafer of the lot m is called “another substrate” or “substrate Wm”. On the other hand, a wafer of a lot to be newly processed is simply referred to as “substrate” or “substrate Wn”.

エッチング工程が終了したロットmの他の基板(基板Wm)のエッチング情報が、図6のようにPoly−Si膜101aの幅がPoly−Si膜101bよりも広いことを示す情報である場合、もしくは基板外周200bのエッチングレートがウエハ中心面200aのエッチングレートよりも高いことを示す情報である場合、ロットnの処理では、ウエハ外周面200bのハードマスク102bをハードマスク102aよりも厚くするよう成膜装置2000を制御する。 When the etching information of the other substrate (substrate Wm) of the lot m after the etching process is information indicating that the width of the Poly-Si film 101a is wider than the Poly-Si film 101b as shown in FIG. 6, or When the information indicates that the etching rate of the substrate outer peripheral surface 200b is higher than the etching rate of the wafer central surface 200a, film formation is performed so that the hard mask 102b on the wafer outer peripheral surface 200b is thicker than the hard mask 102a in the processing of lot n. The apparatus 2000 is controlled.

具体的な制御としては、成膜装置2000のアウトゾーンヒータ213bの温度をセンターゾーンヒータ213aの温度よりも高くした状態で処理ガス供給工程(S106)を実施する。より良くは、Wnを処理する際のヒータ231bの温度は、Wmを処理した際の温度よりも高くする。また、Wnを処理する際のヒータ231aの温度は、Wmを処理した際の温度を維持する。   Specifically, the process gas supply step (S106) is performed in a state where the temperature of the out zone heater 213b of the film forming apparatus 2000 is higher than the temperature of the center zone heater 213a. More preferably, the temperature of the heater 231b when Wn is processed is higher than the temperature when Wm is processed. Moreover, the temperature of the heater 231a when processing Wn is maintained at the temperature when processing Wm.

ウエハ温度が高いとガスの反応が促進され、ハードマスク102の成膜レートが高くなる。従ってハードマスク102bの厚みはハードマスク102aより厚くなる。このとき、ハードマスク101a、ハードマスク101bの厚さは、少なくとも所定のエッチング時間においてPoly−Si膜101が露出しない程度の厚みとする。 When the wafer temperature is high, the gas reaction is promoted and the film formation rate of the hard mask 102 is increased. Therefore, the hard mask 102b is thicker than the hard mask 102a. At this time, the thicknesses of the hard mask 101a and the hard mask 101b are set such that the Poly-Si film 101 is not exposed at least for a predetermined etching time.

好ましくは、ハードマスク102aの厚みをHa1、ハードマスク102bの厚みをHb1、エッチング処理時間をtとしたとき、「Ha1 > Ra1 × t」、「Hb1 > Rb1 × t」の関係となることが望ましい。このような関係とすることで、ウエハ外周面のエッチングレートが外周面より高いとしても、より確実にハードマスクのオーバーエッチングやアンダーカットを防ぐことができる。従って、ウエハ面内において、均一なゲート電極幅とすることができる。   Preferably, when the thickness of the hard mask 102a is Ha1, the thickness of the hard mask 102b is Hb1, and the etching processing time is t, the relationship of “Ha1> Ra1 × t” and “Hb1> Rb1 × t” is desirable. . With such a relationship, even if the etching rate of the outer peripheral surface of the wafer is higher than that of the outer peripheral surface, overetching or undercutting of the hard mask can be prevented more reliably. Therefore, a uniform gate electrode width can be obtained in the wafer surface.

また、エッチング工程が終了したロットmの他の基板(基板Wm)のエッチング情報が、図7のようにPoly−Si膜101bの幅がPoly−Si膜101aよりも広いことを示す情報である場合、もしくは基板外周面のエッチングレートが基板外周のエッチングレートよりも高いことを示す情報である場合、ロットnの処理にて、ウエハ中心面200aのハードマスク102aをハードマスク102bよりも厚くするよう制御する。 Further, when the etching information of the other substrate (substrate Wm) of the lot m where the etching process is completed is information indicating that the width of the Poly-Si film 101b is wider than that of the Poly-Si film 101a as shown in FIG. Alternatively, when the information indicates that the etching rate of the outer peripheral surface of the substrate is higher than the etching rate of the outer peripheral surface of the substrate, control is performed so that the hard mask 102a on the wafer central surface 200a is thicker than the hard mask 102b in the lot n process. To do.

具体的な制御としては、成膜装置2000のセンターゾーンヒータ213aの温度をアウトゾーンヒータ213bの温度よりも高くした状態で処理ガス供給工程(S106)を実施し、膜厚分布が所定の範囲内となるよう温度分布を制御する。より良くは、Wnを処理する際のアウトゾーン231bの温度は、Wmを処理した際の温度を維持する。また、Wnを処理する際のセンターゾーンヒータ231aの温度は、Wmを処理した際の温度よりも高くする。   Specifically, the processing gas supply step (S106) is performed in a state where the temperature of the center zone heater 213a of the film forming apparatus 2000 is higher than the temperature of the out zone heater 213b, and the film thickness distribution is within a predetermined range. The temperature distribution is controlled so that More preferably, the temperature of the out zone 231b when processing Wn maintains the temperature when processing Wm. Further, the temperature of the center zone heater 231a when processing Wn is set higher than the temperature when processing Wm.

前述のように、ウエハ温度が高いとガスの反応が促進され、ハードマスク102の成膜レートが高くなる。従ってハードマスク102aの厚みはハードマスク102bより厚くなる。このとき、ハードマスク101a、ハードマスク101bの厚さは、少なくとも所定のエッチング時間においてPoly−Si膜101が露出しない程度の厚みとする。 As described above, when the wafer temperature is high, the gas reaction is promoted, and the film formation rate of the hard mask 102 is increased. Therefore, the hard mask 102a is thicker than the hard mask 102b. At this time, the thicknesses of the hard mask 101a and the hard mask 101b are set such that the Poly-Si film 101 is not exposed at least for a predetermined etching time.

好ましくは、ハードマスク102aの厚みをHa2、ハードマスク102bの厚みをH2、エッチング処理時間をtとしたとき、「Ha2 > Ra2 × t」、「Hb2 > Rb2 × t」の関係となることが望ましい。このような関係とすることで、ウエハ中心面のエッチングレートが外周より高いとしても、より確実にハードマスクのオーバーエッチングやアンダーカットを防ぐことができる。従って、ウエハ面内において、均一なゲート電極幅とすることができる。   Preferably, when the thickness of the hard mask 102a is Ha2, the thickness of the hard mask 102b is H2, and the etching processing time is t, the relationship of “Ha2> Ra2 × t” and “Hb2> Rb2 × t” is desirable. . With such a relationship, even if the etching rate of the wafer center plane is higher than the outer periphery, overetching or undercutting of the hard mask can be prevented more reliably. Therefore, a uniform gate electrode width can be obtained in the wafer surface.

このように、本発明によれば、前のロット(ロットm)でエッチング工程後のPoly−Si膜の幅にばらつきがあったとしても、後のロット(ロットn)ではロットmのエッチング情報に基づいてハードマスクの膜厚を調整するので、Poly−Si膜の幅を所望の範囲内に収めることができる。   Thus, according to the present invention, even if there is a variation in the width of the Poly-Si film after the etching process in the previous lot (lot m), the etching information of lot m is included in the subsequent lot (lot n). Since the thickness of the hard mask is adjusted based on this, the width of the Poly-Si film can be kept within a desired range.

尚、以上の実施例では、ウエハ200の中心面、外周面に分けて説明したが、それに限るものではなく、径方向に対してより細分化した領域でウエハ温度を制御しても良い。例えば、基板中心、外周、中心と外周の間等、3つの領域に分けても良い。   In the above embodiment, the description has been given by dividing the center surface and the outer peripheral surface of the wafer 200. However, the present invention is not limited to this, and the wafer temperature may be controlled in a region further subdivided in the radial direction. For example, it may be divided into three regions such as the substrate center, outer periphery, and between the center and outer periphery.

また、ここではハードマスクとして、シリコン窒化膜を例に説明したが、それに限るものではなく、例えばシリコン酸化膜でも良い。   Here, the silicon nitride film has been described as an example of the hard mask, but the present invention is not limited thereto, and for example, a silicon oxide film may be used.

また、シリコンを含むガスとしては、本実施例の説明で用いたHCDSのような熱エネルギーの影響が支配的なガスを用いることが望ましい。熱エネルギーが支配的なため、温度分布制御による膜厚制御が容易なためである。   Further, as the gas containing silicon, it is desirable to use a gas having a dominant influence of thermal energy such as HCDS used in the description of this embodiment. This is because the thermal energy is dominant and the film thickness control by the temperature distribution control is easy.

また、シリコンを含むガスとしては、HCDSの他、DCS(ジクロロシラン)、アミノシラン系の4DMAS(テトラキスジメチルアミノシラン、Si[N(CH3)2]4)、3DMAS(トリスジメチルアミノシラン、Si[N(CH3)2]3H)、2DEAS(ビスジエチルアミノシラン、Si[N(C2H5)2]2H2)、BTBAS(ビスターシャリーブチルアミノシラン、SiH2[NH(C4H9)]2)などの有機原料を用いてもよい。また、TCS(テトラクロロシラン、SiCl4)、SiH4(モノシラン)、Si2H6(ジシラン)等を用いてもよい。 As gas containing silicon, in addition to HCDS, DCS (dichlorosilane), aminosilane 4DMAS (tetrakisdimethylaminosilane, Si [N (CH3) 2] 4), 3DMAS (trisdimethylaminosilane, Si [N (CH3) ) 2] 3H), 2DEAS (bisdiethylaminosilane, Si [N (C2H5) 2] 2H2), BTBAS (Bistally butylaminosilane, SiH2 [NH (C4H9)] 2), etc. may be used. Alternatively, TCS (tetrachlorosilane, SiCl4), SiH4 (monosilane), Si2H6 (disilane), or the like may be used.

また、窒素含有ガスとしては、NH3ガス以外に、N2ガス、N2H4ガスやN3H8ガス等を用いてもよい。   Further, as the nitrogen-containing gas, N2 gas, N2H4 gas, N3H8 gas, or the like may be used in addition to NH3 gas.

また、ロットmの処理として製品化する基板で説明したが、それに限らず製品化しないダミー基板等を用いても良い。   Further, although the substrate to be commercialized has been described as the processing of the lot m, the present invention is not limited thereto, and a dummy substrate that is not commercialized may be used.

また、本実施形態では二種類のガスを基板上で反応させて膜を形成する手法を例にして説明したがそれに限るものではなく、成膜が可能であれば例えば1種類のガス、もしくは3種類以上のガスを用いても良い。   In this embodiment, the method of forming a film by reacting two kinds of gases on a substrate has been described as an example. However, the present invention is not limited to this. For example, if a film can be formed, one kind of gas or 3 More than one kind of gas may be used.

更には、本実施形態では二種類のガスが処理空間内に同時に存在するようにガスを供給していたが、それに限るものではなく、例えば処理空間に交互にガスを供給しても良い。 Furthermore, in this embodiment, the gas is supplied so that two kinds of gases exist in the processing space at the same time. However, the present invention is not limited to this. For example, the gas may be supplied alternately to the processing space.

<本発明の好ましい態様>
以下、本発明の好ましい態様について付記する。
<付記1>
本発明の一態様によれば、
処理室と、
前記処理室にハードマスク形成ガスを供給するガス供給部と、
被エッチング膜が形成された第nロットの基板Wnが載置される基板載置部と、
前記基板載置部に内包された加熱部と、
前記第nロットより前に処理された第mロットの基板Wmのエッチング情報に基づいて前記加熱部の温度分布を制御する制御部と
を有する基板処理装置が提供される。
<Preferred embodiment of the present invention>
Hereinafter, preferred embodiments of the present invention will be additionally described.
<Appendix 1>
According to one aspect of the invention,
A processing chamber;
A gas supply unit for supplying a hard mask forming gas to the processing chamber;
A substrate mounting portion on which a substrate Wn of the nth lot on which the film to be etched is formed is mounted;
A heating unit included in the substrate mounting unit;
There is provided a substrate processing apparatus including a control unit that controls temperature distribution of the heating unit based on etching information of the substrate Wm of the m-th lot processed before the n-th lot.

<付記2>
本発明の他の態様によれば、
前記基板Wmのエッチング情報が、基板の中心である中心面と、前記中心面の外周である外周面とにおけるエッチングレート情報か、もしくは前記中心面と前記外周面とにおける被エッチング膜の幅の情報である付記1に記載の基板処理装置が提供される。
<Appendix 2>
According to another aspect of the invention,
The etching information of the substrate Wm is etching rate information on the central plane that is the center of the substrate and the outer peripheral surface that is the outer periphery of the central surface, or information on the width of the etching target film on the central surface and the outer peripheral surface. The substrate processing apparatus according to Supplementary Note 1 is provided.

<付記3>
本発明の更に他の態様によれば、
前記基板Wmのエッチング情報が、前記外周面のエッチングレートが前記中心面のエッチングレートよりも高いことを示す情報である場合、前記基板Wnに形成されるハードマスク膜の前記外周面の膜厚が、前記中心面の膜厚よりも厚くなるよう前記温度分布を制御する
付記2に記載の基板処理装置が提供される。
<Appendix 3>
According to yet another aspect of the invention,
When the etching information of the substrate Wm is information indicating that the etching rate of the outer peripheral surface is higher than the etching rate of the central surface, the film thickness of the outer peripheral surface of the hard mask film formed on the substrate Wn is The substrate processing apparatus according to appendix 2, wherein the temperature distribution is controlled to be thicker than the film thickness of the central plane.

<付記4>
本発明の更に他の態様によれば、
前記加熱部は、前記中心面を加熱するセンターゾーンヒータと、前記外周面を加熱するアウトゾーンヒータとを有し、
前記温度分布を制御する際、前記アウターゾーンヒータの温度をセンターゾーンヒータの温度よりも高くするよう制御する付記3に記載の基板処理装置が提供される。
<Appendix 4>
According to yet another aspect of the invention,
The heating unit includes a center zone heater that heats the center surface, and an out zone heater that heats the outer peripheral surface,
4. The substrate processing apparatus according to appendix 3, wherein when controlling the temperature distribution, the temperature of the outer zone heater is controlled to be higher than the temperature of the center zone heater.

<付記5>
本発明の更に他の態様によれば、
前記温度分布を制御する際、前記基板Wnのハードマスク膜を形成する際の前記アウターゾーンヒータの温度を、前記基板Wmでハードマスク膜を形成した際の温度よりも高くなるよう制御する付記4記載の基板処理装置が提供される
<Appendix 5>
According to yet another aspect of the invention,
When controlling the temperature distribution, the temperature of the outer zone heater when forming the hard mask film on the substrate Wn is controlled to be higher than the temperature when forming the hard mask film on the substrate Wm. A substrate processing apparatus is provided

<付記6>
本発明の更に他の態様によれば、
前記温度分布を制御する際、前記センターゾーンヒータの温度が前記基板Wmでハードマスク膜を形成した際の温度を維持するよう制御する付記5記載の基板処理装置が提供される。
<Appendix 6>
According to yet another aspect of the invention,
The substrate processing apparatus according to appendix 5, wherein when controlling the temperature distribution, the temperature of the center zone heater is controlled to maintain the temperature when the hard mask film is formed on the substrate Wm.

<付記7>
本発明の更に他の態様によれば、
前記基板Wmのエッチング情報が、前記外周面における被エッチング膜の幅が前記中心面よりも狭いことを示す情報である場合、前記基板Wnに形成されるハードマスク膜の基板外周の膜厚が、基板外周面の膜厚よりも厚くなるよう前記温度分布を制御する
付記2記載の基板処理装置が提供される。
<Appendix 7>
According to yet another aspect of the invention,
When the etching information of the substrate Wm is information indicating that the width of the film to be etched on the outer peripheral surface is narrower than the center surface, the thickness of the substrate outer periphery of the hard mask film formed on the substrate Wn is: The substrate processing apparatus according to appendix 2, wherein the temperature distribution is controlled to be thicker than the film thickness of the outer peripheral surface of the substrate.

<付記8>
本発明の更に他の態様によれば、
前記加熱部は、前記中心面を加熱するセンターゾーンヒータと、前記外周面を加熱するアウトゾーンヒータとを有し、
前記温度分布を制御する際、前記アウトゾーンヒータの温度を前記センターゾーンヒータの温度よりも高くするよう制御する付記7記載の基板処理装置が提供される。
<Appendix 8>
According to yet another aspect of the invention,
The heating unit includes a center zone heater that heats the center surface, and an out zone heater that heats the outer peripheral surface,
The substrate processing apparatus according to appendix 7, wherein when controlling the temperature distribution, the temperature of the out zone heater is controlled to be higher than the temperature of the center zone heater.

<付記9>
本発明の更に他の態様によれば、
前記温度分布を制御する際、前記アウトゾーンヒータ温度が前記基板Wmでハードマスク膜を形成した際の温度よりも高くなるよう制御する
付記8記載の基板処理装置が提供される。
<Appendix 9>
According to yet another aspect of the invention,
The substrate processing apparatus according to appendix 8, wherein when controlling the temperature distribution, the out zone heater temperature is controlled to be higher than a temperature when a hard mask film is formed on the substrate Wm.

<付記10>
本発明の更に他の態様によれば、
前記温度分布を制御する際、前記センターゾーンヒータの温度が、前記基板Wmでハードマスク膜を形成した際の温度を維持するよう制御する付記9記載の基板処理装置が提供される。
<Appendix 10>
According to yet another aspect of the invention,
The substrate processing apparatus according to appendix 9, wherein, when controlling the temperature distribution, the temperature of the center zone heater is controlled so as to maintain the temperature when the hard mask film is formed on the substrate Wm.

<付記11>
本発明の更に他の態様によれば、
前記基板Wmのエッチング情報が、前記外周面におけるエッチングレートが前記中心面におけるエッチングレートよりも小さいことを示す情報である場合、前記基板Wnに形成されるハードマスク膜の前記中心面の膜厚が前記外周面の膜厚よりも厚くなるよう前記温度分布を制御する付記2に記載の基板処理装置が提供される。
<Appendix 11>
According to yet another aspect of the invention,
When the etching information of the substrate Wm is information indicating that the etching rate on the outer peripheral surface is smaller than the etching rate on the central surface, the film thickness of the central surface of the hard mask film formed on the substrate Wn is The substrate processing apparatus according to attachment 2, wherein the temperature distribution is controlled to be thicker than the film thickness of the outer peripheral surface.

<付記12>
本発明の更に他の態様によれば、
前記加熱部は、前記中心面を加熱するセンターゾーンヒータと、前記外周面を加熱するアウトゾーンヒータとを有し、
前記温度分布を制御する際、前記センターゾーンヒータの温度をアウトゾーンヒータの温度よりも高くするよう制御する付記11に記載の基板処理装置が提供される。
<Appendix 12>
According to yet another aspect of the invention,
The heating unit includes a center zone heater that heats the center surface, and an out zone heater that heats the outer peripheral surface,
The substrate processing apparatus according to appendix 11, wherein when controlling the temperature distribution, the temperature of the center zone heater is controlled to be higher than the temperature of the out zone heater.

<付記13>
本発明の更に他の態様によれば、
前記温度分布を制御する際、前記センターゾーンヒータの温度が、前記基板Wmでハードマスク膜を形成した際の温度よりも高くなるよう制御する付記12に記載の基板処理装置が提供される。
<Appendix 13>
According to yet another aspect of the invention,
The substrate processing apparatus according to appendix 12, wherein when controlling the temperature distribution, the temperature of the center zone heater is controlled to be higher than the temperature when the hard mask film is formed with the substrate Wm.

<付記14>
本発明の更に他の態様によれば、
前記温度分布を制御する際、前記アウターゾーンヒータの温度が、前記基板Wmでハードマスク膜を形成した際の温度を維持するよう制御する請求項13に記載の基板処理装置が提供される。
<Appendix 14>
According to yet another aspect of the invention,
The substrate processing apparatus according to claim 13, wherein when controlling the temperature distribution, the temperature of the outer zone heater is controlled to maintain the temperature when a hard mask film is formed on the substrate Wm.

<付記15>
本発明の更に他の態様によれば、
前記基板Wmのエッチング情報が、前記外周面における被エッチング膜の幅が前記中心面における幅よりも広いことを示す情報である場合、前記基板Wnに形成されるハードマスク膜の前記中心面の膜厚が前記外周面の膜厚よりも厚くなるよう前記温度分布を制御する
付記2に記載の基板処理装置が提供される。
<Appendix 15>
According to yet another aspect of the invention,
When the etching information on the substrate Wm is information indicating that the width of the film to be etched on the outer peripheral surface is wider than the width on the central surface, the film on the central surface of the hard mask film formed on the substrate Wn The substrate processing apparatus according to attachment 2, wherein the temperature distribution is controlled so that the thickness is larger than the film thickness of the outer peripheral surface.

<付記16>
本発明の更に他の態様によれば、
前記温度分布を制御する際、前記センターゾーンヒータの温度を前記アウターゾーンヒータの温度よりも高くするよう制御する付記15に記載の基板処理装置が提供される。
<Appendix 16>
According to yet another aspect of the invention,
The substrate processing apparatus according to supplementary note 15, wherein the temperature of the center zone heater is controlled to be higher than the temperature of the outer zone heater when the temperature distribution is controlled.

<付記17>
本発明の更に他の態様によれば、
前記温度分布を制御する際、前記センターゾーンヒータの温度が、前記基板Wmでハードマスク膜を形成した際の温度よりも高くなるよう制御する付記17に記載の基板処理装置が提供される。
<Appendix 17>
According to yet another aspect of the invention,
The substrate processing apparatus according to appendix 17, wherein when controlling the temperature distribution, the temperature of the center zone heater is controlled to be higher than the temperature when the hard mask film is formed with the substrate Wm.

<付記18>
本発明の更に他の態様によれば、
前記温度分布を制御する際、前記アウターゾーンヒータの温度が、前記基板Wmでハードマスク膜を形成した際の温度を維持するよう制御する付記17に記載の基板処理装置が提供される。
<Appendix 18>
According to yet another aspect of the invention,
18. The substrate processing apparatus according to appendix 17, wherein when controlling the temperature distribution, the temperature of the outer zone heater is controlled to maintain the temperature when a hard mask film is formed on the substrate Wm.

<付記19>
本発明の更に他の態様によれば、
前記ハードマスク膜はシリコン窒化膜である付記1から18の内、いずれか一項に記載の基板処理装置が提供される。
<Appendix 19>
According to yet another aspect of the invention,
19. The substrate processing apparatus according to any one of appendices 1 to 18, wherein the hard mask film is a silicon nitride film.

<付記20>
本発明の更に他の態様によれば、
前記被エッチング膜は、ゲート電極層である請求項1から19の内、いずれか一項に記載の基板処理装置。
<Appendix 20>
According to yet another aspect of the invention,
The substrate processing apparatus according to claim 1, wherein the film to be etched is a gate electrode layer.

<付記21>
本発明の更に他の態様によれば、
処理室に内包された基板載置部に、被エッチング膜が形成された第nロットの基板Wnを載置する工程と、
前記第nロットより前に処理された第mロットの基板Wmのエッチング情報に基づいて、前記基板Wnの面内温度分布を制御する工程と、
前記処理室にハードマスク形成ガスを供給する工程と、
を有する半導体装置の製造方法が提供される。
<Appendix 21>
According to yet another aspect of the invention,
A step of placing the n-th lot of substrates Wn on which a film to be etched is formed on the substrate placement portion contained in the processing chamber;
Controlling the in-plane temperature distribution of the substrate Wn based on etching information of the substrate Wm of the m-th lot processed before the n-th lot;
Supplying a hard mask forming gas to the processing chamber;
A method of manufacturing a semiconductor device having the above is provided.

<付記22>
本発明の更に他の態様によれば、
処理室に内包された基板載置部に、被エッチング膜が形成された第nロットの基板Wnを載置する工程と、
前記第nロットより前に処理された第mロットの基板Wmのエッチング情報に基づいて、前記基板Wnの面内温度分布を制御する工程と、
前記処理室にハードマスク形成ガスを供給する工程と
をコンピュータに実行させるプログラムが提供される。
<Appendix 22>
According to yet another aspect of the invention,
A step of placing the n-th lot of substrates Wn on which a film to be etched is formed on the substrate placement portion contained in the processing chamber;
Controlling the in-plane temperature distribution of the substrate Wn based on etching information of the substrate Wm of the m-th lot processed before the n-th lot;
A program for causing a computer to execute a step of supplying a hard mask forming gas to the processing chamber is provided.

<付記23>
本発明の更に他の態様によれば、
処理室に内包された基板載置部に、被エッチング膜が形成された第nロットの基板Wnを載置する工程と、
前記第nロットより前に処理された第mロットの基板Wmのエッチング情報に基づいて、前記基板Wnの面内温度分布を制御する工程と、
前記処理室にハードマスク形成ガスを供給する工程と
をコンピュータに実行させるプログラムが格納されたコンピュータ読み取り可能な記憶媒体が提供される。
<Appendix 23>
According to yet another aspect of the invention,
A step of placing the n-th lot of substrates Wn on which a film to be etched is formed on the substrate placement portion contained in the processing chamber;
Controlling the in-plane temperature distribution of the substrate Wn based on etching information of the substrate Wm of the m-th lot processed before the n-th lot;
A computer-readable storage medium storing a program for causing a computer to execute a step of supplying a hard mask forming gas to the processing chamber is provided.

2000・・・基板処理装置
200・・・ウエハ(基板)
201・・・処理空間
209・・・排気バッファ室
211・・・基板載置面
222・・・排気管
230・・・シャワーヘッド
2000 ... substrate processing apparatus 200 ... wafer (substrate)
201 ... Processing space 209 ... Exhaust buffer chamber 211 ... Substrate mounting surface 222 ... Exhaust pipe 230 ... Shower head

Claims (19)

処理室と、
前記処理室にハードマスク形成ガスを供給するガス供給部と、
被エッチング膜が形成された第nロットの基板Wnが載置される基板載置部と、
前記基板載置部に内包された加熱部と、
前記第nロットより前に処理された第mロットの基板Wmのエッチング情報に基づいて前記加熱部の温度分布を制御する制御部と
を有する基板処理装置。
A processing chamber;
A gas supply unit for supplying a hard mask forming gas to the processing chamber;
A substrate mounting portion on which a substrate Wn of the nth lot on which the film to be etched is formed is mounted;
A heating unit included in the substrate mounting unit;
A substrate processing apparatus comprising: a control unit that controls temperature distribution of the heating unit based on etching information of the substrate Wm of the m-th lot processed before the n-th lot.
前記基板Wmのエッチング情報が、基板の中心である中心面と前記中心面の外周である外周面とにおけるエッチングレート情報か、もしくは前記中心面と前記外周面とにおける被エッチング膜の幅の情報である請求項1に記載の基板処理装置。   The etching information of the substrate Wm is the etching rate information on the central plane that is the center of the substrate and the outer peripheral surface that is the outer periphery of the central plane, or the information on the width of the film to be etched on the central plane and the outer peripheral surface. The substrate processing apparatus according to claim 1. 前記基板Wmのエッチング情報が、前記外周面のエッチングレートが前記中心面のエッチングレートよりも高いことを示す情報である場合、前記基板Wnに形成されるハードマスク膜の前記外周面の膜厚が、前記中心面の膜厚よりも厚くなるよう前記温度分布を制御する
請求項1に記載の基板処理装置。
When the etching information of the substrate Wm is information indicating that the etching rate of the outer peripheral surface is higher than the etching rate of the central surface, the film thickness of the outer peripheral surface of the hard mask film formed on the substrate Wn is The substrate processing apparatus according to claim 1, wherein the temperature distribution is controlled to be thicker than a film thickness of the central plane.
前記加熱部は、前記中心面を加熱するセンターゾーンヒータと、前記外周面を加熱するアウトゾーンヒータとを有し、
前記温度分布を制御する際、前記アウターゾーンヒータの温度をセンターゾーンヒータの温度よりも高くするよう制御する請求項3に記載の基板処理装置。
The heating unit includes a center zone heater that heats the center surface, and an out zone heater that heats the outer peripheral surface,
4. The substrate processing apparatus according to claim 3, wherein when controlling the temperature distribution, the temperature of the outer zone heater is controlled to be higher than the temperature of the center zone heater.
前記温度分布を制御する際、前記基板Wnのハードマスク膜を形成する際の前記アウターゾーンヒータの温度を、前記基板Wmでハードマスク膜を形成した際の温度よりも高くなるよう制御する請求項4に記載の基板処理装置。   The temperature of the outer zone heater when forming the hard mask film on the substrate Wn is controlled to be higher than the temperature when forming the hard mask film on the substrate Wm when controlling the temperature distribution. 4. The substrate processing apparatus according to 4. 前記基板Wmのエッチング情報が、前記外周面における被エッチング膜の幅が前記中心面よりも狭いことを示す情報である場合、前記基板Wnに形成されるハードマスク膜の基板外周の膜厚が、基板外周面の膜厚よりも厚くなるよう前記温度分布を制御する請求項2に記載の基板処理装置。   When the etching information of the substrate Wm is information indicating that the width of the film to be etched on the outer peripheral surface is narrower than the center surface, the thickness of the substrate outer periphery of the hard mask film formed on the substrate Wn is: The substrate processing apparatus according to claim 2, wherein the temperature distribution is controlled to be thicker than a film thickness of the substrate outer peripheral surface. 前記加熱部は、前記中心面を加熱するセンターゾーンヒータと、前記外周面を加熱するアウトゾーンヒータとを有し、
前記温度分布を制御する際、前記アウトゾーンヒータの温度を前記センターゾーンヒータの温度よりも高くするよう制御する請求項6記載の基板処理装置。
The heating unit includes a center zone heater that heats the center surface, and an out zone heater that heats the outer peripheral surface,
The substrate processing apparatus according to claim 6, wherein when controlling the temperature distribution, the temperature of the out zone heater is controlled to be higher than the temperature of the center zone heater.
前記温度分布を制御する際、前記アウトゾーンヒータ温度が前記基板Wmでハードマスク膜を形成した際の温度よりも高くなるよう制御する請求項7に記載の基板処理装置。   The substrate processing apparatus according to claim 7, wherein when controlling the temperature distribution, the out-zone heater temperature is controlled to be higher than a temperature when a hard mask film is formed on the substrate Wm. 前記基板Wmのエッチング情報が、前記外周面におけるエッチングレートが前記中心面におけるエッチングレートよりも小さいことを示す情報である場合、前記基板Wnに形成されるハードマスク膜の前記中心面の膜厚が前記外周面の膜厚よりも厚くなるよう前記温度分布を制御する
請求項2に記載の基板処理装置。
When the etching information of the substrate Wm is information indicating that the etching rate on the outer peripheral surface is smaller than the etching rate on the central surface, the film thickness of the central surface of the hard mask film formed on the substrate Wn is The substrate processing apparatus of Claim 2. The said temperature distribution is controlled so that it may become thicker than the film thickness of the said outer peripheral surface.
前記加熱部は、前記中心面を加熱するセンターゾーンヒータと、前記外周面を加熱するアウトゾーンヒータとを有し、
前記温度分布を制御する際、前記センターゾーンヒータの温度をアウトゾーンヒータの温度よりも高くするよう制御する請求項9に記載の基板処理装置。
The heating unit includes a center zone heater that heats the center surface, and an out zone heater that heats the outer peripheral surface,
10. The substrate processing apparatus according to claim 9, wherein when controlling the temperature distribution, the temperature of the center zone heater is controlled to be higher than the temperature of the out zone heater.
前記温度分布を制御する際、前記センターゾーンヒータの温度が、前記基板Wmでハードマスク膜を形成した際の温度よりも高くなるよう制御する請求項10に記載の基板処理装置。   11. The substrate processing apparatus according to claim 10, wherein, when controlling the temperature distribution, the temperature of the center zone heater is controlled to be higher than a temperature when a hard mask film is formed on the substrate Wm. 前記基板Wmのエッチング情報が、前記外周面における被エッチング膜の幅が前記中心面における幅よりも広いことを示す情報である場合、前記基板Wnに形成されるハードマスク膜の前記中心面の膜厚が前記外周面の膜厚よりも厚くなるよう前記温度分布を制御する
請求項2に記載の基板処理装置。
When the etching information on the substrate Wm is information indicating that the width of the film to be etched on the outer peripheral surface is wider than the width on the central surface, the film on the central surface of the hard mask film formed on the substrate Wn The substrate processing apparatus according to claim 2, wherein the temperature distribution is controlled so that a thickness is larger than a film thickness of the outer peripheral surface.
前記温度分布を制御する際、前記センターゾーンヒータの温度を前記アウターゾーンヒータの温度よりも高くするよう制御する請求項12記載の基板処理装置。   The substrate processing apparatus according to claim 12, wherein when controlling the temperature distribution, the temperature of the center zone heater is controlled to be higher than the temperature of the outer zone heater. 前記温度分布を制御する際、前記センターゾーンヒータの温度が、前記基板Wmでハードマスク膜を形成した際の温度よりも高くなるよう制御する請求項14に記載の基板処理装置。   The substrate processing apparatus according to claim 14, wherein when controlling the temperature distribution, the temperature of the center zone heater is controlled to be higher than a temperature when a hard mask film is formed on the substrate Wm. 前記温度分布を制御する際、前記アウターゾーンヒータの温度が、前記基板Wmでハードマスク膜を形成した際の温度を維持するよう制御する請求項14に記載の基板処理装置。   The substrate processing apparatus according to claim 14, wherein when controlling the temperature distribution, the temperature of the outer zone heater is controlled so as to maintain a temperature when a hard mask film is formed on the substrate Wm. 前記ハードマスク膜はシリコン窒化膜である請求項1から15の内、いずれか一項に記載の基板処理装置。   The substrate processing apparatus according to claim 1, wherein the hard mask film is a silicon nitride film. 前記被エッチング膜は、ゲート電極層である請求項1から16の内、いずれか一項に記載の基板処理装置。   The substrate processing apparatus according to claim 1, wherein the film to be etched is a gate electrode layer. 処理室に内包された基板載置部に、被エッチング膜が形成された第nロットの基板Wnを載置する工程と、
前記第nロットより前に処理された第mロットの基板Wmのエッチング情報に基づいて、前記基板Wnの面内温度分布を制御する工程と、
前記処理室にハードマスク形成ガスを供給する工程と、
を有する半導体装置の製造方法。
A step of placing the n-th lot of substrates Wn on which a film to be etched is formed on the substrate placement portion contained in the processing chamber;
Controlling the in-plane temperature distribution of the substrate Wn based on etching information of the substrate Wm of the m-th lot processed before the n-th lot;
Supplying a hard mask forming gas to the processing chamber;
A method for manufacturing a semiconductor device comprising:
処理室に内包された基板載置部に、被エッチング膜が形成された第nロットの基板Wnを載置する工程と、
前記第nロットより前に処理された第mロットの基板Wmのエッチング情報に基づいて、前記基板Wnの面内温度分布を制御する工程と、
前記処理室にハードマスク形成ガスを供給する工程と
をコンピュータに実行させるプログラム。

A step of placing the n-th lot of substrates Wn on which a film to be etched is formed on the substrate placement portion contained in the processing chamber;
Controlling the in-plane temperature distribution of the substrate Wn based on etching information of the substrate Wm of the m-th lot processed before the n-th lot;
A program for causing a computer to execute a step of supplying a hard mask forming gas to the processing chamber.

JP2015032843A 2014-09-30 2015-02-23 Substrate processing apparatus, semiconductor device manufacturing method, and program Active JP6046757B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201510111989.8A CN106033735B (en) 2014-09-30 2015-03-13 The manufacturing method of substrate processing device and semiconductor devices
TW104108310A TWI574311B (en) 2014-09-30 2015-03-16 A substrate processing apparatus, a manufacturing method and a program for a semiconductor device
KR1020150041268A KR101664153B1 (en) 2014-09-30 2015-03-25 Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US14/669,764 US9355866B2 (en) 2014-09-30 2015-03-26 Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014199910 2014-09-30
JP2014199910 2014-09-30

Publications (2)

Publication Number Publication Date
JP2016072596A true JP2016072596A (en) 2016-05-09
JP6046757B2 JP6046757B2 (en) 2016-12-21

Family

ID=55864994

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015032843A Active JP6046757B2 (en) 2014-09-30 2015-02-23 Substrate processing apparatus, semiconductor device manufacturing method, and program

Country Status (4)

Country Link
JP (1) JP6046757B2 (en)
KR (1) KR101664153B1 (en)
CN (1) CN106033735B (en)
TW (1) TWI574311B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017212331A (en) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 Method for processing processed body
JP2018056281A (en) * 2016-09-28 2018-04-05 株式会社日立国際電気 Method of manufacturing semiconductor device, substrate processing apparatus, and program
JP2022124285A (en) * 2021-02-15 2022-08-25 株式会社Kokusai Electric Substrate processing apparatus, manufacturing method for semiconductor device, and program

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6419762B2 (en) * 2016-09-06 2018-11-07 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
KR101977386B1 (en) * 2017-06-30 2019-05-13 무진전자 주식회사 Apparatus for etching wafer and method for using the same
CN111074242A (en) * 2018-10-19 2020-04-28 长鑫存储技术有限公司 Adjusting method of heating device, heating device and chemical vapor deposition equipment

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177056A (en) * 1992-12-09 1994-06-24 Hitachi Ltd Gas treatment device
JPH09171953A (en) * 1995-12-20 1997-06-30 Sony Corp Heater and heating method for substrate, semiconductor integrated circuit device, photomask and liquid crystal display
JP2009081420A (en) * 2007-09-07 2009-04-16 Nec Electronics Corp Method of manufacturing semiconductor device
JP2010258145A (en) * 2009-04-23 2010-11-11 Toshiba Corp Method of manufacturing semiconductor device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030000922A1 (en) * 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
JP4791034B2 (en) * 2004-12-28 2011-10-12 東京エレクトロン株式会社 Manufacturing method of semiconductor device
US7906440B2 (en) * 2005-02-01 2011-03-15 Tokyo Electron Limited Semiconductor device manufacturing method and plasma oxidation method
JP2007096189A (en) * 2005-09-30 2007-04-12 Epson Imaging Devices Corp Method for managing plasma cvd device
US8053256B2 (en) * 2008-12-31 2011-11-08 Texas Instruments Incorporated Variable thickness single mask etch process
US20120074126A1 (en) * 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
CN102376541A (en) * 2010-08-12 2012-03-14 上海华虹Nec电子有限公司 Method for adjusting uniformity of critical dimensions in integrated circuit manufacture

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177056A (en) * 1992-12-09 1994-06-24 Hitachi Ltd Gas treatment device
JPH09171953A (en) * 1995-12-20 1997-06-30 Sony Corp Heater and heating method for substrate, semiconductor integrated circuit device, photomask and liquid crystal display
JP2009081420A (en) * 2007-09-07 2009-04-16 Nec Electronics Corp Method of manufacturing semiconductor device
JP2010258145A (en) * 2009-04-23 2010-11-11 Toshiba Corp Method of manufacturing semiconductor device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017212331A (en) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 Method for processing processed body
WO2017204159A1 (en) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 Method for processing object to be processed
CN109155252A (en) * 2016-05-25 2019-01-04 东京毅力科创株式会社 The method for handling handled object
TWI753913B (en) * 2016-05-25 2022-02-01 日商東京威力科創股份有限公司 Method for processing target body
CN109155252B (en) * 2016-05-25 2023-01-10 东京毅力科创株式会社 Method for processing object to be processed
JP2018056281A (en) * 2016-09-28 2018-04-05 株式会社日立国際電気 Method of manufacturing semiconductor device, substrate processing apparatus, and program
JP2022124285A (en) * 2021-02-15 2022-08-25 株式会社Kokusai Electric Substrate processing apparatus, manufacturing method for semiconductor device, and program
JP7351865B2 (en) 2021-02-15 2023-09-27 株式会社Kokusai Electric Substrate processing equipment, semiconductor device manufacturing method and program

Also Published As

Publication number Publication date
TW201612961A (en) 2016-04-01
CN106033735B (en) 2019-01-18
JP6046757B2 (en) 2016-12-21
TWI574311B (en) 2017-03-11
KR101664153B1 (en) 2016-10-10
KR20160038688A (en) 2016-04-07
CN106033735A (en) 2016-10-19

Similar Documents

Publication Publication Date Title
JP6046757B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
KR101965145B1 (en) Method of manufacturing semiconductor device, non-transitory computer-readable recording medium and substrate processing apparatus
JP5800964B1 (en) Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
CN109545701B (en) Method for manufacturing semiconductor device, recording medium, and substrate processing apparatus
JP5775633B1 (en) Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
KR20170001587A (en) Method of manufacturing semiconductor device, substrate processing apparatus, storage medium and program
KR101939584B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
TWI660472B (en) Substrate processing device, method for manufacturing semiconductor device, and recording medium
CN108531887B (en) Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20190244790A1 (en) Method of manufacturing semiconductor device
TWI589727B (en) Method and apparatus for forming silicon oxide film
KR102111210B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US10818476B2 (en) Substrate processing apparatus
US9355866B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR20180077005A (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
KR101908187B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
EP4117023A1 (en) Method of processing substrate, substrate processing apparatus, and recording medium
US20240222086A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
CN108305878B (en) Method for manufacturing semiconductor device and substrate processing apparatus
JP2023034124A (en) Method for manufacturing semiconductor device, substrate processing apparatus and program
KR20220040391A (en) Substrate processing apparatus, method of manufacturing semiconductor device, substrate processing method and program
JP2012080109A (en) Method and apparatus for forming amorphous carbon film

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161021

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161117

R150 Certificate of patent or registration of utility model

Ref document number: 6046757

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250