JP2015528196A - Structure of continuous and discontinuous base region of high efficiency back contact solar cell and method of forming the same - Google Patents

Structure of continuous and discontinuous base region of high efficiency back contact solar cell and method of forming the same Download PDF

Info

Publication number
JP2015528196A
JP2015528196A JP2015515163A JP2015515163A JP2015528196A JP 2015528196 A JP2015528196 A JP 2015528196A JP 2015515163 A JP2015515163 A JP 2015515163A JP 2015515163 A JP2015515163 A JP 2015515163A JP 2015528196 A JP2015528196 A JP 2015528196A
Authority
JP
Japan
Prior art keywords
solar cell
layer
base
emitter
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015515163A
Other languages
Japanese (ja)
Other versions
JP2015528196A5 (en
Inventor
アナンド デシュパンデ
アナンド デシュパンデ
パワン カプール
パワン カプール
ヴィレンドラ ヴイ ラナ
ヴィレンドラ ヴイ ラナ
メールダッド エム モスレヒ
メールダッド エム モスレヒ
ショーン エム セウター
ショーン エム セウター
ヘザー デシェイザー
ヘザー デシェイザー
スワロープ コメラ
スワロープ コメラ
プラナヴ アンバラガン
プラナヴ アンバラガン
ベンジャミン イー ラトル
ベンジャミン イー ラトル
ソレーヌ クータン
ソレーヌ クータン
Original Assignee
ソレクセル、インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ソレクセル、インコーポレイテッド filed Critical ソレクセル、インコーポレイテッド
Publication of JP2015528196A publication Critical patent/JP2015528196A/en
Publication of JP2015528196A5 publication Critical patent/JP2015528196A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/022425Electrodes for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • H01L31/022441Electrode arrangements specially adapted for back-contact solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0682Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells back-junction, i.e. rearside emitter, solar cells, e.g. interdigitated base-emitter regions back-junction cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

ソーラーセルの多レベルメタライゼーションに関する作製方法及び構造が記載されている。1つの実施形態において、裏面コンタクトソーラーセルは、パターン形成エミッタ及び非入れ子のベース領域を形成するための受光前面及び裏面を有する基板を含む。櫛形ドープエミッタ及びベース領域は、結晶半導体基板の裏面上に形成される。少なくともドープ層及び非ドープキャッピング層の組み合わせを含むパターン形成電気絶縁層スタックは、パターン形成ドープエミッタ領域及びベース領域上に形成される。エミッタ領域に接触するエミッタメタライゼーション電極とベース領域に接触する非入れ子のベースメタライゼーション電極とを含むコンタクトメタライゼーションパターンが形成され、非入れ子のベースメタライゼーション電極は、ベース領域を越えてソーラーセルにおいて電気シャントを生じることなく前述のパターン形成絶縁体の少なくとも一部分と重なることができる。【選択図】 図2AFabrication methods and structures for multilevel metallization of solar cells are described. In one embodiment, the back contact solar cell includes a substrate having a light receiving front and back to form a patterned emitter and a non-nested base region. The comb doped emitter and base region are formed on the back surface of the crystalline semiconductor substrate. A patterned electrically insulating layer stack including a combination of at least a doped layer and an undoped capping layer is formed on the patterned doped emitter region and the base region. A contact metallization pattern is formed that includes an emitter metallization electrode that contacts the emitter region and a non-nested base metallization electrode that contacts the base region, and the non-nested base metallization electrode extends beyond the base region in the solar cell. It can overlap at least a portion of the aforementioned patterned insulator without causing an electrical shunt. [Selection] Figure 2A

Description

(関連出願の相互参照)
本出願は、2012年5月29日に出願された米国特許仮出願第61/658,833号、2013年4月29日に出願された米国特許仮出願第61/816,830号、及び2013年5月24日に出願された米国特許仮出願第61/827,252号に対する優先権を主張し、当該特許仮出願の全ては引用によりこれらの全体が本明細書に組み込まれる。
(Cross-reference of related applications)
This application is filed on US provisional application 61 / 658,833 filed May 29, 2012, US provisional application 61 / 816,830 filed April 29, 2013, and 2013. Claims priority to US Provisional Patent Application No. 61 / 827,252, filed May 24, 2005, all of which are hereby incorporated by reference in their entirety.

本出願は、2011年8月9日に出願された米国特許仮出願第61/521,754号及び2011年8月9日に出願された米国特許仮出願第61/521,743号に対する優先権を主張する、2012年8月9日に出願されたP.C.T出願PCT/US12/00348の35U.S.C.National Stageである2012年12月28日に出願された米国特許出願第13/807,631号の一部係属出願であり、これらの全ては引用によりこれらの全体が本明細書に組み込まれる。   This application is priority to US Provisional Application No. 61 / 521,754 filed on August 9, 2011 and US Provisional Application No. 61 / 521,743 filed on August 9, 2011. Filed on August 9, 2012. C. 35 U. of T application PCT / US12 / 00348. S. C. This is a partially pending application of US Patent Application No. 13 / 807,631, filed December 28, 2012, National Stage, all of which are incorporated herein by reference in their entirety.

(技術分野)
本開示は、一般に光起電力の電界に関する。より詳細には、本開示は、高効率の裏面コンタクト光起電力太陽電池セル(ソーラーセル)に関連する方法、アーキテクチャ、及び装置に関する。
(Technical field)
The present disclosure relates generally to photovoltaic electric fields. More particularly, the present disclosure relates to methods, architectures, and apparatus associated with high efficiency back contact photovoltaic photovoltaic cells (solar cells).

低作製コストと共に高いセル及びモジュール効率を得ることは、ソーラーセル開発及び製造において重要である。幾つかの例では、裏面コンタクト裏面接合ソーラーセルアーキテクチャ(裏面コンタクト/裏面接合又はBC/BJ)は、非常に高い変換効率を得ることができる。多くの場合、裏面コンタクトが存在することで、裏面接合ソーラーセルは、パターン形成されたエミッタ接合を有し、太陽が当たらない側(裏面)及び太陽が当たる側(前面)上に形成されたセルメタライゼーション層には、太陽光の遮るものがない最大結合を達成するためにメタライゼーションがない。   Obtaining high cell and module efficiencies with low fabrication costs is important in solar cell development and manufacturing. In some examples, a back contact back junction solar cell architecture (back contact / back junction or BC / BJ) can achieve very high conversion efficiency. In many cases, due to the presence of a back contact, a back junction solar cell has a patterned emitter junction and is formed on the non-sun-facing side (back side) and the sun-struck side (front side). There is no metallization in the metallization layer to achieve maximum coupling that is unobstructed by sunlight.

典型的な裏面コンタクト/裏面接合結晶シリコンアーキテクチャ(以後、BC/BJ)ソーラーセルにおいて、エミッタ接合及び高度にドープされたベース拡散領域は、ベースであるソーラーセル基板容積の大部分にコンタクトを提供するためにソーラーセル裏面(太陽が当たらない側)上に形成することができる。更に、高度にドープされたベース拡散領域は、コンタクト再結合を低減し、ベース金属コンタクト抵抗を低減するためにベース金属コンタクト領域の下に形成される。典型的な高効率BC/BJソーラーセルにおいて、ベース金属及びエミッタ金属は、ベース金属がたとえ酸化物によって分離されてもそれぞれエミッタ拡散部の上に延びるようにベース及びエミッタ拡散領域内でパターン形成され閉じ込められる。これは、以後、ネスト化金属アプローチと呼ばれ、この場合各種の金属は、そのそれぞれの拡散部において入れ子でされる。入れ子アプローチの利点は、それが異極性の拡散部に対する金属のシャントに耐性を与えるという点である。欠点は、ベースの最小金属幅が最小ベース拡散幅を決定づけるという点であり、この欠点は、結果として、ベース拡散をソーラーセルの裏面の比較的大きなフラクションの状態にし、エミッタフラクションの減少及び電気的遮蔽の増大を引き起こす。これは、結果的に、少数キャリアがベースの中であるがベース拡散の下で再結合しないことを保証するために、ウェーハ又はシリコン吸収体が非常に高い少数キャリア寿命(例えば、>1ミリ秒の範囲)を有することが必要とする。従って、ソーラーセルの全製造コストは、より高品質のウェーハのより高いコストに起因して高くなる。   In a typical back contact / back junction crystalline silicon architecture (hereinafter BC / BJ) solar cell, the emitter junction and the highly doped base diffusion region provide contact to the bulk of the base solar cell substrate volume. Therefore, it can be formed on the back surface of the solar cell (the side not exposed to the sun). In addition, a highly doped base diffusion region is formed below the base metal contact region to reduce contact recombination and reduce base metal contact resistance. In a typical high efficiency BC / BJ solar cell, the base metal and emitter metal are patterned in the base and emitter diffusion regions such that they extend above the emitter diffusion, respectively, even if the base metal is separated by oxide. Be trapped. This is hereinafter referred to as a nested metal approach, where the various metals are nested in their respective diffusions. The advantage of the nested approach is that it provides resistance to the metal shunt against the heteropolar diffusion. The disadvantage is that the minimum metal width of the base determines the minimum base diffusion width, which results in base diffusion becoming a relatively large fraction of the backside of the solar cell, reducing emitter fraction and electrical Causes increased shielding. This results in a very high minority carrier lifetime (eg,> 1 millisecond) for the wafer or silicon absorber to ensure that minority carriers are in the base but do not recombine under base diffusion. It is necessary to have a range of Thus, the overall manufacturing cost of solar cells is higher due to the higher cost of higher quality wafers.

従って、ソーラーセルのドープ領域及びメタライゼーションに関する作製方法及びデザインのニーズが生じている。本開示の主題によれば、ソーラーセルの非入れ子のベース拡散及びメタライゼーションのための方法、構造、及び装置が提供される。これらの改良は、これまでに開発されたソーラーセルに伴う欠点及び問題を実質的に低減又は排除する。   Accordingly, there is a need for fabrication methods and designs for doped regions and metallization of solar cells. In accordance with the presently disclosed subject matter, methods, structures, and apparatus for non-nested base diffusion and metallization of solar cells are provided. These improvements substantially reduce or eliminate the disadvantages and problems associated with previously developed solar cells.

本開示の主題の1つの態様によれば、ソーラーセルの多レベルメタライゼーションに関する作製方法及び構造が記載されている。1つの実施形態において、裏面コンタクトソーラーセルは、パターン形成エミッタ及び非入れ子のベース領域を形成するための受光前面及び裏面を有する基板を含む。櫛形ドープエミッタ及びベース領域は、結晶半導体基板の裏面上に形成される。少なくともドープ層及び非ドープキャッピング層の組み合わせを含むパターン形成電気絶縁層スタックは、パターン形成のドープエミッタ及びベース領域上に形成される。エミッタ領域に接触するエミッタメタライゼーション電極とベース領域に接触する非入れ子のベースメタライゼーション電極とを含むコンタクトメタライゼーションパターンが形成され、非入れ子のベースメタライゼーション電極は、ベース領域を越えてソーラーセルにおいて電気シャントを生じることなく前述のパターン形成絶縁体の少なくとも一部分と重なることができる。   According to one aspect of the presently disclosed subject matter, a fabrication method and structure for multilevel metallization of solar cells is described. In one embodiment, the back contact solar cell includes a substrate having a light receiving front and back to form a patterned emitter and a non-nested base region. The comb doped emitter and base region are formed on the back surface of the crystalline semiconductor substrate. A patterned electrically insulating layer stack including at least a combination of doped and undoped capping layers is formed on the patterned doped emitter and base regions. A contact metallization pattern is formed that includes an emitter metallization electrode that contacts the emitter region and a non-nested base metallization electrode that contacts the base region, and the non-nested base metallization electrode extends beyond the base region in the solar cell. It can overlap at least a portion of the aforementioned patterned insulator without causing an electrical shunt.

開示された主題のこれらの利点又は他の利点、並びに追加の新規の特徴は、本明細書で提供される明細書から明らかになるであろう。この概要の意図は、主題の包括的な記述ではなく、むしろ本主題の機能の一部の短い概観を提供することである。本明細書で提供される他のシステム、方法、特徴及び利点は、添付の図面及び詳細な説明を考察すれば、当業者には明らかになるであろう。本明細書内に含まれる全てのこのような追加のシステム、方法、特徴及び利点は、請求項の範囲内にあるものとする。   These or other advantages of the disclosed subject matter, as well as additional novel features, will be apparent from the specification provided herein. The intent of this summary is not to be a comprehensive description of the subject, but rather to provide a short overview of some of the features of the subject. Other systems, methods, features and advantages provided herein will be apparent to those of ordinary skill in the art in view of the accompanying drawings and detailed description. All such additional systems, methods, features and advantages contained within this specification are intended to be within the scope of the claims.

開示した主題の特徴、特質、及び利点は、類似の形状が類似の特徴要素を示す図面を考慮すると、以下に記載した詳細な説明からより明確になる。   The features, characteristics and advantages of the disclosed subject matter will become more apparent from the detailed description set forth below when taken in conjunction with the drawings in which like shapes indicate like feature elements.

入れ子のベース設計を示すソーラーセル裏面の平面図を示す。Fig. 6 shows a plan view of the back side of a solar cell showing a nested base design. 非入れ子のベース設計を示すソーラーセル裏面の平面図を示す。FIG. 6 shows a plan view of the backside of a solar cell showing a non-nested base design. 均一分散された連続/非入れ子のベース設計を示すソーラーセル裏面の平面図を示す。FIG. 6 shows a plan view of the backside of a solar cell showing a uniformly distributed continuous / non-nested base design. 均一分散された選択的不連続/非入れ子のベース設計を示すソーラーセル裏面の平面図を示す。FIG. 5 shows a plan view of the backside of a solar cell showing a uniformly distributed selectively discontinuous / non-nested base design. 平行なベース設計を有する均一ベースパターンを示すソーラーセル裏面の平面図を示す。FIG. 6 shows a plan view of the backside of a solar cell showing a uniform base pattern with a parallel base design. 図3Aのパターンを形成するための対応するスクリーン印刷設計の図である。FIG. 3B is a diagram of a corresponding screen printing design for forming the pattern of FIG. 3A. 交互に配置されたベース設計を有する代替の均一ベースパターンを示すソーラーセル裏面の平面図を示す。FIG. 6 shows a plan view of the backside of a solar cell showing an alternative uniform base pattern with alternating base designs. 図3Aのパターンを形成するための対応するスクリーン印刷設計の図である。FIG. 3B is a diagram of a corresponding screen printing design for forming the pattern of FIG. 3A. 代替の非入れ子のベースパターンを示すソーラーセル裏面の平面図を示す。FIG. 6 shows a plan view of the backside of a solar cell showing an alternative non-nested base pattern. 例示的な寸法に焦点を当てた非入れ子のベースパターンのソーラーセル裏面の平面図を示す。FIG. 6 shows a plan view of the backside of a solar cell with a non-nested base pattern focused on exemplary dimensions. 分散されたエミッタ及びベースレーザパターンを示す。Fig. 3 shows a distributed emitter and base laser pattern. 選択的エミッタ(SE)及びベース開口を示す写真である。2 is a photograph showing a selective emitter (SE) and a base opening. エミッタ及びベースコンタクト内部の選択的エミッタ(SE)及びベース開口を示す写真である。FIG. 6 is a photograph showing a selective emitter (SE) and base opening within an emitter and base contact. 選択的エミッタ開口におけるレーザアニーリング損傷を示す。Figure 3 shows laser annealing damage at a selective emitter aperture. 選択的ベース開口におけるレーザアニーリング損傷を示す。Figure 5 shows laser annealing damage at a selective base opening. 選択的エミッタ開口におけるコンタクトのレーザアニーリング損傷を示す。Fig. 5 shows laser annealing damage of contacts at selective emitter openings. 選択的ベース開口におけるコンタクトのレーザアニーリング損傷を示す。Fig. 5 shows laser annealing damage of a contact at a selective base opening. アニール前のレーザアブレーションスポットを示す写真である。It is a photograph which shows the laser ablation spot before annealing. 30ナノ秒UVレーザによるアニール後のレーザアブレーションスポットを示す写真である。It is a photograph which shows the laser ablation spot after annealing by 30 nanosecond UV laser. 酸化物アブレーション後のシリコン基板の少数キャリア寿命(MCL)マップであり、具体的には、アブレーションスポットのレーザアニーリング後に得られるMCL改善を示す。FIG. 5 is a minority carrier lifetime (MCL) map of a silicon substrate after oxide ablation, specifically showing the MCL improvement obtained after laser annealing of the ablation spot. 多ステーション基板レーザ処理ツールの図である。1 is a multi-station substrate laser processing tool. 多ウェーハを保持する図10Aのツールの図である。FIG. 10B is a diagram of the tool of FIG. 10A holding multiple wafers. 非晶質シリコンマスクプロセスフロー中の処理ステップ後のソーラーセルを示す断面図である。It is sectional drawing which shows the solar cell after the process step in an amorphous silicon mask process flow. 非晶質シリコンマスクプロセスフロー中の処理ステップ後のソーラーセルを示す断面図である。It is sectional drawing which shows the solar cell after the process step in an amorphous silicon mask process flow. 非晶質シリコンマスクプロセスフロー中の処理ステップ後のソーラーセルを示す断面図である。It is sectional drawing which shows the solar cell after the process step in an amorphous silicon mask process flow. 非晶質シリコンマスクプロセスフロー中の処理ステップ後のソーラーセルを示す断面図である。It is sectional drawing which shows the solar cell after the process step in an amorphous silicon mask process flow. 非晶質シリコンマスクプロセスフロー中の処理ステップ後のソーラーセルを示す断面図である。It is sectional drawing which shows the solar cell after the process step in an amorphous silicon mask process flow. 非晶質シリコンマスクプロセスフロー中の処理ステップ後のソーラーセルを示す断面図である。It is sectional drawing which shows the solar cell after the process step in an amorphous silicon mask process flow. 非晶質シリコンマスクプロセスフロー中の処理ステップ後のソーラーセルを示す断面図である。It is sectional drawing which shows the solar cell after the process step in an amorphous silicon mask process flow. 非晶質シリコンマスクプロセスフロー中の処理ステップ後のソーラーセルを示す断面図である。It is sectional drawing which shows the solar cell after the process step in an amorphous silicon mask process flow. 非晶質シリコンマスクプロセスフロー中の処理ステップ後のソーラーセルを示す断面図である。It is sectional drawing which shows the solar cell after the process step in an amorphous silicon mask process flow. 酸化物アブレーション後のシリコン基板の少数キャリア寿命(MCL)マップであり、具体的には、非晶質シリコンをハードマスクとして使用する場合に得られるMCLの改善を示すFIG. 4 is a minority carrier lifetime (MCL) map of a silicon substrate after oxide ablation, specifically showing the improvement in MCL obtained when amorphous silicon is used as a hard mask. 裏面コンタクト裏面接合ソーラーセルの形成に関する一般的なプロセスフローである。It is the general process flow regarding formation of a back contact back junction solar cell. 裏面コンタクト/裏面接合セルの形成に関する代表的な製造プロセスフローである。It is a typical manufacturing process flow regarding formation of a back contact / back junction cell.

以下の説明は、限定的な意味で解釈するべきではなく、本開示の一般的な原理を説明する目的でなされる。本開示の範囲は、請求項を参照して決める必要がある。本開示の例示的な実施形態は、図面に示されており、各図面の同じ部分及び対応する部分を参照するために同じ参照符号が使用される。   The following description should not be construed in a limiting sense, but is made for the purpose of illustrating the general principles of the present disclosure. The scope of the present disclosure should be determined with reference to the claims. Exemplary embodiments of the present disclosure are illustrated in the drawings, wherein the same reference numerals are used to refer to the same and corresponding parts of each drawing.

本開示は、結晶シリコン及び他の作製材料などの特定の実施形態を参照して記載されるが、当業者であれば、本明細書で検討される原理を必要以上の実験を行うことなく他の材料、技術領域、及び/又は実施形態に適用することができる。   While this disclosure is described with reference to specific embodiments such as crystalline silicon and other fabrication materials, those skilled in the art will appreciate the principles discussed herein without undue experimentation. Can be applied to any material, technology area, and / or embodiment.

開示される主題は、具体的には、セル吸収層(又は基板)を有する単結晶シリコンのような薄い結晶半導体吸収体を用いて、好ましくは、約1ミクロン(1μm)未満から最大約100ミクロン(100μm)までの厚さに及ぶ、更により詳細には、約1ミクロン(1μm)から約50ミクロン(50μm)の厚さに及ぶ高効率の裏面接合/裏面コンタクトソーラーセルのための種々の構造及び製造方法を提供する。また、提供されるセル構造及び製造方法は、約100μmから約200μmの厚さに及ぶ(これはまた、より従来のCZ又はFZウェーハの厚さ範囲を含む)、より厚い結晶半導体基板又は吸収体に適用する。結晶ソーラーセル基板は、エピタキシャル成長(大気圧エピタキシーのような)を含む化学蒸着(CVD)法又は他の結晶シリコン材料形成技法(限定するものではないが、いわゆる切口のないスライス又は剥離法利用プロトン注入、金属応力誘起剥離、又はレーザを含む)のいずれかを用いて形成することができる。非常に薄い結晶半導体ソーラーセル基板を処理する全ての態様に関連する場合、製造方法の種々の実施形態は、注入補助ウェーハ開裂法のような切口のない開裂法を含む、他の種類の材料及びウェーハベースアプローチに広がる場合がある。提供する種々のセルの実施形態の重要な属性は、実質的に半導体(例えば、シリコン)材料消費量の削減、非常に低い製造コスト、高いセル効率、及び比較的高いエネルギ生産量、従って、ソーラー光起電力モジュール性能の改善を提示する。具体的には、これは、開示される主題の特有のセル設計アーキテクチャ及び製造方法の実施形態から生じ、これらは、薄い結晶半導体層を用いる裏面接合/裏面コンタクトソーラーセルの製造を必要とし、薄い結晶半導体基板に対して非常に高い変換効率をもたらし、非常に安価になる。   The disclosed subject matter specifically uses a thin crystalline semiconductor absorber such as single crystal silicon having a cell absorbing layer (or substrate), preferably from less than about 1 micron (1 μm) to up to about 100 microns. Various structures for high-efficiency back-junction / back-contact solar cells ranging in thickness up to (100 μm), and more particularly from about 1 micron (1 μm) to about 50 microns (50 μm) And a manufacturing method. Also, the cell structures and fabrication methods provided range from about 100 μm to about 200 μm thick (this also includes the thickness range of more conventional CZ or FZ wafers), thicker crystalline semiconductor substrates or absorbers Applies to Crystalline solar cell substrates can be produced by chemical vapor deposition (CVD) methods including epitaxial growth (such as atmospheric pressure epitaxy) or other crystalline silicon material formation techniques (but not limited to so-called slices without slicing or delamination methods). , Metal stress induced delamination, or laser). In connection with all aspects of processing very thin crystalline semiconductor solar cell substrates, various embodiments of the fabrication method include other types of materials, including cut-free cleavage methods such as implantation-assisted wafer cleavage methods, and May extend to a wafer-based approach. Important attributes of the various cell embodiments provided are substantially reduced semiconductor (eg, silicon) material consumption, very low manufacturing costs, high cell efficiency, and relatively high energy production, and thus solar The improvement of photovoltaic module performance is presented. Specifically, this arises from the specific cell design architecture and fabrication method embodiments of the disclosed subject matter, which require the fabrication of back junction / back contact solar cells using thin crystalline semiconductor layers and are thin It brings very high conversion efficiency to the crystalline semiconductor substrate and becomes very inexpensive.

また、本開示は、10〜200ミクロンの範囲の厚さを有する単結晶シリコン基板及び他の記載した作製材料のメタライゼーション層を用いた裏面コンタクトソーラーセルのような特定の実施形態に関して記載しているが、当業者であれば、必要以上の実験を行うことなく、代替の半導体材料(ガリウムヒ素、ゲルマニウム、多結晶シリコン等)、メタライゼーションスタックを備えたメタライゼーション層、技術領域、及び/又は実施形態を含む他の作製材料に本明細書で検討される原理を適用することができる。前面コンタクト、裏面コンタクト/裏面接合、又は更に裏面コンタクト/前面接合ソーラーセル等の何らかのアーキテクチャに適用できる本発明の新規のドープ領域形成の実施形態は、具体的には、裏面コンタクト/裏面接合結晶シリコンアーキテクチャ(以後、BC/BJ)に関して本発明に示されている。   The present disclosure is also described with respect to particular embodiments such as back contact solar cells using single crystal silicon substrates having a thickness in the range of 10-200 microns and metallization layers of other described fabrication materials. However, those skilled in the art will understand that alternative semiconductor materials (gallium arsenide, germanium, polycrystalline silicon, etc.), metallization layers with metallization stacks, technology areas, and / or without undue experimentation The principles discussed herein can be applied to other fabrication materials, including embodiments. Embodiments of the novel doped region formation of the present invention that can be applied to any architecture, such as front contact, back contact / back junction, or even back contact / front junction solar cell, specifically include back contact / back junction crystalline silicon It is shown in the present invention in terms of architecture (hereinafter BC / BJ).

本出願は、非入れ子のベース金属設計を達成するためにロバスト法を提供する。非入れ子の金属は、ベース金属と下にあるエミッタの間に酸化物のような電気絶縁層を破壊するための経路が存在しないことを保証しながら、高濃度ベース拡散をベース金属の幅よりも小さくすることができる。このことは、エミッタ拡散からベース金属を分離する誘電体スタックに貫通誘電体ピン孔がないことを保証して、電気シャントを生じることなく非入れ子のベース金属設計を可能にすることによって達成される。特定の実施形態において、これは、複数の(例えば、少なくとも2つの)APCVD堆積ドープ及び非ドープ(キャッピング)誘電体層を用いて実現することができる。これらは、典型的には二酸化ケイ素(SiO2)層が存在するが、窒化ケイ素(SiNx)及び/又は非晶質シリコン(a−Si)、及び/又は酸化アルミニウム(Al2O3)層を含むことができる(各例においてドープされた及び/又はドープされていない)。異なるランに複数の(少なくとも2つの)APCVD層を堆積することによって、誘電体スタック全体を貫通する任意のピン孔の整列の統計的確率は劇的に低下する。別の実施形態において、ロバスト性を更に提供するために、APCVD誘電体層の後、前、又はその間に熱酸化物ステップを追加して、シャントピン孔が確実に存在しないようにすることができる(本出願が優先権を主張して引用によりその全体を組み込む、米国特許出願第13/807,631号を詳細に参照されたい)。   The present application provides a robust method to achieve a non-nested base metal design. Non-nested metal makes the high concentration base diffusion less than the width of the base metal, ensuring that there is no path between the base metal and the underlying emitter to break the electrically insulating layer such as an oxide. Can be small. This is achieved by ensuring that there are no through-dielectric pin holes in the dielectric stack that separates the base metal from the emitter diffusion, allowing a non-nested base metal design without creating an electrical shunt. . In certain embodiments, this can be achieved using multiple (eg, at least two) APCVD deposited doped and undoped (capping) dielectric layers. These are typically silicon dioxide (SiO2) layers, but can include silicon nitride (SiNx) and / or amorphous silicon (a-Si), and / or aluminum oxide (Al2O3) layers. (Doped and / or undoped in each example). By depositing multiple (at least two) APCVD layers in different runs, the statistical probability of alignment of any pin hole through the entire dielectric stack is dramatically reduced. In another embodiment, a thermal oxide step can be added after, before, or during the APCVD dielectric layer to further provide robustness to ensure that shunt pin holes are not present. (See in detail US patent application Ser. No. 13 / 807,631, which is hereby claimed and incorporated by reference in its entirety).

非入れ子のメタライゼーション設計は、連続ベース又は不連続(離散的ベースアレイ)ベース拡散のいずれかと共に使用することができる。連続ベース拡散の場合、ベースは、一連の直線(縦列)とすることができる。ベース金属は、これらの拡散部と重なるが、必ずしも拡散部の内部に包含されているとは限らない。不連続ベース拡散の場合、これらの拡散部は、隔離ベースコンタクトを必要とする、取り囲むだけの隔離アイランドとすることができる。拡散部は、円形、矩形又は他の幾何学的形状とすることができるが、互いにベース金属ラインによって接続することができる。   Non-nested metallization designs can be used with either continuous or discontinuous (discrete base array) based diffusion. For continuous base diffusion, the base can be a series of straight lines (columns). The base metal overlaps with these diffusion parts, but is not necessarily contained within the diffusion part. In the case of discontinuous base diffusion, these diffusions can be only surrounding isolation islands that require isolation base contacts. The diffusers can be circular, rectangular or other geometric shapes, but can be connected to each other by base metal lines.

不連続ベース拡散の利点は、所与の金属ピッチに対してエミッタフラクション常数を維持しながら(比較的高いレベルで)、シリコンを通じてベース抵抗を最小にすることができるという点である。ベース拡散領域の減少は、BC/BJセルのための材料の緩和寿命を可能にしながら、所与の金属ピッチのベース拡散の間の距離を増大させ、従って、ベース抵抗の増大によるソーラーセルの曲線因子の潜在的な低下を引き起こす。本発明の実施可能な実施形態は、これらの制限を緩和し、高効率のソーラーセルの設計及び作製を容易にする。本発明により、非入れ子のベース設計アーキテクチャは、不連続の選択的/分散ベース拡散部のより大きな柔軟性により、比較的低いベース抵抗を効果的に与えることが可能になる。   The advantage of discontinuous base diffusion is that the base resistance can be minimized through silicon while maintaining the emitter fraction constant (at a relatively high level) for a given metal pitch. Decreasing the base diffusion region increases the distance between base diffusions for a given metal pitch while allowing material relaxation life for BC / BJ cells, and thus the curve of the solar cell with increased base resistance. Causes a potential decrease in factors. Possible embodiments of the present invention alleviate these limitations and facilitate the design and fabrication of highly efficient solar cells. The present invention allows a non-nested base design architecture to effectively provide a relatively low base resistance due to the greater flexibility of the discontinuous selective / distributed base diffusion.

1つの実施形態において、ベースアイランドは隔離されているが(離散的ベースアイランド)、隔離ベースアイランドの内側のベースコンタクトにより定期的にシリコンベースに接続する、単一ベース金属ラインによって同一直線上に接続される(又は縦列に沿って配置される)。必然的に、接続ベース金属ラインがエミッタ領域の上の(ドープエミッタ領域を覆う誘電体層の上の)隔離ベース拡散アイランドの間に延びるので、この設計は非入れ子になる。従って、不連続ベースパターンの成功は、シャントすることなく非入れ子のベース金属を稼働させる能力に高度に依存する。これは、前述のプロセス技法によって保証される。別の事例において、所与のベース金属ラインの下の分散ベースアイランドは、単一の同一直線上横列(又は縦列)よりも多く有し、オフセットアイランド位置を備えた2つ又は複数の横列(又は縦列)を有することができる。一般的なアーキテクチャは、電気的性能を向上させるために柔軟性を提供する。これらの不連続スキームの構造及び利点の詳細は、以下のセクションで概略的に示される。   In one embodiment, the base islands are isolated (discrete base islands) but connected on the same straight line by a single base metal line that is periodically connected to the silicon base by a base contact inside the isolated base island (Or arranged along a column). Inevitably, this design is non-nested because the connecting base metal line extends between isolated base diffusion islands above the emitter region (on the dielectric layer covering the doped emitter region). Thus, the success of a discontinuous base pattern is highly dependent on the ability to run a non-nested base metal without shunting. This is ensured by the process technique described above. In another case, the distributed base islands under a given base metal line have more than a single collinear row (or column) and two or more rows (or more) with offset island locations (or Column). The general architecture provides flexibility to improve electrical performance. Details of the structure and advantages of these discontinuous schemes are shown schematically in the following sections.

分散された不連続ベース拡散(本出願が優先権を主張し引用によりその全体を組み込む、米国特許出願第13/807,631号に示す離散的ベースアイランドのような)及びコンタクト形成スキームは、新規のパターン形成及び拡散方法を構成し、非入れ子の金属アーキテクチャとの関連において、ベース金属(ベース拡散領域に接触する金属として定義される)は、ロバスト性のあるシャントしない方式で誘電体パッシベーション層の上部のエミッタ拡散領域(ドープエミッタ領域の上の誘電体層によって覆われた)にわたって自由に延びる(所望の場合、その逆で)。また、この設計は、スポットインスポット(SIS)と呼ぶことができる。この名称は、隔離及び不連続コンタクトスポットが、不連続ベース拡散領域の内側でオープンになるという事実に由来する。ドープ誘電体膜がパターン形成され、比較的低濃度のドープベースと同じ型(n型又はp型)の高濃度ドープ領域(n型又はp型)の不連続領域を形成する。不連続(又は離散的アイランド)の高濃度ドープベース構造体は、幾何学的に最適の方式で配置されるが、依然としてベース金属の下にあり互いの距離を最小にするようなっているので、低濃度ドープベースによる拡散抵抗損失が最小になる。また、非常に薄いソーラーセル吸収体では、これらの拡散パターンとドープ誘電体膜を有する最適拡散部との組み合わせは、良好な電気絶縁体として機能し、ソーラーセルのベースとエミッタ領域の間の電気的短絡又はシャントの危険を低減することができる。   Distributed discontinuous base diffusion (such as the discrete base islands shown in US patent application Ser. No. 13 / 807,631, this application claims priority and incorporates in its entirety) and contact formation schemes are novel In the context of non-nested metal architecture, the base metal (defined as the metal in contact with the base diffusion region) is a robust, non-shunted method of dielectric passivation layer. It extends freely over the upper emitter diffusion region (covered by a dielectric layer above the doped emitter region) (and vice versa if desired). This design can also be referred to as spot-in-spot (SIS). This name stems from the fact that the isolated and discontinuous contact spots become open inside the discontinuous base diffusion region. The doped dielectric film is patterned to form discontinuous regions of the heavily doped region (n-type or p-type) of the same type (n-type or p-type) as the relatively lightly doped base. Discontinuous (or discrete islands) heavily doped base structures are arranged in a geometrically optimal manner, but are still under the base metal and seem to minimize each other's distance, Diffusion resistance loss due to lightly doped base is minimized. Also, for very thin solar cell absorbers, the combination of these diffusion patterns and optimal diffusions with doped dielectric films function as good electrical insulators, and the electrical cell between the base and emitter regions of the solar cell. The risk of mechanical shorts or shunts can be reduced.

以下に詳細に説明するように、これらの態様の特有の独立した利点がある。本出願人は、非入れ子及び/又は不連続ベースアーキテクチャを示すために幾つかの設計概念を示す。本発明の利点、特に高効率の結晶半導体(裏面コンタクト/裏面接合単結晶又は多結晶シリコンを含む)との関連で、ソーラーセルを同様に説明する。   As described in detail below, there are inherent independent advantages of these aspects. Applicants present several design concepts to illustrate non-nested and / or discontinuous base architectures. Solar cells are similarly described in the context of the advantages of the present invention, particularly high efficiency crystalline semiconductors (including back contact / back junction single crystal or polycrystalline silicon).

A)一般的に用いるアーキテクチャでは、ベース金属は、セルシャントを回避するためにベース拡散部の内部で入れ子にする(具体的には、低濃度ドープベースに接触するために投入する)。このメタライゼーション入れ子の制限及び結果として得られる設計ルールの影響は、最小ベース金属幅で決定付けられた最小の高濃度ベース拡散領域を必要とする。これは結果的に、光励起キャリアが、長いベース拡散の下で再結合せずエミッタに達することができることを保証するために、エミッタフラクションを減少させ、コストがかかる高寿命ウェーハを必要とする。非入れ子のベースにより、高いセル効率を得るための望ましいセル設計属性である、裏面上のより大きなエミッタフラクション領域が可能になる。メタライゼーションパターンの非入れ子及び結果として得られたエミッタ領域フラクションの強化は、僅かなキャリア再結合損失につながる(約100ミクロン以下、好ましくは80ミクロン以下の吸収体の厚さを有する超薄セル及び/又は少なくとも125mm×125mm及び好ましくは156mm×156mm又はそれよりも大きいセル面積を有する大きな面積のセルに特に非常に望ましいように)。非入れ子のベース金属は、誘電体層からもたらされる良好な誘電体絶縁によって生じる。セル設計の発展は、以下の制約に基づいている。最小ベース及びエミッタ金属幅は、所望の金属ライン抵抗要件に基づき、且つ直接パターン形成する1つの方法のピコ秒又はフェムト秒レーザアブレーションを用いた、線路幅をパターン形成するために利用される方法の利用可能な分解能に基づいて最初に決定される。金属の所与のセルサイズ(面積)及び最大厚さ(吸収体基板に対するコスト及び応力によって制限される)に対して、最小金属ライン幅が決定される。これは、従来の単一金属レベルのメタライゼーションの場合である。多レベル(多レベルセルメタライゼーションに関する本発明の1つの実施形態は二重レベル)の場合に対して、セルコンタクトに接触する金属ラインは、更に抵抗性にすることができ(これらは、層間誘電体又は電気絶縁バックプレーンによって金属−1(M1)から分離された、第2のレベルの金属又は金属−2(M2)に接続されたビアを通って垂直に取り出される前に、電流を局所的に短い距離伝達するので)、より小さな幅になるような柔軟性をもたらす。前述の基準を用いて金属幅を固定すると、従来の入れ子のアーキテクチャは、パターン形成アラインメント分解能によって支配される所要の設計ルールにより、ベース拡散をこの金属幅よりも広くする必要があり、比較的大きなベース拡散領域及びエミッタ領域フラクションの相対的損失につながる。このことは、結果的に、吸収体基板の少数キャリア寿命又は電気品質を厳しい要件を置き、より高価になる。提案された非入れ子のアプローチは前記の制約を解消して、非常に大きなエミッタフラクションを可能にする。これは、同等の効率性能の寿命要件の低下をもたらすので、基板コストが低減する。また、本発明の実施形態は、裏面接合及び裏面コンタクトアーキテクチャを、より薄い基板に適合するようにすることができ、この基板は、品質を要求しない、すなわち性能を失うことなく厳しい高バルク寿命がない中程度に成長することができる。この基板の実施例は、n型のエピタキシャルシリコン成長のために300μs〜500μsを超えるが、通常1msを超えない、バルク寿命をもたらすことができる多孔質シリコンの上でエピタキシャル成長したシリコンである。他の実施例は、比較的低い寿命(200〜500μs)CZウェーハで開始して、一般に5μm〜100μm、好ましくは20μm〜80μmの範囲まで薄くする。従って、本発明は、従来の裏面コンタクトアーキテクチャのコストを低減するだけでなく(シリコン基板品質のための要件の要求がより低くなる)、非常に高効率の裏面コンタクト裏面接合の薄い単結晶又は多結晶ソーラーセルを可能にすることを最も優先する。   A) In a commonly used architecture, the base metal is nested inside the base diffusion to avoid cell shunting (specifically, it is injected to contact the lightly doped base). This metallization nesting limitation and the consequences of the resulting design rules require a minimum high concentration base diffusion region determined by the minimum base metal width. This results in reduced emitter fractions and costly long-life wafers to ensure that photoexcited carriers can reach the emitter without recombination under long base diffusion. The non-nested base allows for a larger emitter fraction area on the back surface, which is a desirable cell design attribute for high cell efficiency. The non-nesting of the metallization pattern and the resulting enhancement of the emitter region fraction leads to a slight carrier recombination loss (ultra-thin cells having an absorber thickness of less than about 100 microns, preferably less than 80 microns, and / Or as particularly highly desirable for large area cells having a cell area of at least 125 mm × 125 mm and preferably 156 mm × 156 mm or greater). Non-nested base metal results from good dielectric insulation resulting from the dielectric layer. The evolution of cell design is based on the following constraints. The minimum base and emitter metal widths are based on the desired metal line resistance requirements and are one of the methods used to pattern the line width using direct patterning picosecond or femtosecond laser ablation. First determined based on available resolution. For a given cell size (area) and maximum thickness of metal (limited by cost and stress on the absorber substrate), a minimum metal line width is determined. This is the case for conventional single metal level metallization. For multilevel cases (one embodiment of the present invention for multilevel cell metallization is dual level), the metal lines in contact with the cell contacts can be made more resistive (these are interlayer dielectrics). The current is locally removed before being taken vertically through a via connected to a second level metal or metal-2 (M2), separated from metal-1 (M1) by a body or electrically isolated backplane. (Because it transmits a short distance), it provides the flexibility of a smaller width. With the metal width fixed using the above criteria, the traditional nested architecture requires the base diffusion to be wider than this metal width due to the required design rules governed by the patterning alignment resolution, which is relatively large. This leads to a relative loss of the base diffusion region and the emitter region fraction. This results in stricter requirements on the minority carrier lifetime or electrical quality of the absorber substrate, making it more expensive. The proposed non-nested approach overcomes the above limitations and allows a very large emitter fraction. This results in reduced lifetime requirements for equivalent efficiency performance, thus reducing substrate cost. Also, embodiments of the present invention can allow backside junction and backside contact architectures to be compatible with thinner substrates, which do not require quality, i.e. severe high bulk life without losing performance. Can grow moderately. An example of this substrate is silicon grown epitaxially on porous silicon that can provide a bulk lifetime that exceeds 300 μs to 500 μs for n-type epitaxial silicon growth, but typically does not exceed 1 ms. Other embodiments start with a relatively low lifetime (200-500 μs) CZ wafer and are generally thinned to a range of 5 μm to 100 μm, preferably 20 μm to 80 μm. Thus, the present invention not only reduces the cost of conventional backside contact architecture (lower requirement for silicon substrate quality), but also a very efficient backside contact backside junction thin single crystal or multiple. Priority is given to enabling crystalline solar cells.

B)非入れ子のアーキテクチャは、連続又は不連続(離散的アイランド)ベースのいずれかを有することができる。不連続ベース(分散ベース又は離散的アイランドベース設計とも呼ばれる)では、誘電体をパターン形成して、離散的ベース拡散アイランドを散発的に及び不連続的に形成し(エミッタの連続した海部におけるベース拡散のアイランドと類似した)、従って、高効率の設計の必要性によりこれらの拡散部を配置してコンタクトを保証する柔軟性を提供する。不連続スキームは非入れ子のベースと共に、無数の設計可能性をもたらす。
1.設計の1つの実施形態において、所与の金属ピッチに対して、1つのベース拡散アイランドから最も近いアイランドまでの間の距離の減少(従って、ベース低抗の減少)を可能にする。
2.BC/BJセルの大部分は、エミッタの海部で結び付いたベース拡散領域を有するソーラーセルの裏面(太陽が当たらない側)上のエミッタ領域で覆われる。非入れ子の及び不連続ベース概念によって、全てのエミッタ領域は、ソーラーセルの全キャリア収集効率を有効に増大させるはるかに大きなエミッタフラクションによって連続したままとすることができる。
3.非入れ子の及び不連続ベース設計は、コンタクト再結合を低下させるのに必要な高濃度ドープベース拡散領域を減少させることによって、エミッタフラクション領域を増加させる可能性をもたらし、これは拡散抵抗に何らかのペナルティなしに電気的遮蔽を最小にするのに役立つ。
B) Non-nested architectures can have either a continuous or discontinuous (discrete island) base. In discontinuous base (also called distributed base or discrete island base design), the dielectric is patterned to form discrete base diffusion islands sporadically and discontinuously (base diffusion in the continuous sea of emitters). Thus, the need for a highly efficient design provides the flexibility to place these diffusions to guarantee contact. Discontinuous schemes, along with non-nested bases, provide myriad design possibilities.
1. In one embodiment of the design, for a given metal pitch, it allows a reduction in the distance from one base diffusion island to the nearest island (and hence a reduction in base resistance).
2. The majority of BC / BJ cells are covered with an emitter region on the back side of the solar cell (the side not exposed to the sun) with a base diffusion region tied at the sea of the emitter. With the non-nested and discontinuous base concept, all emitter regions can remain contiguous with a much larger emitter fraction that effectively increases the total carrier collection efficiency of the solar cell.
3. Non-nested and discontinuous base designs offer the possibility of increasing the emitter fraction region by reducing the heavily doped base diffusion region needed to reduce contact recombination, which in turn has some penalty on the diffusion resistance. Helps minimize electrical shielding without.

C)不連続ベース設計によって、より広い金属幅をもたらす能力は、著しいコスト低減を可能にする。これは完全に接続されたベース、すなわちベースの分割拡散又は離散的アイランドがない設計柔軟性をもたらし、結果的に、より大きなエミッタフラクション領域及びより短いベース間ピッチが可能になる。   C) The ability to provide a wider metal width with a discontinuous base design allows for significant cost savings. This provides design flexibility without a fully connected base, i.e., base split diffusion or discrete islands, resulting in a larger emitter fraction area and shorter base pitch.

高効率の費用効果があるソーラーセルの設計及び作製の種々の要件が存在する。これらは、非入れ子の不連続ベース(すなわち、分散した又は離散的ベースアイランド)に関して以下に説明する。一般的な方法は、多レベルのメタライゼーションスキームにまで及ぶことができ、従来の厚いソーラーセル並びに非常に薄いシリコンソーラーセルの両方に等しく適用可能である。本発明の方法及び構造を利用する非常に薄い(例えば、約80ミクロン厚みの吸収体層よりも薄い)ソーラーセルは、エピタキシャルリフトオフ、化学エッチングベースの薄層化ウェーハ(130ミクロン〜200ミクロンの開始厚さのCZウェーハ)、プロトン注入、応力誘起分割、レーザ分割、又は他の薄いシリコンスライス技法によって形成された数ミクロン〜数十ミクロンの厚さ範囲の結晶シリコン吸収体層を有する超薄結晶シリコンソーラーセルを含む。
1.電気絶縁誘電体層を金属及び拡散部の下に置く方法(堆積、スクリーン印刷等)、この層は、金属をシリコンから分離するのに非常に有効な誘電体、並びにソーラーセルのベース及びエミッタ領域に拡散部を形成するためのドーパントのソースの両方として機能する。
2.ベース拡散をパターン形成し、金属に接続するために誘電体によりコンタクト領域を形成する方法。
3.金属を堆積及びパターン形成する方法。
There are various requirements for the design and fabrication of highly efficient and cost effective solar cells. These are described below with respect to non-nested discontinuous bases (ie, distributed or discrete base islands). The general method can extend to multi-level metallization schemes and is equally applicable to both conventional thick solar cells as well as very thin silicon solar cells. Very thin (e.g., thinner than about 80 micron thick absorber layers) solar cells utilizing the methods and structures of the present invention are epitaxial lift-off, chemically etched based thinned wafers (130 micron to 200 micron starting). CZ wafer), ultrathin crystalline silicon with a crystalline silicon absorber layer in the thickness range of a few microns to tens of microns formed by proton implantation, stress induced splitting, laser splitting, or other thin silicon slicing techniques Includes solar cells.
1. A method of placing an electrically insulating dielectric layer underneath the metal and diffusion (deposition, screen printing, etc.), this layer is a very effective dielectric to separate the metal from the silicon, and the base and emitter regions of the solar cell It functions as both a source of dopant for forming a diffusion part.
2. A method of patterning base diffusion and forming a contact region with a dielectric to connect to metal.
3. A method for depositing and patterning metal.

ステップ1では、ドープ誘電体膜を堆積するために、大気化学蒸着(APCVD)又はパターン形成スクリーン印刷等の技法を利用することができる。誘電体膜及び技法の選択は、シリコンのn型及びp型の拡散の両方に良好な光学的品質及び良好なコンタクトを保証するのに好適であるように注意を払う必要がある。APCVD(及び/又はプラズマ成長CVD)に対して、高温加熱炉アニーリングを利用してドーパントをドライブイン(drive in)することができる。拡散ソース誘電体の堆積後、これらの膜は、好ましくは、比較的高い温度(典型的には、900℃〜1150℃)でアニーリングされて、ドーパントをシリコン基板の中に打ち込む。加熱炉アニーリングは、拡散部を形成する重要な態様である。ドーパント濃度を変更し、加熱炉アニーリングをドライブインして非常に低い表面再結合速度を実現して、良好なエミッタを形成することによってこれらの拡散部を形成することに注意を払う必要がある。本開示の1つの実施形態において、ベース及びエミッタ拡散の誘電体材料は、非ドープ誘電体(ホウ素ドープガラスと呼ばれるp型又はn型の二酸化ケイ素、p型のBSG、又はリンドープガラス、n型のPSG)のキャッピング層を有するドープ誘電体層の少なくとも1つを備えたドープAPCVD酸化ケイ素から成り、これらの層は、次に、パルス化ピコ秒(又はパルス化フェムト秒)レーザを用いてパターン形成され、これは、それに著しいアブレーション損傷を引き起こすことなくシリコン上で停止しながら酸化物を取り除くことができる。一種の(BSGのような)ドープAPCVD層のパターン形成に続いて、他のドーパント型(PSGのような)のAPCVD層の堆積は、両型のドープ層(BSG及びPSG)を予め指定されたパターン形成領域においてシリコンと接触状態にすることが可能である。これらのドープ酸化物層は、最終的にシリコン中に拡散してそれぞれエミッタ及びベース拡散部を形成するホウ素及びリンのソースとして機能する。各層のシリコンとのコンタクトのフラクション領域は、パターン幾何学的形状によって決定される。ドープ層を有するこのシステムが高温でアニーリングされると、エミッタ(BSGから作られる)及びベース(PSGから作られる)拡散部の両方から構成される結果として得られたソーラーセルの裏面は、同時に形成される。これらの拡散部の個々のフラクションは、正確に制御されて所定のレーザ直接書き込みアブレーションパターンによって決定付けられる。もしくは、スクリーン印刷ドーパントペースト/インクは、パターン形成拡散部を形成するために使用することができる。これらの誘電体層の重要な要件は、金属層から拡散によって吸収体層を電気的に絶縁することである。金属層は吸収体に接触するだけとする必要があり、この場合、明確なコンタクト孔を開口することによってそのようにすることが意図される。誘電体材料の選択は、ピン孔がなく、ソーラーセル内での最適な光吸収のためのセルメタライゼーション層と共に良好なバックミラーを生成するために導電性である必要がある。ピン孔の存在は、非入れ子のベース金属とエミッタとの間に電流シャント経路をもたらすので望ましくない。   In step 1, techniques such as atmospheric chemical vapor deposition (APCVD) or patterned screen printing can be utilized to deposit the doped dielectric film. Care must be taken in choosing the dielectric film and technique to be suitable to ensure good optical quality and good contact for both n-type and p-type diffusion of silicon. For APCVD (and / or plasma grown CVD), high temperature furnace annealing can be used to drive in the dopant. After deposition of the diffusion source dielectric, these films are preferably annealed at a relatively high temperature (typically 900 ° C. to 1150 ° C.) to drive the dopant into the silicon substrate. Furnace annealing is an important aspect of forming the diffusion. Care must be taken to change these dopant concentrations and drive in furnace annealing to achieve very low surface recombination rates to form these diffusions by forming good emitters. In one embodiment of the present disclosure, the base and emitter diffusion dielectric material comprises an undoped dielectric (p-type or n-type silicon dioxide called boron-doped glass, p-type BSG, or phosphorus-doped glass, n-type The doped APCVD silicon oxide with at least one of the doped dielectric layers having a capping layer of PSG), which is then patterned using a pulsed picosecond (or pulsed femtosecond) laser. Once formed, this can remove the oxide while stopping on silicon without causing significant ablation damage to it. Following patterning of a kind of doped APCVD layer (such as BSG), the deposition of other dopant type (such as PSG) APCVD layer was pre-designated for both types of doped layers (BSG and PSG). It is possible to make contact with silicon in the pattern formation region. These doped oxide layers function as boron and phosphorus sources that ultimately diffuse into silicon to form the emitter and base diffusions, respectively. The fractional area of contact with each layer of silicon is determined by the pattern geometry. When this system with a doped layer is annealed at high temperature, the resulting back side of the resulting solar cell consisting of both the emitter (made from BSG) and base (made from PSG) diffusions is formed simultaneously. Is done. The individual fractions of these diffusers are precisely controlled and determined by a predetermined laser direct write ablation pattern. Alternatively, screen printed dopant paste / ink can be used to form the patterning diffusion. An important requirement of these dielectric layers is to electrically insulate the absorber layer by diffusion from the metal layer. The metal layer need only be in contact with the absorber, in which case it is intended to do so by opening a clear contact hole. The choice of dielectric material needs to be conductive to produce a good rearview mirror with no cell holes and a cell metallization layer for optimal light absorption within the solar cell. The presence of pin holes is undesirable because it provides a current shunt path between the non-nested base metal and the emitter.

拡散部のためのパターン形成方法に関するステップ2に関して、パターン形成は、ブランケット堆積に続いてレーザアブレーションを用いて又は標準リソグラフィー/エッチング技法を用いて行なうことができる。誘電体層はまた、スクリーン印刷又は孔版印刷又はインクジェット印刷(又はエアロゾルジェット印刷)を用いて適切なドーパントペースト又は液体を用いて直接パターン形成することができる。図1の従来のBC/BJソーラーセルは、エミッタ及びベース金属ラインが、排他的にそれぞれ交互に組み合わさったエミッタ及びベース拡散部の内部にある櫛形の入れ子の金属設計を有する。本発明の重要な実施形態は、櫛形及び非櫛形エミッタ及びベース拡散部の両方において非入れ子のベース拡散パターンの形成に関する。前のセクションで説明したように、ベース拡散部は、連続又は不連続のいずれかとすることができる。   With regard to step 2 for the patterning method for the diffuser, patterning can be performed using blanket deposition followed by laser ablation or using standard lithography / etching techniques. The dielectric layer can also be directly patterned with a suitable dopant paste or liquid using screen printing or stencil printing or ink jet printing (or aerosol jet printing). The conventional BC / BJ solar cell of FIG. 1 has a comb-shaped nested metal design in which the emitter and base metal lines are inside the emitter and base diffusions, which are alternately and alternately combined. An important embodiment of the invention relates to the formation of non-nested base diffusion patterns in both comb and non-comb emitters and base diffusions. As explained in the previous section, the base diffusion can be either continuous or discontinuous.

連続/非入れ子の設計及び不連続/非入れ子設計のベースのパターンは、図2に記載されている。非入れ子の設計の重要な実現要因は、ベース金属がキラーシャントのリスクなしでエミッタ拡散部上に延在できるまさに絶縁誘電体である。前述のように、不連続ベースは、限定されるものではないが、曲線因子を得るためのベース拡散抵抗の低減、及び電気的遮蔽を改善するためのベース拡散領域の低減といった、様々な目的のために利用することができる。ベース拡散領域の形成後、コンタクト孔は、レーザアブレーションによるか又は標準リソグラフィー及びエッチング技法を用いて形成される。前述の不連続の実例における種々の設計は、図3a、図3b及び図3cに示されている。図3aに示すように、エミッタフラクション領域は、電気的遮蔽の減少によりベース拡散領域における電流収集損失を低下させるように増加する。ベース拡散とコンタクト領域パーセントの間のピッチは、より良好な電流収集能力を有する同じ拡散抵抗を得るように更に最適にすることができる。もしくは、この設計は、電気的遮蔽を損なうことなく、更にベース拡散抵抗を低下させるために図3b及び図3cに示すように変更することができる。この設計の実施形態は、曲線因子が高いベース抵抗によって制限される、及び/又は前面電界が望ましくないか又は可能でない薄い単結晶ソーラーセルにとって特に有利である。   The base pattern for continuous / non-nested design and discontinuous / non-nested design is described in FIG. An important enabling factor for non-nested designs is the very insulating dielectric that allows the base metal to extend over the emitter diffusion without the risk of killer shunts. As mentioned above, a discontinuous base is not limited, but can be used for a variety of purposes, such as reducing the base diffusion resistance to obtain a fill factor and reducing the base diffusion region to improve electrical shielding. Can be used for. After formation of the base diffusion region, the contact holes are formed by laser ablation or using standard lithography and etching techniques. Various designs in the foregoing discontinuous example are shown in FIGS. 3a, 3b and 3c. As shown in FIG. 3a, the emitter fraction region increases to reduce current collection loss in the base diffusion region due to a decrease in electrical shielding. The pitch between the base diffusion and the contact area percentage can be further optimized to obtain the same diffusion resistance with better current collection capability. Alternatively, this design can be modified as shown in FIGS. 3b and 3c to further reduce the base diffusion resistance without compromising electrical shielding. This design embodiment is particularly advantageous for thin single crystal solar cells where the fill factor is limited by a high base resistance and / or the front field is not desired or possible.

最後に、金属堆積及びパターン形成(又はパターン形成金属層の直接書き込み堆積)に関するステップ3に関して、幾つかの方法を導入することができる。これは、前述の誘電体層の上部への金属スパッタリング又は蒸着などの技術を含む。堆積された金属は、次いで、ピコ秒ベースのレーザアブレーションのような技術を用いてベース及びエミッタ金属を形成するようにパターン形成及び隔離することができる。もしくは、限定されるものではないが、スクリーン印刷、孔版印刷、マスク熱(又はアーク又はプラズマ)金属溶射、インクジェット又はエアロゾル印刷等の複数の直接書き込み技術に続いて、アニーリング又は活性化ステップを利用してベース及びエミッタ金属を形成することができる。連続設計の場合、ベース及びエミッタピッチは同じとすることができ、最適なソーラーセルの電流収集に理想的なエミッタ及びベース金属の両方に対して金属パターンを対称的にする。以下の説明では、特定のプロセス法は、非入れ子で不連続のソーラーセル設計を達成するために詳細に述べられている。本方法は、エピタキシャルシリコンリフトオフ法を用いて裏面コンタクト/裏面接合の薄い単結晶シリコンソーラーセルに関連して説明されるが、これは、標準結晶シリコンウェーハベースのセルを含む任意の厚さの(例えば、CZ又はFZウェーハを用いた100μm〜200μmの厚さ範囲の)ソーラーセルに使用することができる。以下の表1にフローを記載する。
Finally, several methods can be introduced with respect to step 3 for metal deposition and patterning (or direct writing deposition of patterned metal layers). This includes techniques such as metal sputtering or vapor deposition on top of the aforementioned dielectric layer. The deposited metal can then be patterned and isolated to form base and emitter metals using techniques such as picosecond based laser ablation. Alternatively, a plurality of direct writing techniques such as, but not limited to, screen printing, stencil printing, mask thermal (or arc or plasma) metal spraying, ink jet or aerosol printing, followed by an annealing or activation step. Thus, base and emitter metals can be formed. For a continuous design, the base and emitter pitch can be the same, making the metal pattern symmetrical for both the ideal emitter and base metal for optimal solar cell current collection. In the following description, specific process methods are described in detail to achieve a non-nested and discontinuous solar cell design. The method is described in connection with a thin single crystal silicon solar cell with back contact / back junction using an epitaxial silicon lift-off method, which can be of any thickness (including standard crystal silicon wafer based cells). For example, it can be used for solar cells (with a thickness range of 100 μm to 200 μm using CZ or FZ wafers). The flow is described in Table 1 below.

エミッタに対して必要に応じて類似のドーパント型(1つの実施形態においてはp型ホウ素)の薄いドープ誘電体層は、APCVD、PECVD、熱拡散(気体ベースのドーパント源からの)を用いて、又はスクリーン印刷、インクジェット又はエアロゾルジェット印刷などの直接パターン形成、書き込み法を用いて、基板上に堆積される。堆積後のドーパント膜ブランケット堆積の場合、誘電体膜は、ピコ秒レーザアブレーションを用いてエッチングされる。エッチングパターンは、シリコンのベース及びエミッタ領域(パターン形成層に由来するエミッタ、及びその後の誘電体膜堆積に由来するベース)を反映し、連続又は不連続のいずれかとすることができる。これに、高度にドープされたベース拡散領域を形成するために反対の型のドーパント(リンを含む)による第2の誘電体膜が続く。もしくは、第2のドーパント層は、直接書き込み法を用いて堆積することができる。これに、1つのステップで両型のドーパントを活性化するための熱アニールが続く。このアニールは、窒素又はアルゴン等の不活性ガス環境とすることができ、随意的に、短期間の酸素含有環境における同じ温度でのアニールが続く場合もある。酸素環境の目的は、ドーパントが拡散した状態で、誘電体層を介した熱酸化物界面を形成することである。これに、吸収体層のベース及びエミッタ領域への後続のメタライゼーション接続のコンタクト開口を形成するための酸化物の別のピコ秒レーザアブレーションが続く。薄い金属(好ましくは、アルミニウム、又は、アルミニウム及びシリコンを含有する合金を含む)は、ブランケットプラズマスパッタリング又は蒸着又はイオンビーム堆積を用いて堆積される。これには、限定されるものではないが、近赤外波長のような好適なレーザ波長を用いるピコ秒レーザアブレーションを含む無数の技術を用いて達成することができる後続のパターン形成が必要である。もしくは、予めパターン形成された金属層(例えば、好適なスクリーン印刷可能なアルミニウム及び/又はアルミニウム−シリコン合金ペースト)の直接書き込み又はスクリーン印刷(又はエアロゾル印刷、インクジェット印刷、及び孔版印刷)を使用することができる。場合によっては、この金属堆積には、パターン形成金属層を硬化し活性化するための焼結又はアニールが続く必要がある。エピタキシャルシリコンリフトオフ法を用いて薄い単結晶シリコンソーラーセルを生じる特定のプロセスにおいて、薄いシリコン層は、次に、テキスチャ、パッシベーション、及びメタライゼーション等の残りのセルプロセスを継続するために第2の恒久的キャリアに付着することができる。前記のプロセスフローの変形形態は、以下の表2に記載されている。このフローでは、プロセスフローは、最後のメタライゼーションステップまで同一である。銅メッキフローは、レーザ(パルス化ナノ秒レーザとすることができる)の使用と併せて乾燥PVD(蒸着及び/又はプラズマスパッタリングのような)ベースの金属堆積に置き換えられ、PVD金属層を絶縁してパターン形成する。
A thin doped dielectric layer of similar dopant type (in one embodiment, p-type boron) as needed for the emitter can be obtained using APCVD, PECVD, thermal diffusion (from a gas-based dopant source), Alternatively, it is deposited on the substrate using direct patterning, writing methods such as screen printing, ink jet or aerosol jet printing. For dopant film blanket deposition after deposition, the dielectric film is etched using picosecond laser ablation. The etch pattern reflects the silicon base and emitter regions (emitter derived from the patterned layer, and base derived from subsequent dielectric film deposition) and can be either continuous or discontinuous. This is followed by a second dielectric film with an opposite type of dopant (including phosphorus) to form a highly doped base diffusion region. Alternatively, the second dopant layer can be deposited using a direct writing method. This is followed by thermal annealing to activate both types of dopants in one step. This anneal can be an inert gas environment such as nitrogen or argon, optionally followed by a short-term anneal at the same temperature in an oxygen-containing environment. The purpose of the oxygen environment is to form a thermal oxide interface through the dielectric layer with the dopant diffused. This is followed by another picosecond laser ablation of the oxide to form contact openings for subsequent metallization connections to the base and emitter regions of the absorber layer. Thin metals (preferably including aluminum or alloys containing aluminum and silicon) are deposited using blanket plasma sputtering or evaporation or ion beam deposition. This requires subsequent patterning that can be accomplished using a myriad of techniques including, but not limited to, picosecond laser ablation using a suitable laser wavelength, such as near infrared wavelengths. . Alternatively, use direct writing or screen printing (or aerosol printing, ink jet printing, and stencil printing) of a pre-patterned metal layer (eg, suitable screen printable aluminum and / or aluminum-silicon alloy paste). Can do. In some cases, this metal deposition needs to be followed by sintering or annealing to harden and activate the patterned metal layer. In certain processes that produce thin single crystal silicon solar cells using an epitaxial silicon lift-off method, the thin silicon layer is then subjected to a second permanent to continue the remaining cell processes such as texture, passivation, and metallization. Can be attached to the carrier. Variations of the process flow are described in Table 2 below. In this flow, the process flow is the same up to the last metallization step. The copper plating flow is replaced with dry PVD (such as evaporation and / or plasma sputtering) based metal deposition in combination with the use of a laser (which can be a pulsed nanosecond laser) to insulate the PVD metal layer. Pattern formation.

更に別のプロセスフローは、薄いウェーハベースのソーラーセルの前記フローの変形形態であり、以下の表3に示されている。ここで、成長エピタキシャルシリコンの代わりに、標準CZウェーハは、非常に高効率のソーラーセルを作るために薄くすることができる。多孔質シリコン、エピタキシー及び剥離ステップが存在しない代わりに、これらのステップは、ウェーハを薄くするための積層後のソーダメージ除去ステップ及びエッチバックステップに置き換えられる点に留意されたい。
Yet another process flow is a variation of the above flow for a thin wafer based solar cell and is shown in Table 3 below. Here, instead of grown epitaxial silicon, a standard CZ wafer can be thinned to make a very efficient solar cell. Note that instead of the absence of porous silicon, epitaxy and stripping steps, these steps are replaced by post-lamination saw damage removal and etch back steps to thin the wafer.

最後に、湿式又は乾式プロセスのいずれかを用いて、連続及び不連続(SIS)アーキテクチャの両方に対してピコ秒(又はフェムト秒)レーザを用いることで生じたレーザ損傷を除去することも可能である。レーザ損傷を低減/排除するための乾式法は、レーザコンタクトを開いた後にレーザアニールを行なうことである。これは、ナノ秒レーザを用いて行なうことができる。レーザ損傷を除去するための別の方法は、ハードマスク(通常a−Si)を使用し、ハードマスクをパターン形成し、ハードマスクを用いて酸化物を湿式エッチングすることである。このように、ピコ秒レーザはシリコンに「遭遇(see)」しない。   Finally, it is possible to remove laser damage caused by using picosecond (or femtosecond) lasers for both continuous and discontinuous (SIS) architectures using either wet or dry processes. is there. A dry method to reduce / eliminate laser damage is to perform laser annealing after opening the laser contacts. This can be done using a nanosecond laser. Another method for removing laser damage is to use a hard mask (usually a-Si), pattern the hard mask, and wet etch the oxide using the hard mask. Thus, the picosecond laser does not “see” the silicon.

レーザ誘起アブレーション損傷のレーザアニーリング
透明パッシベーション層のレーザアブレーションは、シリコン基板に少なくとも一部のレーザ誘起損傷をもたらす。シリコン酸化物及びアルミニウム酸化物などのパッシベーション層は、UV(355nm)に至るまでの波長には透過性なので、透明層の除去は、下のシリコンの溶融及び蒸着によって行なわれる。超短パルス長及びUV波長を使用すると、影響を受けるシリコンの深さが最小になるが、一部の損傷は依然として存在する。図5は、これらの領域の内側の中心に位置するコンタクトを有する分散された選択的エミッタ及びベース開口の代表的なパターンである。図6A及び図6Bは、それぞれ選択的エミッタ及び選択的ベース開口、並びにこれらの開口内のコンタクトを示すSEM顕微鏡写真である。これらのアブレーションスポットは、10ピコ秒のパルス長及びUV波長でレーザを用いて作った。依然として、一部の表面損傷を見ることができる。
Laser annealing of laser induced ablation damage Laser ablation of the transparent passivation layer results in at least some laser induced damage to the silicon substrate. Since passivation layers such as silicon oxide and aluminum oxide are transparent to wavelengths up to UV (355 nm), the removal of the transparent layer is performed by melting and depositing the underlying silicon. Using ultrashort pulse lengths and UV wavelengths minimizes the depth of affected silicon, but some damage still exists. FIG. 5 is a representative pattern of distributed selective emitter and base openings with contacts centered inside these regions. 6A and 6B are SEM micrographs showing selective emitter and selective base openings, and contacts in these openings, respectively. These ablation spots were made using a laser with a pulse length of 10 picoseconds and a UV wavelength. Still some surface damage can be seen.

本明細書で開示されるように、アブレーションプロセス中に生じる損傷を低減又は排除するために、アブレーション領域はアニールされる。パルス化レーザアブレーションの完了後、アブレーション領域は、損傷をアニールする別の適切なパルス化レーザビームを用いてアニールされる。分散された選択的エミッタ及びベース開口に対して、各アブレーションスポットは、アニーリングレーザから生じる同期化レーザを用いてアニールされる。アニーリングのために、適切なレーザは、典型的に、好ましくは約10〜500ナノ秒及び532nmの波長の範囲のような長いナノ秒範囲のパルス長を有する。しかしながら、アニールされるアブレーションレーザ損傷の範囲に応じて更に短い又は長いパルス長及び他の波長を有する他のレーザを使用することができる。図7A及び図7Bは、選択的エミッタ(SE)及び選択的ベース(SB)アブレーションにおける損傷を受けたシリコンのスポットアニーリングによるスポットを概略的に示すが、図7C及び図7Dは、レーザアニーリングを用いた、コンタクトアブレーション領域におけるレーザ損傷のスポットアニーリングによる類似のスポットを示す。対応する光学顕微鏡写真は、図8A及び図8Bに示されている。図8Aは、レーザアニーリング前のレーザアブレーションスポットを示すが、図8Bは、レーザアニーリング後のスポットを示す。レーザアニーリングによるアブレーションスポットのレーザ損傷の除去が明瞭に見て取れる。   As disclosed herein, the ablation region is annealed to reduce or eliminate damage that occurs during the ablation process. After completion of the pulsed laser ablation, the ablation region is annealed with another suitable pulsed laser beam that anneals the damage. For the distributed selective emitter and base openings, each ablation spot is annealed using a synchronized laser that originates from an annealing laser. For annealing, suitable lasers typically have a pulse length in the long nanosecond range, preferably in the range of about 10-500 nanoseconds and a wavelength of 532 nm. However, other lasers with shorter or longer pulse lengths and other wavelengths can be used depending on the extent of the ablation laser damage to be annealed. 7A and 7B schematically show spots due to spot annealing of damaged silicon in selective emitter (SE) and selective base (SB) ablation, while FIGS. 7C and 7D use laser annealing. Similar spots are shown by spot annealing of laser damage in the contact ablation region. Corresponding optical micrographs are shown in FIGS. 8A and 8B. FIG. 8A shows the laser ablation spot before laser annealing, while FIG. 8B shows the spot after laser annealing. The removal of laser damage of the ablation spot by laser annealing can be clearly seen.

図9は、レーザアニーリングで得られた有効な少数キャリア寿命(MCL)の改善を示し、ウェーハの半分は、レーザアニーリング処理を受けなかった半分と比較するとレーザアブレーションによってパターン形成した。   FIG. 9 shows the effective minority carrier lifetime (MCL) improvement obtained with laser annealing, where half of the wafer was patterned by laser ablation compared to half that did not receive the laser annealing treatment.

1つのスキームでは、アブレーション領域のレーザアニーリングは、アブレーション領域を覆う少なくとも1つのドープ酸化物層で実行される。この場合、レーザアニーリング中のシリコンに対する溶融は、それぞれ上にあるBSG及びPSG膜から溶融シリコンへのp型(例えば、ホウ素)及びn型ドーパント(例えば、リン)の溶融取り込み又は吸収をもたらす。これは、加熱炉アニーリングによってドライブインされ、比較的一定のドーパント源からの拡散誤差関数プロファイルを有するドーパントに加えて、表面の近くに高濃度のこれらのドーパントをもたらす。これは、これらのドープ接合に関する高−低接合をもたらし、シリコン表面でのキャリア吸収の低下につながり、結果的にセル効率を改善する。   In one scheme, laser annealing of the ablation region is performed with at least one doped oxide layer covering the ablation region. In this case, melting to silicon during laser annealing results in melt uptake or absorption of p-type (eg, boron) and n-type dopants (eg, phosphorus) from the overlying BSG and PSG films to the molten silicon, respectively. This is driven in by furnace annealing, resulting in a high concentration of these dopants near the surface in addition to dopants having a diffusion error function profile from a relatively constant dopant source. This results in a high-low junction for these doped junctions, leading to a reduction in carrier absorption at the silicon surface and consequently improving cell efficiency.

大きな費用効果がある好適なレーザ装置の構成は、異なるステーションでの並行処理を可能にするマルチステーションプラットホームである。図10は、4つのステーションを有するツールの構成を示す。ウェーハは、アブレーション/アニーリングプロセスの異なるステップを実施する1つのチャックから別のチャックへ回転する。図10に示すように、ウェーハは、ステーション1に装着され、レーザアブレーションパターン形成及び整列レーザアニーリングの精度の基準検出のためのステーション2に移動する。レーザアブレーションはステーション3で行われ、その後、ステーション4でアニーリングが行われる。このスキームは異なるチャック上での並行処理を可能にし、処理能力は、このシーケンスにおける最も遅いプロセスによって制御される点に留意されたい。処理能力を改善するために、チャック上のウェーハの数は、レーザアブレーション及びレーザアニーリングの多ウェーハ能力までの同時増加に伴って増やすことができる。図10Bは、1つのチャック当たり4つのウェーハを示す。   A preferred cost effective laser device configuration is a multi-station platform that allows parallel processing at different stations. FIG. 10 shows the configuration of a tool having four stations. The wafer rotates from one chuck to another performing different steps of the ablation / annealing process. As shown in FIG. 10, the wafer is mounted on station 1 and moved to station 2 for reference detection of the accuracy of laser ablation patterning and alignment laser annealing. Laser ablation is performed at station 3 and then annealing is performed at station 4. Note that this scheme allows parallel processing on different chucks, and throughput is controlled by the slowest process in this sequence. In order to improve throughput, the number of wafers on the chuck can be increased with simultaneous increases in laser ablation and laser annealing to multi-wafer capability. FIG. 10B shows four wafers per chuck.

レーザアブレーション損傷を防止するためのアブレーションマスクの適用
本明細書には、マスク材料の薄層を使用してアブレーション中にレーザビームを吸収してレーザ損傷を防止するスキームが開示されている。このように開いた領域は(マスク材料が除去されている)、下部の誘電体層を湿式エッチングすることでシリコンに対して更に開けることができる。実際には、このスキームでは、マスク薄層は、最初にアブレーションレーザを用いてパターン形成され、次に、このパターンは、開口の誘電体の湿式エッチングによってシリコンに転写される。シリコン基板に到達したレーザビームの強度は低いので、レーザ損傷はなく、シリコン少数キャリア寿命(MCT)は影響を受けない。誘電体をパターン形成するのに用いる湿式エッチングに対して耐性がある任意の非導電性膜は、マスクとして使用することができる。
Application of an Ablation Mask to Prevent Laser Ablation Damage A scheme is disclosed herein that uses a thin layer of mask material to absorb the laser beam during ablation to prevent laser damage. Such open areas (with the mask material removed) can be further opened to silicon by wet etching the underlying dielectric layer. In practice, in this scheme, the mask thin layer is first patterned using an ablation laser, and then this pattern is transferred to the silicon by wet etching of the opening dielectric. Since the intensity of the laser beam reaching the silicon substrate is low, there is no laser damage and the silicon minority carrier lifetime (MCT) is not affected. Any non-conductive film that is resistant to wet etching used to pattern the dielectric can be used as a mask.

図11A〜図11Iは、非晶質シリコン(α−Si)の薄層をレーザアブレーションのパターン形成マスクとして使用するプロセスステップにおけるソーラーセル構造を概略的示す。   11A-11I schematically illustrate a solar cell structure in a process step that uses a thin layer of amorphous silicon (α-Si) as a patterning mask for laser ablation.

図12はウェーハの少数キャリア寿命マップを示し、上半分はアブレーションマスクスキームを使用しないが、下半分はα−Siマスクスキームを使用する。寿命劣化は、ウェーハの下半分には見られなかった。   FIG. 12 shows the minority carrier lifetime map of the wafer, the upper half does not use the ablation mask scheme, but the lower half uses the α-Si mask scheme. No lifetime degradation was seen in the lower half of the wafer.

開示した主題は、多層裏面メタライゼーションを利用する高効率の裏面コンタクト裏面接合ソーラーセルの形成に直接適用することができる。前面コンタクトソーラーセルと比べると、全裏面接合裏面コンタクトのソーラーセルは、セル裏面上に配置された全てのメタライゼーション(ベース及びエミッタメタライゼーションの両方並びにバスバー)を有し、セルの前面(太陽が当たる側)上のメタルランナーに起因した太陽光の遮蔽(従来の前面コンタクトソーラーセルの場合におけるエミッタ金属フィンガー及びバスバーの光学的遮蔽損失)を排除することができる。また、セルのメタライゼーション(ベース及びエミッタコンタクトの両方)は、光学的遮蔽損失を排除するために同じ側(太陽の当たる側と反対側)で形成することができるが、ベース及びエミッタ電極の両方は同じ側で接触する必要があるので、幾つかの裏面コンタクト設計において、セルメタライゼーションがより複雑になる可能性がある(しかしながら、幾つかの例では、同じ側でのベース及びエミッタコンタクトは、モジュールレベルでのソーラーセルの相互接続を簡素化する場合もある)。   The disclosed subject matter can be directly applied to the formation of highly efficient back contact back junction solar cells utilizing multilayer back surface metallization. Compared to the front contact solar cell, the all back junction back contact solar cell has all the metallizations (both base and emitter metallization and busbar) placed on the cell back side, Sunlight shielding due to metal runners on the contact side) (emitter metal finger and busbar optical shielding losses in the case of conventional front contact solar cells) can be eliminated. Cell metallization (both base and emitter contacts) can also be formed on the same side (opposite to the sun) to eliminate optical shielding losses, but both base and emitter electrodes May need to be contacted on the same side, so in some backside contact designs, cell metallization can be more complex (however, in some examples, base and emitter contacts on the same side are May simplify the interconnection of solar cells at the module level).

幾つかの例では、金属パターンの高い忠実度を必要とする櫛形メタライゼーションスキームを用いることができる。また、メタライゼーションパターン幾何形状は、セル効率を高めるために益々小型に形成する場合があるので、メタライゼーション層の所要の厚さも同様に、例えば、125mm×125mm〜156mm×156mmの寸法を有するソーラーセル上で高導電性メタライゼーション層(銅又はアルミニウムなど)として30〜60ミクロンのように著しく増大する可能性がある。   In some examples, a comb metallization scheme that requires high fidelity of the metal pattern can be used. Also, since the metallization pattern geometry may be made smaller and smaller to increase cell efficiency, the required thickness of the metallization layer is also similar, for example, solar having dimensions of 125 mm × 125 mm to 156 mm × 156 mm. As a highly conductive metallization layer (such as copper or aluminum) on the cell, it can increase significantly, such as 30-60 microns.

更に、所要のメタライゼーション厚さを低減するために、セルメタライゼーションは、2つの金属層/レベルに区分化することができ、バックプレーン材料(ポリマーシート等)は、2つのメタライゼーション層の間に形成することができ、厚みのある高コンダクタンスの第2のメタライゼーションレベルから誘発される応力の低減を助長するようになっている。換言すると、バックプレーン材料は、2つのメタライゼーション層を分離し、ソーラーセル基板に構造的支持をもたらし、大面積裏面コンタクトソーラーセルへのスケーリングを可能にする。従って、各層、すなわち第1のメタライゼーション層、バックプレーン材料、及び第2のメタライゼーション層は、コスト及び性能に関して別個に最適化することができる。また、幾つかの二重レベルメタライゼーションの実施形態において、2つの金属レベルは互いに直交してパターン形成され、第2の(最後の)金属レベルは、第1の(オンセル)金属レベルに比べて非常に少数の粗いフィンガーを有する。   In addition, cell metallization can be partitioned into two metal layers / levels to reduce the required metallization thickness, and the backplane material (such as a polymer sheet) can be between two metallization layers. To reduce the stress induced from the thick, high conductance second metallization level. In other words, the backplane material separates the two metallization layers, provides structural support to the solar cell substrate, and allows scaling to large area back contact solar cells. Thus, each layer, i.e., the first metallization layer, the backplane material, and the second metallization layer can be separately optimized for cost and performance. Also, in some dual level metallization embodiments, the two metal levels are patterned orthogonal to each other, and the second (last) metal level is compared to the first (on-cell) metal level. Has very few rough fingers.

また、本明細書に記載される以下の例示的な裏面接合裏面コンタクトソーラーセル設計及び製造プロセスは、電気的に絶縁されて機械的に支持されるバックプレーン層によって分離されたメタライゼーションの2つのレベル(二重層メタライゼーション)を利用することができるが、開示された主題は、穿孔エンドポイント検出による実時間インサイチュ(in−situ)プロセスレーザを必要とし、多レベルメタライゼーションパターン及びメタクリレートスタック(例えば、Al/NiV/Snの第1のレベルのメタライゼーション層)を含むメタライゼーション層を含む、何らかの製造の実施形態に適用することができる。幾つかの例では、バックプレーンとメタライゼーション層の何らかの組み合わせは、恒久的な構造支持体/強化体として機能し、ソーラーセルの出力を著しく損なうことなく又はソーラーセルの製造コストを増大させることなく、高効率の薄い結晶シリコンソーラーセルのための埋め込み式の高導電性(アルミニウム及び/又は銅)相互接続を提供することができる。高効率のソーラーセル、特に薄膜結晶シリコンソーラーセルベースのサブ50ミクロン厚のシリコン基板を生成するためのスキームを用いるレーザプロセスは、本明細書に提示される。   Also, the following exemplary back-junction back contact solar cell design and manufacturing process described herein includes two metallizations separated by a backplane layer that is electrically isolated and mechanically supported. Although levels (double layer metallization) can be utilized, the disclosed subject matter requires a real-time in-situ process laser with perforation endpoint detection and multi-level metallization patterns and methacrylate stacks (eg, , Al / NiV / Sn first level metallization layer), which can be applied to any manufacturing embodiment. In some examples, any combination of backplane and metallization layer functions as a permanent structural support / reinforcement without significantly impairing solar cell output or increasing solar cell manufacturing costs. , Embedded high conductivity (aluminum and / or copper) interconnects for high efficiency thin crystalline silicon solar cells can be provided. A laser process using a scheme for producing high efficiency solar cells, particularly sub-50 micron thick silicon substrates based on thin film crystalline silicon solar cells is presented herein.

幾つかの例では、本明細書で開示される非入れ子のベース領域設計及び方法は、現行の裏面コンタクト裏面接合ソーラーセル構造及び作製プロセスに適用して統合することができる。図13Aは、例えば、非入れ子のベース領域を利用できる裏面コンタクト裏面接合ソーラーセルの形成に関する一般的なプロセスフローである。具体的には、図13Aは、薄いエピタキシャルシリコンリフトオフ処理を用いて試験される薄い結晶シリコンソーラーセル製造プロセスの主要な処理に焦点を当てた一般的プロセスフローであり、これはシリコン使用量を大幅に削減し、従来の製造ステップを排除して低コストの高効率の裏面接合/裏面コンタクト単結晶セルを作成するようになっている。図13Aのプロセスフローは、本明細書で開示されるように非入れ子のベース領域設計及び形成方法を利用し統合することができる多孔質シリコンの剥離層上に再使用可能テンプレート及びエピタキシャルシリコン堆積を用いて形成されたスマートセル及びスマートモジュール設計用の積層バックプレーンを有するソーラーセルの作製を示している。   In some examples, the non-nested base region designs and methods disclosed herein can be applied and integrated into current back contact back junction solar cell structures and fabrication processes. FIG. 13A is a general process flow for forming a back contact back junction solar cell that can utilize a non-nested base region, for example. Specifically, FIG. 13A is a general process flow focused on the main process of a thin crystalline silicon solar cell manufacturing process that is tested using a thin epitaxial silicon lift-off process, which significantly increases silicon usage. Therefore, the conventional manufacturing step is eliminated, and a low-cost and highly efficient backside junction / backside contact single crystal cell is created. The process flow of FIG. 13A shows a reusable template and epitaxial silicon deposition on a porous silicon release layer that can be integrated using non-nested base region design and formation methods as disclosed herein. FIG. 4 illustrates the fabrication of a solar cell having a stacked backplane for smart cell and smart module design formed using the same.

図13Aに示されるプロセスは、典型的にはp型単結晶シリコンウェーハから作られた再使用可能シリコンテンプレートから始まり、ウェーハ上には多孔質シリコンの薄い犠牲層が形成されている(例えば、電流の存在下でHF/IPA湿式化学の表面改質プロセスによる電気化学エッチングプロセスによって)。出発材料又は再使用可能テンプレートは、例えば、FZ、CZ、MCZ(磁気安定化CZ)などの結晶成長法を用いて形成された単結晶シリコンウェーハとすることができ、更に、当該シリコンウェーハ上に成長させたエピタキシャル層を含む。半導体ドープ型は、p型又はn型の何れでもよく、ウェーハ形状は(最も一般的には正方形であるが)、準方形又は円形等の任意の幾何学的又は非幾何学的形状とすることができる。   The process shown in FIG. 13A typically starts with a reusable silicon template made from a p-type single crystal silicon wafer, on which a thin sacrificial layer of porous silicon is formed (eg, current). In the presence of HF / IPA wet chemistry by an electrochemical etching process with a surface modification process). The starting material or reusable template can be, for example, a single crystal silicon wafer formed using a crystal growth method such as FZ, CZ, MCZ (magnetically stabilized CZ), and further on the silicon wafer. Including a grown epitaxial layer; The semiconductor doped type may be either p-type or n-type, and the wafer shape (most commonly square), but any geometric or non-geometric shape such as quasi-square or circular Can do.

高品質エピタキシャルシード層並びに後続の分離/リフトオフ層の両方の機能を果たす多孔質犠牲シリコン層が形成されると、インサイチュ(in−situ)ドープされた単結晶シリコンの薄層(例えば、数ミクロンから最大で約70ミクロンの範囲の層厚さ、又は約50ミクロン未満の厚さ)が形成され、エピタキシャル成長とも呼ばれる。インサイチュ(in−situ)ドープされた単結晶シリコン層は、例えば、トリクロロシランすなわちTCSなどのシリコンガス及び水素を含む環境大気中で化学蒸着すなわちCVDプロセスを用いて大気圧エピタキシーにより形成することができる。   Once a porous sacrificial silicon layer is formed that serves as both a high quality epitaxial seed layer as well as a subsequent isolation / lift-off layer, a thin layer of in-situ doped single crystal silicon (eg, from a few microns) A layer thickness in the range of up to about 70 microns, or a thickness of less than about 50 microns) is formed, also referred to as epitaxial growth. In-situ doped single crystal silicon layers can be formed by atmospheric pressure epitaxy using chemical vapor deposition or CVD processes in an ambient atmosphere containing, for example, silicon gas such as trichlorosilane or TCS and hydrogen and hydrogen. .

バックプレーン積層の前に、例えば、スクリーン印刷又はスパッタ(PVD)もしくは蒸着アルミニウム(又はアルミニウムシリコン合金又はAl/NiV/Snスタック)材料層の薄層を用いて、ソーラーセルベース及びエミッタコンタクトメタライゼーションパターンがセル裏面上に直接形成される。メタライゼーションの第1の層(本明細書ではM1と呼ばれる)は、ソーラーセルコンタクトメタライゼーションパターン、例えば、櫛形裏面コンタクト(IBC)セルのベース及びエミッタを定めるファインピッチIBC導体フィンガーを定める。M1層は、ソーラーセルの電流及び電圧を取り出し、ソーラーセル電力を、M1の後に形成されたより高導電性のソーラーセルメタライゼーションの第2のレベル/層(本明細書ではM2と呼ばれる)に伝送する。   Prior to backplane lamination, solar cell base and emitter contact metallization patterns, for example using screen printing or thin layers of sputtered (PVD) or deposited aluminum (or aluminum silicon alloy or Al / NiV / Sn stack) material layers Is formed directly on the back surface of the cell. A first layer of metallization (referred to herein as M1) defines a solar cell contact metallization pattern, eg, fine pitch IBC conductor fingers that define the base and emitter of a comb back contact (IBC) cell. The M1 layer takes the solar cell current and voltage and transmits the solar cell power to a second level / layer of higher conductivity solar cell metallization (referred to herein as M2) formed after M1. To do.

ソーラーセル処理ステップの大部分が完了した後、恒久的セル支持体及び強化体として、並びにソーラーセルの高導電性セルメタライゼーションを支持するために超低コストのバックプレーン層を薄いエピ層に結合することができる。バックプレーン材料は、セルプロセス統合及び信頼性要件に適合するプリント基板に一般に使用される安価なプリプレグ材料のような、薄い(例えば、約50〜250ミクロンの範囲、及び幾つかの例では、50〜150ミクロンの範囲の厚さ)可撓性のある電気的絶縁性のポリマー材料シートから作ることができる。次に、大部分が処理された裏面コンタクト裏面接合バックプレーン強化された大面積ソーラーセルは(例えば、少なくとも125mm×125mm、156mm×156mm、又はそれよりも大きいソーラーセル面積)、機械的に脆弱な犠牲多孔質シリコン層に沿ってテンプレートから分離されリフトオフされるが(例えば、機械的剥離MRプロセスによって)、テンプレートは、ソーラーセルの製造コストを更に最小限にするために何回も再使用することができる。次に、テンプレートから剥離された後に露出されるソーラーセルの太陽に当たる側で最終セル処理を実施することができる。太陽に当たる側の処理は、例えば、前面組織化及びパッシベーションの完了、並びに反射防止コーティング堆積プロセスを含むことができる。   After most of the solar cell processing steps are completed, the ultra-low cost backplane layer is bonded to a thin epi layer as a permanent cell support and reinforcement and to support the high conductivity cell metallization of the solar cell can do. The backplane material is thin (e.g., in the range of about 50-250 microns, and in some instances 50%, such as inexpensive prepreg materials commonly used for printed circuit boards that meet cell process integration and reliability requirements. (Thickness in the range of ~ 150 microns) can be made from a sheet of flexible, electrically insulating polymer material. Next, the majority treated back contact back junction backplane enhanced large area solar cell (eg, solar cell area at least 125 mm x 125 mm, 156 mm x 156 mm, or larger) is mechanically fragile. Although separated from the template and lifted off along the sacrificial porous silicon layer (eg, by a mechanical debonding MR process), the template may be reused many times to further minimize solar cell manufacturing costs Can do. Next, a final cell treatment can be performed on the sun-exposed side of the solar cell that is exposed after peeling from the template. Sun-side treatment can include, for example, completion of front organization and passivation, and an anti-reflective coating deposition process.

図13Aに概略を示したフローを参照して説明したように、バックプレーンの形成(M1層の上部、内部、又は近傍での)、その後の機械的に脆弱な犠牲多孔質シリコン層に沿ったテンプレートからのバックプレーン支持ソーラーセルの取り外し、及び前面組織化及びパッシベーションプロセスの完了後、より高導電性のM2層がバックプレーン上に形成される。ビアホール(幾つかの例では、最大で数百又は数千のビアホール)がバックプレーン内に穿孔され(例えば、レーザ孔加工により)、約50〜最大500ミクロンの範囲の直径を有することができる。これらのビアホールは、内部に形成された導電性プラグを介したパターン形成されたM2層とM1層との間の後続の電気接続部のための、M1の事前に指定された領域上にある。ビアホール充填及び導電性プラグ形成の後又はこれと同時に、パターン形成されたより高導電性のメタライゼーション層M2が形成される(例えば、アルミニウム、Al/NIV、Al/NiV/Sn、又は銅を含むM2材料を用いて、プラズマスパッタ、メッキ、蒸着、又はこれらの組み合わせによって)。M1上のファインピッチIBCフィンガー(例えば、数百のフィンガー)を有する櫛形裏面コンタクト(IBC)ソーラーセルでは、パターン形成されたM2層は、M1に直交して設計することができ、換言すると、矩形又はテーパー付きのM2フィンガーは、M1フィンガーに対して本質的に直交する。この直交変換に起因して、M2層は、M1層よりも遙かに少ないIBCフィンガーを有することができる(例えば、約10〜50分の1だけ少ないM2フィンガー)。従って、M2層は、M1層よりも幅広のIBCフィンガーを有する遙かに粗いパターンで形成することができる。ソーラーセルバスバーは、オンセルバスバーに関連する電気的遮蔽損失を排除するために、M1層上ではなく、M2層上に設けることができる。ベース及びエミッタ相互接続及びバスバーの両方は、ソーラーセル裏面バックプレーンのM2層上に設けることができるので、ソーラーセルの裏面からバックプレーン上のソーラーセルのベース端子及びエミッタ端子の両方に電気的アクセスが可能である。   As described with reference to the flow outlined in FIG. 13A, the formation of the backplane (at the top, inside, or near the M1 layer) followed by a mechanically fragile sacrificial porous silicon layer After removal of the backplane supporting solar cell from the template and completion of the front organization and passivation process, a more conductive M2 layer is formed on the backplane. Via holes (in some examples, up to hundreds or thousands of via holes) are drilled into the backplane (eg, by laser drilling) and can have diameters ranging from about 50 to up to 500 microns. These via holes are on a pre-designated region of M1 for subsequent electrical connections between the patterned M2 layer and M1 layer via conductive plugs formed therein. After or concurrently with via hole filling and conductive plug formation, a patterned higher conductivity metallization layer M2 is formed (eg, M2 including aluminum, Al / NIV, Al / NiV / Sn, or copper). (Using materials, by plasma sputtering, plating, vapor deposition, or a combination thereof). In a comb back contact (IBC) solar cell with fine pitch IBC fingers (eg, hundreds of fingers) on M1, the patterned M2 layer can be designed orthogonal to M1, in other words, rectangular Or the tapered M2 finger is essentially orthogonal to the M1 finger. Due to this orthogonal transform, the M2 layer can have much fewer IBC fingers than the M1 layer (eg, about 10-50 times less M2 fingers). Accordingly, the M2 layer can be formed with a much coarser pattern having a wider IBC finger than the M1 layer. The solar cell bus bar can be provided on the M2 layer rather than the M1 layer to eliminate the electrical shielding losses associated with the on-cell bus bar. Both base and emitter interconnects and busbars can be provided on the M2 layer of the solar cell backside backplane, so electrical access from the backside of the solar cell to both the base and emitter terminals of the solar cell on the backplane Is possible.

M1とM2との間に形成されたバックプレーン材料は、薄いシリコン層上に過度の熱誘起応力が発生するのを回避するために、十分に低い熱膨張係数(CTE)を有するポリマー材料の薄いシートとすることができる。更に、バックプレーン材料は、バックエンドセル作製プロセスにおけるプロセス統合要件に、詳細には、セル前面の湿式組織化中の耐薬品性及び前面パッシベーション及びARC層のPECVD堆積中の熱的安定性に適合する必要がある。また、電気的に絶縁したバックプレーン材料は、モジュールレベル積層プロセス及び長期信頼性要件にも適合する必要がある。種々の好適なポリマー材料(プラスチック、フルオロポリマー、プリプレグ等)及び好適な非ポリマー材料(ガラス、セラミック等)をバックプレーン材料として用いることができるが、バックプレーン材料の選択肢は、限定されるものではないが、コスト、プロセス統合の容易性、信頼性、柔軟性等を含む多くの考慮事項によって決まる。   The backplane material formed between M1 and M2 is a thin polymer material having a sufficiently low coefficient of thermal expansion (CTE) to avoid excessive thermal induced stresses on the thin silicon layer. It can be a sheet. Furthermore, the backplane material meets process integration requirements in the back-end cell fabrication process, in particular chemical resistance during wet organization of the cell front and thermal passivation during PECVD deposition of the ARC layer. There is a need to. The electrically isolated backplane material must also meet module level lamination processes and long term reliability requirements. A variety of suitable polymeric materials (plastics, fluoropolymers, prepregs, etc.) and suitable non-polymeric materials (glass, ceramics, etc.) can be used as backplane materials, but backplane material options are not limited Not, but depends on many considerations including cost, ease of process integration, reliability, flexibility, etc.

バックプレーン材料としての好適な材料選択肢はプリプレグである。プリプレグは、プリント回路基板の構成要素として使用され、樹脂及びCTE低減繊維又は粒子の組み合わせから作ることができる。バックプレーン材料は、安価で低CTE(通常は、CTE<10ppm/℃、又はCTE<5ppm/℃)の薄い(例えば、50〜250ミクロン、及びより詳細には約50〜150ミクロンの範囲)プリプレグシートとすることができ、このシートは、組織化化学物質に対して比較的化学的に耐性があり、少なくとも180℃(又は少なくとも280℃ほど)の温度で熱的に安定している。プリプレグシートは、テンプレート上にある状態で(セルのリフトオフプロセスの前に)、真空ラミネータを用いてソーラーセル裏面に取り付けることができる。熱及び圧力を加えると、薄いプリプレグシートは、処理されたソーラーセルの裏面に恒久的に積層又は取り付けられる。次に、リフトオフ剥離境界が、例えばパルスレーザスクラビングツールを用いてソーラーセルの周辺(テンプレート縁部付近)に規定され、次に、機械的剥離又はリフトオフプロセスを用いて再使用可能テンプレートから分離される。後続のプロセスステップは、(i)ソーラーセルの太陽の当たる側での組織化及びパッシベーションプロセスの完了、(ii)セル裏面(ソーラーセルバックプレーンの一部を含むことができる)上でのソーラーセルの高導電性メタライゼーションの完了を含むことができる。エミッタ極性及びベース極性の両方を含む高導電性メタライゼーションM2層は(例えば、アルミニウム、銅、又は銀を含む)、積層ソーラーセルバックプレーン上に形成される。   A preferred material option for the backplane material is prepreg. The prepreg is used as a component of a printed circuit board and can be made from a combination of resin and CTE-reducing fibers or particles. The backplane material is an inexpensive, low CTE (usually CTE <10 ppm / ° C. or CTE <5 ppm / ° C.) thin prepreg (eg, in the range of 50-250 microns, and more specifically about 50-150 microns). The sheet can be a sheet, which is relatively chemically resistant to organized chemicals and is thermally stable at a temperature of at least 180 ° C. (or at least as high as 280 ° C.). The prepreg sheet can be attached to the backside of the solar cell using a vacuum laminator while on the template (before the cell lift-off process). Upon application of heat and pressure, a thin prepreg sheet is permanently laminated or attached to the backside of the treated solar cell. Next, a lift-off stripping boundary is defined around the solar cell (near the template edge) using, for example, a pulsed laser scrubbing tool and then separated from the reusable template using a mechanical stripping or lift-off process. . Subsequent process steps include: (i) completion of the solar cell's sun-organization and passivation process, (ii) solar cell on the back of the cell (which may include part of the solar cell backplane) Completion of high conductivity metallization. A highly conductive metallization M2 layer (including, for example, aluminum, copper, or silver) that includes both an emitter polarity and a base polarity is formed on the stacked solar cell backplane.

一般的に、プリプレグは、樹脂に予め含浸され、複合部分を生成するのにすぐ使用できる補強材料である(プリプレグを使用して、湿式レイアップシステムよりも速く容易に複合材を生成することができる)。プリプレグは、一貫性を保証するように設計された要件を用いて、特別に配合されたプリ触媒樹脂と補強繊維又は織物を組合せることによって製造することができる。プリプレグは、柔軟性のある裏打ち紙によって覆われると、室温において所定期間(アウトライフ)にわたって取り扱いが容易で成形しやすい場合がある。更に、プリプレグの進歩は、貯蔵に冷凍を必要としない材料、より長い在庫保存期間を有するプリプレグ、及び低温で硬化する製品を生成している。プリプレグ積層体は、加圧下で加熱することによって硬化することができる。従来のプリプレグは、高圧蒸気硬化を目的として配合されるが、低温プリプレグは、はるかに低い温度で真空バッグ圧力を単独で用いて完全に硬化することができる。   In general, prepregs are reinforcing materials that are pre-impregnated with resin and ready to use to produce composite parts (prepregs can be used to produce composites faster and easier than wet layup systems. it can). The prepreg can be manufactured by combining a specially formulated pre-catalyst resin with a reinforcing fiber or fabric using requirements designed to ensure consistency. When the prepreg is covered with a flexible backing paper, it may be easy to handle and form at room temperature for a predetermined period (out-life). In addition, prepreg advances have produced materials that do not require refrigeration for storage, prepregs with longer shelf life, and products that cure at low temperatures. The prepreg laminate can be cured by heating under pressure. Conventional prepregs are formulated for high pressure steam curing, while low temperature prepregs can be fully cured using vacuum bag pressure alone at much lower temperatures.

図13Bは、エピタキシャルシリコンリフトオフ処理を用いて裏面コンタクト/裏面接合セルを形成する代表的な製造プロセスフローであり、以下の作製ステップを含むことができる。1)再使用可能テンプレートから始まり、2)テンプレート上に多孔質シリコン(例えば、陽極エッチングを用いて二重層多孔質Siを形成)し、3)in−situドープによりエピタキシャルシリコンを堆積し、4)M1形成を含む、テンプレート上にある間に裏面コンタクト/裏面接合セル処理を実施し、5)裏面コンタクトセル上にバックプレーンシートを積層し、6)バックプレーン付近の剥離境界部をレーザスクライブしてエピタキシャルシリコン層を形成してセルを取り外し、7)湿式シリコンエッチング/テキスチャ/洗浄、PECVD太陽当たる側及びトレンチ縁部のパッシベーション、バックプレーンにおけるビアホールのレーザ穿孔、金属(Al)のPVD堆積又は蒸着又はM2のためのメッキ(Cu)、及びM2パターン形成を完了する最終レーザアブレーションを含むバックエンドプロセスに移る。   FIG. 13B is an exemplary manufacturing process flow for forming a back contact / back junction cell using an epitaxial silicon lift-off process and can include the following fabrication steps. 1) starting with a reusable template, 2) porous silicon on the template (eg, forming anodic etching to form double layer porous Si), 3) depositing epitaxial silicon by in-situ doping, 4) Backside contact / backside junction cell processing is performed while on the template, including M1 formation, 5) a backplane sheet is laminated on the backside contact cell, and 6) a laser scribing is performed on the peeling boundary near the backplane. Form epitaxial silicon layer and remove cell, 7) wet silicon etch / texture / clean, PECVD sun-side and trench edge passivation, laser drilling of via holes in backplane, metal (Al) PVD deposition or evaporation or Plating for M2 (Cu) and M2 Turning to the back-end process, including the final laser ablation complete over emissions formation.

図13A及び図13Bの記載したプロセスフローは、本明細書に開示される非入れ子のベース設計と容易かつ好都合に統合することができる約10〜最大約100ミクロンの範囲の例示的な厚さを有する、エピタキシャル堆積されたシリコン薄膜上に形成されたソーラーセルをもたらす。   The described process flow of FIGS. 13A and 13B provides exemplary thicknesses ranging from about 10 to up to about 100 microns that can be easily and conveniently integrated with the non-nested base design disclosed herein. Resulting in a solar cell formed on an epitaxially deposited silicon thin film.

当業者は、開示した実施形態が上に記載したこれらの特定の実施例に加えて広範な領域と関係があることを認識するであろう。   Those skilled in the art will recognize that the disclosed embodiments relate to a wide range of areas in addition to these specific examples described above.

例示的な実施形態に関する前記の説明は、当業者が請求項に記載された主題を実施又は使用できるようにするために提供される。これらの実施形態に対する種々の修正形態は、当業者には容易に理解され、本明細書で定義された一般的な原理は、斬新な機能を用いることなく他の実施形態に適用することができる。従って、請求項に記載された主題は、本明細書で示される実施形態に限定されるものではなく、本明細書で開示された原理及び新規の特徴と一致する最も広い範囲と認められるべきである。また、本明細書内に含まれる全てのこのような追加のシステム、方法、特徴、及び利点は、請求項の範囲内にあるものとする。   The previous description of exemplary embodiments is provided to enable any person skilled in the art to make or use the claimed subject matter. Various modifications to these embodiments will be readily apparent to those skilled in the art, and the general principles defined herein may be applied to other embodiments without using novel features. . Accordingly, the claimed subject matter is not limited to the embodiments set forth herein but should be accorded the widest scope consistent with the principles and novel features disclosed herein. is there. Also, all such additional systems, methods, features, and advantages included within this specification are intended to be within the scope of the claims.

Claims (26)

裏面コンタクト裏面接合結晶半導体ソーラーセルであって、
パターン形成櫛形ドープエミッタ領域及びベース領域を含む受光パッシベーション前面及びパッシベーション裏面を含む、結晶半導体基板と、
前記裏面に隣接したドープ層及び該ドープ層上の非ドープ層を含む、前記裏面上のパターン形成電気絶縁体層と、
前記エミッタ領域に接触するエミッタメタライゼーション電極と、前記ベース領域に接触する非入れ子のベースメタライゼーション電極とを含む、コンタクトメタライゼーションパターンと、
を備え、
前記非入れ子のベースメタライゼーション電極は、前記ベース領域を越えて前記ソーラーセルにおいて電気シャントを生じることなく前記パターン形成絶縁体の少なくとも一部分と重なることを特徴とする、裏面コンタクト結晶半導体ソーラーセル。
A back contact back junction crystal semiconductor solar cell,
A crystalline semiconductor substrate including a light-receiving passivation front surface and a passivation back surface including a patterned comb-doped emitter region and a base region;
A patterned electrical insulator layer on the back surface comprising a doped layer adjacent to the back surface and an undoped layer on the doped layer;
A contact metallization pattern comprising an emitter metallization electrode in contact with the emitter region and a non-nested base metallization electrode in contact with the base region;
With
The back contact crystalline semiconductor solar cell, wherein the non-nested base metallization electrode overlaps at least a portion of the patterned insulator beyond the base region without causing an electrical shunt in the solar cell.
前記エミッタ及びベースメタライゼーション電極は、アルミニウムを含む、請求項1に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystal semiconductor solar cell of claim 1, wherein the emitter and base metallization electrodes comprise aluminum. 前記エミッタ及びベースメタライゼーション電極は、アルミニウムシリコンを含む、請求項1に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystal semiconductor solar cell of claim 1, wherein the emitter and base metallization electrodes comprise aluminum silicon. 前記エミッタ及びベースメタライゼーション電極は、櫛形パターンを含む、請求項1に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystal semiconductor solar cell of claim 1, wherein the emitter and base metallization electrodes comprise a comb pattern. 前記ソーラーセルは、電気絶縁バックプレーンによって前記コンタクトメタライゼーションパターンから分離された第2のパターン形成メタライゼーション層を使用する、請求項1に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystalline semiconductor solar cell of claim 1, wherein the solar cell uses a second patterned metallization layer separated from the contact metallization pattern by an electrically insulating backplane. 前記パターン形成電気絶縁体層は、少なくともドープガラス層及び非ドープガラス層の組み合わせを含む、請求項1に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystal semiconductor solar cell of claim 1, wherein the patterned electrical insulator layer comprises at least a combination of a doped glass layer and an undoped glass layer. 前記パターン形成電気絶縁体層は、ホウケイ酸塩ガラスの第1の層及びリンケイ酸塩ガラスの第2の層を含む、請求項1に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystalline semiconductor solar cell of claim 1, wherein the patterned electrical insulator layer comprises a first layer of borosilicate glass and a second layer of phosphosilicate glass. 前記パターン形成電気絶縁体層は、ホウケイ酸塩ガラスの第1の層、ホウケイ酸塩ガラスの第2の層、及びリンケイ酸塩ガラスを含む、請求項1に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystalline semiconductor solar cell of claim 1, wherein the patterned electrical insulator layer comprises a first layer of borosilicate glass, a second layer of borosilicate glass, and phosphosilicate glass. 裏面コンタクト裏面接合結晶半導体ソーラーセルであって、
ドープエミッタ及び不連続の離散的ベース領域を含む受光パッシベーション前面及びパッシベーション裏面を含む、結晶半導体基板と、
前記裏面に隣接したドープ層及び該ドープ層上の非ドープキャッピング層を含む、前記裏面上のパターン形成電気絶縁体層と、
前記エミッタ領域に接触するエミッタメタライゼーション電極と前記ベース領域に接触する非入れ子のベースメタライゼーション電極とを含む、コンタクトメタライゼーションパターンと、
を備え、
前記非入れ子のベースメタライゼーション電極は、前記ベース領域を越えて前記ソーラーセルにおいて電気シャントを生じることなく前記パターン形成絶縁体の少なくとも一部分と重なることを特徴とする、裏面コンタクト結晶半導体ソーラーセル。
A back contact back junction crystal semiconductor solar cell,
A crystalline semiconductor substrate comprising a light-receiving passivation front surface including a doped emitter and a discrete base region that is discontinuous and a back surface of the passivation;
A patterned electrical insulator layer on the back surface comprising a doped layer adjacent to the back surface and an undoped capping layer on the doped layer;
A contact metallization pattern comprising an emitter metallization electrode in contact with the emitter region and a non-nested base metallization electrode in contact with the base region;
With
The back contact crystalline semiconductor solar cell, wherein the non-nested base metallization electrode overlaps at least a portion of the patterned insulator beyond the base region without causing an electrical shunt in the solar cell.
前記エミッタ及びベースメタライゼーション電極は、アルミニウムを含む、請求項9に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystal semiconductor solar cell of claim 9, wherein the emitter and base metallization electrodes comprise aluminum. 前記エミッタ及びベースメタライゼーション電極は、アルミニウムシリコンを含む、請求項9に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystal semiconductor solar cell of claim 9, wherein the emitter and base metallization electrodes comprise aluminum silicon. 前記エミッタ及びベースメタライゼーション電極は、櫛形パターンを含む、請求項9に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystal semiconductor solar cell of claim 9, wherein the emitter and base metallization electrodes comprise a comb pattern. 前記ソーラーセルは、電気絶縁バックプレーンによって前記コンタクトメタライゼーションパターンから分離された第2のパターン形成メタライゼーション層を使用する、請求項9に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystalline semiconductor solar cell of claim 9, wherein the solar cell uses a second patterned metallization layer separated from the contact metallization pattern by an electrically insulating backplane. 前記パターン形成電気絶縁体層は、少なくともドープガラス層及び非ドープガラス層の組み合わせを含む、請求項9に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystal semiconductor solar cell of claim 9, wherein the patterned electrical insulator layer comprises at least a combination of a doped glass layer and an undoped glass layer. 前記パターン形成電気絶縁体層は、ホウケイ酸塩ガラスの第1の層及びリンケイ酸塩ガラスの第2の層を含む、請求項9に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystalline semiconductor solar cell of claim 9, wherein the patterned electrical insulator layer comprises a first layer of borosilicate glass and a second layer of phosphosilicate glass. 前記パターン形成電気絶縁体層は、ホウケイ酸塩ガラスの第1の層、ホウケイ酸塩ガラスの第2の層、及びリンケイ酸塩ガラスを含む、請求項9に記載の裏面コンタクト結晶半導体ソーラーセル。   The back contact crystalline semiconductor solar cell of claim 9, wherein the patterned electrical insulator layer comprises a first layer of borosilicate glass, a second layer of borosilicate glass, and phosphosilicate glass. 裏面コンタクト裏面接合結晶半導体ソーラーセルを形成する方法であって、
パターン形成櫛形エミッタ及びベース領域を結晶半導体基板の裏面上に形成する段階と、
前記パターン形成ドープエミッタ領域及びベース領域上に少なくともドープ層及び非ドープキャッピング層の組み合わせを含むパターン形成電気絶縁層スタックを形成する段階と、
前記エミッタ領域に接触するエミッタメタライゼーション電極と、前記ベース領域に接触する非入れ子のベースメタライゼーション電極とを含むコンタクトメタライゼーションパターンを形成する段階と、
を含み、
前記非入れ子のベースメタライゼーション電極は、前記ベース領域を越えて前記ソーラーセルにおいて電気シャントを生じることなく前記パターン形成絶縁体の少なくとも一部分と重なることを特徴とする方法。
A method of forming a back contact back junction crystal semiconductor solar cell,
Forming a patterned comb emitter and base region on the back side of the crystalline semiconductor substrate;
Forming a patterned electrically insulating layer stack comprising a combination of at least a doped layer and an undoped capping layer on the patterned doped emitter region and base region;
Forming a contact metallization pattern comprising an emitter metallization electrode in contact with the emitter region and a non-nested base metallization electrode in contact with the base region;
Including
The method of claim 1, wherein the non-nested base metallization electrode overlaps at least a portion of the patterned insulator beyond the base region without causing an electrical shunt in the solar cell.
前記電気絶縁層スタックは、化学蒸着プロセスにより形成される、請求項17に記載の裏面コンタクト裏面接合ソーラーセルを形成する方法。   The method of forming a back contact back junction solar cell according to claim 17, wherein the electrically insulating layer stack is formed by a chemical vapor deposition process. 前記電気絶縁層スタックは、大気圧化学蒸着プロセスを用いて形成される、請求項17に記載の裏面コンタクト裏面接合ソーラーセルを形成する方法。   The method of forming a back contact back junction solar cell according to claim 17, wherein the electrically insulating layer stack is formed using an atmospheric pressure chemical vapor deposition process. 前記電気絶縁層スタックは、低圧化学蒸着プロセスにより形成される、請求項17に記載の裏面コンタクト裏面接合ソーラーセルを形成する方法。   The method of forming a back contact back junction solar cell according to claim 17, wherein the electrically insulating layer stack is formed by a low pressure chemical vapor deposition process. 前記電気絶縁層は、ドープ酸化物層及び非ドープ酸化物層の組み合わせを含む、請求項17に記載の裏面コンタクト裏面接合ソーラーセルを形成する方法。   The method of forming a back contact back junction solar cell according to claim 17, wherein the electrically insulating layer comprises a combination of a doped oxide layer and an undoped oxide layer. 裏面コンタクト裏面接合結晶半導体ソーラーセルを形成する方法であって、
パターン形成ドープエミッタ及び不連続の離散的ベース領域を結晶半導体基板の裏面上に形成する段階と、
前記パターン形成ドープエミッタ領域及びベース領域上に少なくともドープ層及び非ドープキャッピング層の組み合わせを含むパターン形成電気絶縁層スタックを形成する段階と、
前記エミッタ領域に接触するエミッタメタライゼーション電極と、前記ベース領域に接触する非入れ子のベースメタライゼーション電極とを含むコンタクトメタライゼーションパターンを形成する段階と、
を含み、
前記非入れ子のベースメタライゼーション電極は、前記ベース領域を越えて前記ソーラーセルにおいて電気シャントを生じることなく前記パターン形成絶縁体の少なくとも一部分と重なることを特徴とする方法。
A method of forming a back contact back junction crystal semiconductor solar cell,
Forming a patterned doped emitter and discontinuous discrete base regions on the backside of the crystalline semiconductor substrate;
Forming a patterned electrically insulating layer stack comprising a combination of at least a doped layer and an undoped capping layer on the patterned doped emitter region and base region;
Forming a contact metallization pattern comprising an emitter metallization electrode in contact with the emitter region and a non-nested base metallization electrode in contact with the base region;
Including
The method of claim 1, wherein the non-nested base metallization electrode overlaps at least a portion of the patterned insulator beyond the base region without causing an electrical shunt in the solar cell.
前記電気絶縁層スタックは、化学蒸着プロセスにより形成される、請求項22に記載の裏面コンタクト裏面接合ソーラーセルを形成する方法。   24. The method of forming a back contact back junction solar cell according to claim 22, wherein the electrically insulating layer stack is formed by a chemical vapor deposition process. 前記電気絶縁層スタックは、大気圧化学蒸着プロセスを用いて形成される、請求項22に記載の裏面コンタクト裏面接合ソーラーセルを形成する方法。   24. The method of forming a back contact back junction solar cell according to claim 22, wherein the electrically insulating layer stack is formed using an atmospheric pressure chemical vapor deposition process. 前記電気絶縁層スタックは、低圧化学蒸着プロセスにより形成される、請求項22に記載の裏面コンタクト裏面接合ソーラーセルを形成する方法。   23. The method of forming a back contact back junction solar cell according to claim 22, wherein the electrically insulating layer stack is formed by a low pressure chemical vapor deposition process. 前記電気絶縁層は、ドープ酸化物層及び非ドープ酸化物層の組み合わせを含む、請求項22に記載の裏面コンタクト裏面接合ソーラーセルを形成する方法。   24. The method of forming a back contact back junction solar cell according to claim 22, wherein the electrically insulating layer comprises a combination of a doped oxide layer and an undoped oxide layer.
JP2015515163A 2012-05-29 2013-05-29 Structure of continuous and discontinuous base region of high efficiency back contact solar cell and method of forming the same Pending JP2015528196A (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261652833P 2012-05-29 2012-05-29
US61/652,833 2012-05-29
US201361816830P 2013-04-29 2013-04-29
US61/816,830 2013-04-29
US201361827252P 2013-05-24 2013-05-24
US61/827,252 2013-05-24
PCT/US2013/043193 WO2013181298A1 (en) 2012-05-29 2013-05-29 Structures and methods of formation of contiguous and non-contiguous base regions for high efficiency back-contact solar cells

Publications (2)

Publication Number Publication Date
JP2015528196A true JP2015528196A (en) 2015-09-24
JP2015528196A5 JP2015528196A5 (en) 2016-07-28

Family

ID=49673880

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015515163A Pending JP2015528196A (en) 2012-05-29 2013-05-29 Structure of continuous and discontinuous base region of high efficiency back contact solar cell and method of forming the same

Country Status (7)

Country Link
EP (1) EP2856512A4 (en)
JP (1) JP2015528196A (en)
KR (1) KR101528447B1 (en)
CN (1) CN104737302A (en)
AU (2) AU2013267481A1 (en)
MY (1) MY184055A (en)
WO (1) WO2013181298A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017118112A (en) * 2015-12-21 2017-06-29 エルジー エレクトロニクス インコーポレイティド Solar cell and method of manufacturing the same
WO2019017281A1 (en) * 2017-07-18 2019-01-24 シャープ株式会社 Photoelectric conversion device
US11226257B2 (en) 2017-02-20 2022-01-18 Fujikin Inc. Anomaly detection device for fluid controller, anomaly detection system, anamoly detection method, and fluid controller

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105322032A (en) * 2014-07-30 2016-02-10 英稳达科技股份有限公司 Solar battery
WO2017008120A1 (en) * 2015-07-14 2017-01-19 Newsouth Innovations Pty Limited A method for forming a contacting structure to a back contact solar cell
EP3624204B1 (en) * 2018-09-13 2023-04-26 IMEC vzw Selective deposition for interdigitated patterns in solar cells

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005310830A (en) * 2004-04-16 2005-11-04 Sharp Corp Solar cell and manufacturing method thereof
JP2011061020A (en) * 2009-09-10 2011-03-24 Sharp Corp Back contact solar cell element, and method of manufacturing the same
JP2011527112A (en) * 2008-07-01 2011-10-20 サンパワー コーポレイション Front contact solar cell having conductive layers formed on the front and rear surfaces
JP2012501550A (en) * 2008-08-27 2012-01-19 アプライド マテリアルズ インコーポレイテッド Back-contact solar cells using printed dielectric barriers
US20120103408A1 (en) * 2010-08-05 2012-05-03 Solexel, Inc. Backplane reinforcement and interconnects for solar cells
US20120122272A1 (en) * 2007-10-06 2012-05-17 Solexel, Inc. High-throughput flat top laser beam processing for back contact solar cells

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6337283B1 (en) * 1999-12-30 2002-01-08 Sunpower Corporation Method of fabricating a silicon solar cell
DE102004050269A1 (en) * 2004-10-14 2006-04-20 Institut Für Solarenergieforschung Gmbh Process for the contact separation of electrically conductive layers on back-contacted solar cells and solar cell
WO2008080160A1 (en) * 2006-12-22 2008-07-03 Advent Solar, Inc. Interconnect technologies for back contact solar cells and modules
US20100084009A1 (en) * 2007-03-16 2010-04-08 Bp Corporation North America Inc. Solar Cells
DE102007059486A1 (en) * 2007-12-11 2009-06-18 Institut Für Solarenergieforschung Gmbh Rear contact solar cell with elongated, interleaved emitter and base regions at the back and manufacturing method thereof
US20090217963A1 (en) * 2008-02-29 2009-09-03 Motorola, Inc. Photovoltaic apparatus for charging a portable electronic device and method for making
US20090301559A1 (en) * 2008-05-13 2009-12-10 Georgia Tech Research Corporation Solar cell having a high quality rear surface spin-on dielectric layer
DE102009003467A1 (en) * 2009-02-11 2010-08-19 Q-Cells Se Rear-contacted solar cell
CN102428565A (en) * 2009-03-26 2012-04-25 Bp北美公司 Apparatus and method for solar cells with laser fired contacts in thermally diffused doped regions
KR101153377B1 (en) * 2009-08-24 2012-06-07 주식회사 효성 Back junction solar cell having improved rear structure and method for manufacturing therof
CN102782869B (en) * 2009-12-09 2013-12-25 速力斯公司 High-efficiency photovoltaic back-contact solar cell structures and manufacturing methods using three-dimensional semiconductor absorbers
EP2395554A3 (en) * 2010-06-14 2015-03-11 Imec Fabrication method for interdigitated back contact photovoltaic cells
KR20120021859A (en) * 2010-08-19 2012-03-09 현대중공업 주식회사 Manufacture method for rear contact in solar cell
TWI420700B (en) * 2010-12-29 2013-12-21 Au Optronics Corp Solar cell

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005310830A (en) * 2004-04-16 2005-11-04 Sharp Corp Solar cell and manufacturing method thereof
US20120122272A1 (en) * 2007-10-06 2012-05-17 Solexel, Inc. High-throughput flat top laser beam processing for back contact solar cells
JP2011527112A (en) * 2008-07-01 2011-10-20 サンパワー コーポレイション Front contact solar cell having conductive layers formed on the front and rear surfaces
JP2012501550A (en) * 2008-08-27 2012-01-19 アプライド マテリアルズ インコーポレイテッド Back-contact solar cells using printed dielectric barriers
JP2011061020A (en) * 2009-09-10 2011-03-24 Sharp Corp Back contact solar cell element, and method of manufacturing the same
US20120103408A1 (en) * 2010-08-05 2012-05-03 Solexel, Inc. Backplane reinforcement and interconnects for solar cells

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017118112A (en) * 2015-12-21 2017-06-29 エルジー エレクトロニクス インコーポレイティド Solar cell and method of manufacturing the same
US11226257B2 (en) 2017-02-20 2022-01-18 Fujikin Inc. Anomaly detection device for fluid controller, anomaly detection system, anamoly detection method, and fluid controller
WO2019017281A1 (en) * 2017-07-18 2019-01-24 シャープ株式会社 Photoelectric conversion device
JPWO2019017281A1 (en) * 2017-07-18 2020-06-25 シャープ株式会社 Photoelectric conversion device

Also Published As

Publication number Publication date
MY184055A (en) 2021-03-17
EP2856512A4 (en) 2015-12-16
EP2856512A1 (en) 2015-04-08
KR20150028782A (en) 2015-03-16
AU2013267481A1 (en) 2015-01-22
AU2016200610B2 (en) 2017-12-07
AU2016200610A1 (en) 2016-02-25
WO2013181298A1 (en) 2013-12-05
CN104737302A (en) 2015-06-24
KR101528447B1 (en) 2015-06-11

Similar Documents

Publication Publication Date Title
US20140158193A1 (en) Structures and methods of formation of contiguous and non-contiguous base regions for high efficiency back-contact solar cells
AU2016200610B2 (en) Structures and methods of formation of contiguous and non-contiguous base regions for high efficiency back-contact solar cells
US9196759B2 (en) High-efficiency photovoltaic back-contact solar cell structures and manufacturing methods
JP6250552B2 (en) Multi-level solar cell metallization
JP5025184B2 (en) Solar cell element, solar cell module using the same, and manufacturing method thereof
US8426724B2 (en) Interdigitated back contact silicon solar cells with separating grooves
US9379258B2 (en) Fabrication methods for monolithically isled back contact back junction solar cells
JP2017195401A (en) High-efficiency solar power generation cell and module which use thin crystalline semiconductor absorber
US20100108130A1 (en) Thin Interdigitated backside contact solar cell and manufacturing process thereof
US20100229928A1 (en) Back-contact photovoltaic cell comprising a thin lamina having a superstrate receiver element
US20140370650A1 (en) Monolithically isled back contact back junction solar cells using bulk wafers
US20140360567A1 (en) Back contact solar cells using aluminum-based alloy metallization
US20120178203A1 (en) Laser annealing for aluminum doping and formation of back-surface field in solar cell contacts
US20120225515A1 (en) Laser doping techniques for high-efficiency crystalline semiconductor solar cells
US20150017747A1 (en) Method for forming a solar cell with a selective emitter
KR101384853B1 (en) Laser processing methods for photovoltaic solar cells
KR20150119262A (en) Monolithically isled back contact back junction solar cells using bulk wafers
KR20140146633A (en) Back contact solar cells using aluminum-based alloy metallization
CN115188837B (en) Back contact solar cell, preparation method and cell assembly
EP2819181A1 (en) Laser annealing applications in high-efficiency solar cells
TW201131791A (en) Solar cell and method for fabricating the same
JP2015516145A (en) High efficiency solar cell structure and manufacturing method thereof
KR20170003526A (en) Self aligned contacts for back contact solar cells
JP2005260158A (en) Solar cell module
CN105190864A (en) Monolithic semi-conductor substrate based on silicon, divided into sub-cells

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150609

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160530

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20160530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160603

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20160805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160810

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170110

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170803

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20170816

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20171102