JP2013062466A - Substrate processing apparatus and temperature control method thereof - Google Patents

Substrate processing apparatus and temperature control method thereof Download PDF

Info

Publication number
JP2013062466A
JP2013062466A JP2011201541A JP2011201541A JP2013062466A JP 2013062466 A JP2013062466 A JP 2013062466A JP 2011201541 A JP2011201541 A JP 2011201541A JP 2011201541 A JP2011201541 A JP 2011201541A JP 2013062466 A JP2013062466 A JP 2013062466A
Authority
JP
Japan
Prior art keywords
temperature
gas
radiation thermometer
control
threshold value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011201541A
Other languages
Japanese (ja)
Other versions
JP5783859B2 (en
Inventor
Hideto Yamaguchi
英人 山口
Masashi Sugishita
雅士 杉下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2011201541A priority Critical patent/JP5783859B2/en
Publication of JP2013062466A publication Critical patent/JP2013062466A/en
Application granted granted Critical
Publication of JP5783859B2 publication Critical patent/JP5783859B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a substrate processing apparatus and a temperature control method of the substrate processing apparatus, preventing troubles when controlling heat treatment by using a temperature sensor.SOLUTION: A substrate processing apparatus includes: first temperature detecting means using a first radiation thermometer; and second temperature detecting means for detecting a temperature by using a second radiation thermometer having a temperature measurement range in which an upper limit is higher than an upper limit of a temperature measurement range of the first radiation thermometer and a lower limit is higher than a lower limit of the temperature measurement range of the first radiation thermometer. The apparatus switches to the second temperature detecting means when a temperature detected by the first temperature detecting means exceeds a first threshold value, and switches to the first temperature detecting means when a temperature detected by the second temperature detecting means is lower than a second threshold value lower than the first threshold value, and switches control of heating means on the basis of the temperature detected by the first temperature detecting means or the second temperature detecting means, and the predetermined threshold values.

Description

本発明は、拡散やCVD処理を行い、所望の熱処理を行う基板処理装置及び基板処理装置の温度制御方法に関する。   The present invention relates to a substrate processing apparatus for performing desired heat treatment by performing diffusion or CVD processing, and a temperature control method for the substrate processing apparatus.

縦型熱拡散装置や縦型減圧CVD装置は、例えば処理基板に薄膜を形成するために熱処理炉内に基板を収容し、所定の加熱手段で熱処理炉内を加熱する。そして、多くの場合、熱処理炉に設置した温度センサにより熱処理炉内の温度を検知し、その結果に従い温度を制御するようになっている。   In the vertical thermal diffusion apparatus and the vertical reduced pressure CVD apparatus, for example, a substrate is accommodated in a heat treatment furnace in order to form a thin film on the treatment substrate, and the inside of the heat treatment furnace is heated by a predetermined heating means. In many cases, the temperature in the heat treatment furnace is detected by a temperature sensor installed in the heat treatment furnace, and the temperature is controlled according to the result.

例えば、特許文献1は、急速冷却にともなう外乱が生じても常に安定したフィードバック制御により温度を制御する半導体製造装置について開示している。この半導体製造装置では、昇温工程及び目標温度の維持時は、熱処理炉内を加熱するヒータ近傍に設置された熱電対(ヒータ熱電対)と、熱処理炉内部の均熱管と反応管との間に設置された熱電対(カスケード熱電対)とを用いてカスケード制御ループによる温度制御を行い、ヒータの温度を下降時は、カスケード熱電対のみを用いた直接制御ループに切り替えて温度制御を行う。   For example, Patent Document 1 discloses a semiconductor manufacturing apparatus that always controls the temperature by stable feedback control even when a disturbance due to rapid cooling occurs. In this semiconductor manufacturing apparatus, when the temperature raising step and the target temperature are maintained, a thermocouple (heater thermocouple) installed in the vicinity of the heater that heats the inside of the heat treatment furnace, and the soaking tube and the reaction tube inside the heat treatment furnace are provided. The temperature control by the cascade control loop is performed using the thermocouple (cascade thermocouple) installed in, and when the temperature of the heater is lowered, the temperature is controlled by switching to the direct control loop using only the cascade thermocouple.

特開2004−119804号公報Japanese Patent Laid-Open No. 2004-111984

例えば、放射温度計を用いて熱処理炉内の温度を検知する場合、放射温度計は波長により対象物の温度を測定するので、測定可能温度範囲が限られてしまう。そこで、広範囲の温度測定を実現するには、低温用放射温度計、高温用放射温度計といったように複数種の放射温度計が必要となる。しかし、複数種の放射温度計を温度帯によって切り替えて制御する場合、切り替え時の温度測定値及び切り替えがなされる温度付近の温度測定値が不安定になるという問題がある。   For example, when detecting the temperature in the heat treatment furnace using a radiation thermometer, the radiation thermometer measures the temperature of the object based on the wavelength, so the measurable temperature range is limited. Therefore, in order to realize a wide range of temperature measurement, a plurality of types of radiation thermometers such as a low-temperature radiation thermometer and a high-temperature radiation thermometer are required. However, when a plurality of types of radiation thermometers are switched and controlled depending on the temperature zone, there is a problem that the temperature measurement value at the time of switching and the temperature measurement value near the temperature at which switching is performed become unstable.

また、例えば、放射温度計の故障検知は、放射温度計から得られる測定可能範囲内にあるかどうかで検知するが、放射率等の放射温度計設定パラメータにのみ瑕疵があった場合、放射温度計自体には故障がないために測定値が測定可能範囲内から外れることがないことから、その瑕疵を検知できないという問題がある。   In addition, for example, failure detection of a radiation thermometer is detected based on whether it is within the measurable range obtained from the radiation thermometer, but if there is a flaw only in the radiation thermometer setting parameters such as emissivity, the radiation temperature Since there is no failure in the meter itself, the measured value does not deviate from the measurable range.

本発明の目的は、温度センサを用いて熱処理を制御する際の不具合を抑制する基板処理装置及び基板処理装置の温度制御方法を提供することにある。   The objective of this invention is providing the temperature control method of the substrate processing apparatus which suppresses the malfunction at the time of controlling heat processing using a temperature sensor, and a substrate processing apparatus.

上記目的を達成するために、本発明にかかる基板処理装置は、基板を収容する処理室を加熱する加熱手段と、第1の放射温度計を用いて前記加熱手段によって加熱された温度を検出する第1の温度検出手段と、第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を検出する第2の温度検出手段と、前記第1の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御手段と、前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御手段と、前記第1の温度検出手段により検出された温度が第一の閾値を超えた場合には第2の温度検出手段に切り替え、前記第2の温度検出手段により検出された温度が前記第一の閾値より低い第二の閾値を下回った場合には第1の温度検出手段に切り替え、前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた閾値とに基づいて、前記第1の制御手段による前記加熱手段の制御と前記第2の制御手段による前記加熱手段の制御とを切り替える制御切り替え手段と、を有する。   In order to achieve the above object, a substrate processing apparatus according to the present invention detects a temperature heated by a heating unit that heats a processing chamber that accommodates a substrate and a first radiation thermometer. The temperature higher than the upper limit of the temperature range measured by the first temperature detection means and the first radiation thermometer is set as the upper limit of the temperature range to be measured, and is measured by the first radiation thermometer. A second temperature detecting means for detecting a temperature heated by the heating means using a second radiation thermometer having a temperature lower than the lower limit of the temperature range to be measured; and First control means for controlling the heating means based on the temperature detected by the first temperature detection means, and second control for controlling the heating means based on the temperature detected by the second temperature detection means Means and said When the temperature detected by the first temperature detecting means exceeds the first threshold, the temperature is switched to the second temperature detecting means, and the temperature detected by the second temperature detecting means is lower than the first threshold. When it falls below the second threshold value, it switches to the first temperature detection means, and based on the temperature detected by the first temperature detection means or the second temperature detection means and a predetermined threshold value, Control switching means for switching between control of the heating means by the first control means and control of the heating means by the second control means.

また、本発明にかかる基板処理装置の温度制御方法は、基板を収容する処理室を加熱手段により加熱し、第1の放射温度計を用いて前記加熱手段によって加熱された温度を第1の温度検出手段により検出し、第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を第2の温度検出手段により検出し、前記第1の温度検出手段により検出された温度が第一の閾値を超えた場合には第2の温度検出手段に切り替える第1の制御と、前記第2の温度検出手段により検出された温度が前記第一の閾値より低い第二の闘値を下回った場合には第1の温度検出手段に切り替える第2の制御と、を前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた闘値とに基づいて切り替える。   In the temperature control method for a substrate processing apparatus according to the present invention, the processing chamber for storing the substrate is heated by the heating means, and the temperature heated by the heating means using the first radiation thermometer is set to the first temperature. The temperature detected by the detecting means and higher than the upper limit of the temperature range measured by the first radiation thermometer is set as the upper limit of the temperature range to be measured, and the temperature measured by the first radiation thermometer Using a second radiation thermometer having a temperature lower than the lower limit of the range as the lower limit of the temperature range to be measured, the temperature heated by the heating means is detected by the second temperature detecting means, and the first A first control for switching to the second temperature detection means when the temperature detected by the temperature detection means exceeds the first threshold, and the temperature detected by the second temperature detection means is the first temperature Second below the threshold of A second control for switching to the first temperature detection means when the threshold value is below, the temperature detected by the first temperature detection means or the second temperature detection means and a predetermined threshold value And switch based on.

本発明によれば、温度センサを用いて熱処理を制御する際の不具合を抑制する基板処理装置及び基板処理装置の温度制御方法を提供することができる。   ADVANTAGE OF THE INVENTION According to this invention, the temperature control method of the substrate processing apparatus and substrate processing apparatus which suppress the malfunction at the time of controlling heat processing using a temperature sensor can be provided.

本発明の実施形態に係る半導体製造装置300の一例を示す斜視図である。It is a perspective view which shows an example of the semiconductor manufacturing apparatus 300 which concerns on embodiment of this invention. (a)は、本発明の実施形態に係る処理炉328の一例を示す側面断面図であり、(b)は、ボート320に支持されたウエハ304の側面断面図である。(A) is side sectional drawing which shows an example of the processing furnace 328 which concerns on embodiment of this invention, (b) is side sectional drawing of the wafer 304 supported by the boat 320. As shown in FIG. 本発明の実施形態に係る処理炉328内の要部断面図である。It is principal part sectional drawing in the processing furnace 328 which concerns on embodiment of this invention. 本発明の実施形態に係る半導体製造装置300のガス供給ユニット380の一例を示す模式図である。It is a schematic diagram which shows an example of the gas supply unit 380 of the semiconductor manufacturing apparatus 300 which concerns on embodiment of this invention. 本発明の実施形態に係る処理炉328及び周辺構造の概略断面図である。It is a schematic sectional drawing of processing furnace 328 and peripheral structure concerning an embodiment of the present invention. 本発明の実施形態に係る半導体製造装置300の制御構成の一例を示すブロック図である。It is a block diagram which shows an example of the control structure of the semiconductor manufacturing apparatus 300 which concerns on embodiment of this invention. 温度制御部362による温度制御の切り替え処理を示す図である。It is a figure which shows the switching process of the temperature control by the temperature control part 362. FIG. 高温用放射温度計による制御と低温放射温度計による制御との切り替えを示す図である。It is a figure which shows switching with the control by the radiation thermometer for high temperature, and the control by a low temperature radiation thermometer. 本発明の実施形態に係る放射温度計の故障検知の一例を示す図である。It is a figure which shows an example of the failure detection of the radiation thermometer which concerns on embodiment of this invention.

本発明の実施形態に係るSiC(炭化ケイ素)エピタキシャル膜を成膜する基板処理装置、および、半導体デバイスの製造方法の一つであるSiCエピタキシャル膜を成膜する基板の製造方法ついて図面に基づいて説明する。
図1は、本発明の実施形態に係るSiCエピタキシャル膜を成膜する半導体製造装置300の一例を示す斜視図である。
DETAILED DESCRIPTION OF THE INVENTION A substrate processing apparatus for forming a SiC (silicon carbide) epitaxial film according to an embodiment of the present invention, and a substrate manufacturing method for forming a SiC epitaxial film, which is one of semiconductor device manufacturing methods, based on the drawings. explain.
FIG. 1 is a perspective view showing an example of a semiconductor manufacturing apparatus 300 for forming a SiC epitaxial film according to an embodiment of the present invention.

基板処理装置(成膜装置)としての半導体製造装置300は、バッチ式縦型熱処理装置であり、主要部が配置される筐体302を有する。半導体製造装置300には、例えばSiC等で構成された基板としてのウエハ304(後述する図2参照)を収納する基板収容器として、フープ(以下、ポッドと称す)306がウエハキャリアとして使用される。筐体302の正面側には、ポッドステージ308が配置されており、ポッドステージ308にポッド306が搬送される。ポッド306には、例えば25枚のウエハ304が収納され、蓋が閉じられた状態でポッドステージ308にセットされる。   A semiconductor manufacturing apparatus 300 as a substrate processing apparatus (film forming apparatus) is a batch type vertical heat treatment apparatus, and includes a housing 302 in which a main part is arranged. In the semiconductor manufacturing apparatus 300, for example, a hoop (hereinafter referred to as a pod) 306 is used as a wafer carrier as a substrate container for storing a wafer 304 (see FIG. 2 described later) as a substrate made of SiC or the like. . A pod stage 308 is disposed on the front side of the housing 302, and the pod 306 is conveyed to the pod stage 308. For example, 25 wafers 304 are stored in the pod 306 and set on the pod stage 308 with the lid closed.

筐体302内の正面側であって、ポッドステージ308に対向する位置には、ポッド搬送装置310が配置されている。また、ポッド搬送装置310の近傍にはポッド収納棚312、ポッドオープナ314及び基板枚数検知器316が配置されている。ポッド収納棚312は、ポッドオープナ314の上方に配置され、ポッド306を複数個載置した状態で保持するように構成されている。基板枚数検知器316は、ポッドオープナ314に隣接して配置され、ポッド搬送装置310は、ポッドステージ308とポッド収納棚312とポッドオープナ314との間でポッド306を搬送する。ポッドオープナ314は、ポッド306の蓋を開けるものであり、基板枚数検知器316は蓋を開けられたポッド306内のウエハ304の枚数を検知するようになっている。   A pod transfer device 310 is disposed on the front side in the housing 302 and at a position facing the pod stage 308. A pod storage shelf 312, a pod opener 314, and a substrate number detector 316 are disposed in the vicinity of the pod transfer device 310. The pod storage shelf 312 is arranged above the pod opener 314 and is configured to hold a plurality of pods 306 mounted thereon. The substrate number detector 316 is disposed adjacent to the pod opener 314, and the pod transfer device 310 transfers the pod 306 among the pod stage 308, the pod storage shelf 312, and the pod opener 314. The pod opener 314 opens the lid of the pod 306, and the substrate number detector 316 detects the number of wafers 304 in the pod 306 with the lid opened.

筐体302内には、基板移載機318、基板保持具としてのボート320が配置されている。基板移載機318は、アーム(ツイーザ)322を有し、図示しない駆動手段により昇降可能且つ回転可能な構造となっている。アーム322は、例えば5枚のウエハ304を取出すことができ、アーム322を動かすことにより、ポッドオープナ314の位置に置かれたポッド306及びボート320間にてウエハ304を搬送する。   In the housing 302, a substrate transfer machine 318 and a boat 320 as a substrate holder are arranged. The substrate transfer machine 318 has an arm (tweezer) 322, and has a structure that can be moved up and down and rotated by a driving means (not shown). The arm 322 can take out, for example, five wafers 304, and the wafer 304 is transferred between the pod 306 and the boat 320 placed at the position of the pod opener 314 by moving the arm 322.

ボート320は、例えばカーボングラファイトやSiC等の耐熱性材料で構成されており、複数枚のウエハ304を水平姿勢で、且つ互いに中心を揃えた状態で整列させて縦方向に積上げ、保持するように構成されている。なお、ボート320の下部には、例えば石英やSiC等の耐熱性材料で構成された円盤形状の断熱部材としてボート断熱部324が配置されており、後述する被加熱体(被誘導体)326からの熱が処理炉328の下方側に伝わりにくくなるように構成されている(後述する図2参照)。   The boat 320 is made of a heat-resistant material such as carbon graphite and SiC, for example, so that a plurality of wafers 304 are aligned in a horizontal posture and aligned with each other in the vertical direction, and stacked and held in the vertical direction. It is configured. A boat heat insulating portion 324 is disposed as a disk-shaped heat insulating member made of a heat resistant material such as quartz or SiC at the lower portion of the boat 320. It is configured such that heat is not easily transmitted to the lower side of the processing furnace 328 (see FIG. 2 described later).

筐体302内の背面側上部には、処理炉328が配置されている。処理炉328内に複数枚のウエハ304を装填したボート320が搬入され、熱処理が行われる。   A processing furnace 328 is disposed on the upper back side in the housing 302. A boat 320 loaded with a plurality of wafers 304 is loaded into the processing furnace 328, and heat treatment is performed.

次に、SiCエピタキシャル膜を成膜する半導体製造装置300の処理炉328について説明する。   Next, the processing furnace 328 of the semiconductor manufacturing apparatus 300 for forming a SiC epitaxial film will be described.

図2(a)は、本発明の実施形態に係る処理炉328の一例を示す側面断面図であり、図2(b)は、ボート320に支持されたウエハ304の側面断面図である。また、図3は、処理炉328内の要部断面図である。また、図4は、図2に接続されるガス供給ユニット380の一例を示す模式図である。   2A is a side sectional view showing an example of the processing furnace 328 according to the embodiment of the present invention, and FIG. 2B is a side sectional view of the wafer 304 supported by the boat 320. FIG. 3 is a cross-sectional view of a main part in the processing furnace 328. FIG. 4 is a schematic diagram showing an example of the gas supply unit 380 connected to FIG.

処理炉328は、石英又はSiC等の耐熱性材料からなり、上端が閉塞し下端が開口した円筒形状に形成された反応管344を備えている。反応管344の下方には、反応管344と同心円状にマニホールド346が配設されている。マニホールド346は、例えばステンレス等からなり、上端及び下端が開口した円筒形状に形成されている。マニホールド346は、反応管344を支持するように設けられている。なお、マニホールド346と反応管344との間には、シール部材としてのOリング(図示せず)が設けられている。マニホールド346が図示しない保持体に支持されることにより、反応管344は垂直に据付けられた状態になっている。反応管344とマニホールド346により、反応容器が形成されている。   The processing furnace 328 is made of a heat resistant material such as quartz or SiC, and includes a reaction tube 344 formed in a cylindrical shape having a closed upper end and an opened lower end. A manifold 346 is disposed below the reaction tube 344 concentrically with the reaction tube 344. The manifold 346 is made of, for example, stainless steel and has a cylindrical shape with an upper end and a lower end opened. The manifold 346 is provided to support the reaction tube 344. An O-ring (not shown) as a seal member is provided between the manifold 346 and the reaction tube 344. The manifold 346 is supported by a holding body (not shown), so that the reaction tube 344 is installed vertically. A reaction vessel is formed by the reaction tube 344 and the manifold 346.

処理炉328は、上端が閉塞し下端が開口した円筒形状に形成された被加熱体(被誘導体)326及び磁場発生部としての誘導コイル348を具備している。反応管344の内側には、反応室350が形成れており、SiC等で構成された基板としてのウエハ304を保持したボート320を収納可能に構成されている。被加熱体326は、反応管344の外側に設けられた誘導コイル348により発生される磁場によって加熱されるようになっており、被加熱体326が発熱することにより、反応室350内が加熱されるようになっている。   The processing furnace 328 includes an object to be heated (derivative) 326 formed in a cylindrical shape whose upper end is closed and whose lower end is open, and an induction coil 348 as a magnetic field generation unit. A reaction chamber 350 is formed inside the reaction tube 344, and is configured to be able to store a boat 320 holding a wafer 304 as a substrate made of SiC or the like. The heated body 326 is heated by a magnetic field generated by an induction coil 348 provided outside the reaction tube 344. The heated body 326 generates heat, whereby the inside of the reaction chamber 350 is heated. It has become so.

また、図2(b)に示されるように、ウエハ304は、円環状の下部ウエハホルダ352bに保持され、上面を円板状の上部ウエハホルダ352aで覆われた状態でボート320に保持されるとよい。これにより、ウエハ上部から落下しているパーティクルからウエハ304を守ることができると共に、成膜面(ウエハ304の下面)に対して裏面側の成膜を抑制することができる。また、ウエハホルダ352の分、ボート柱から成膜面を離すことができ、ボート柱の影響を小さくすることができる。ボート320は、水平姿勢で、且つ、互いに中心を揃えた状態で縦方向に整列するようにウエハホルダ352に保持されたウエハ304を保持するよう構成されている。   As shown in FIG. 2B, the wafer 304 may be held by the boat 320 in a state where the wafer 304 is held by the annular lower wafer holder 352b and the upper surface is covered by the disk-like upper wafer holder 352a. . Accordingly, the wafer 304 can be protected from particles falling from the upper part of the wafer, and film formation on the back surface side with respect to the film formation surface (the lower surface of the wafer 304) can be suppressed. Further, the film formation surface can be separated from the boat column by the amount of the wafer holder 352, and the influence of the boat column can be reduced. The boat 320 is configured to hold the wafers 304 held by the wafer holder 352 so as to be aligned in the vertical direction in a horizontal posture with the centers aligned.

また、被加熱体326の近傍には、後述する反応室350内の温度を検出する温度検出体として温度センサが設けられている。誘導コイル348及び温度センサは、温度制御部362と電気的に接続されており(後述する図6参照)、温度センサにより検出された温度情報に基づき、誘導コイル348への通電具合が調整されることで、反応室350内の温度が所望の温度分布となるよう制御される。温度センサとして、例えば、被加熱体326の近傍に縦方向に3つのゾーンに分割して放射温度計354、356、358、360が配置されている。   Further, a temperature sensor is provided in the vicinity of the body to be heated 326 as a temperature detector for detecting the temperature in a reaction chamber 350 described later. The induction coil 348 and the temperature sensor are electrically connected to the temperature control unit 362 (see FIG. 6 to be described later), and the degree of energization to the induction coil 348 is adjusted based on the temperature information detected by the temperature sensor. Thus, the temperature in the reaction chamber 350 is controlled to have a desired temperature distribution. As the temperature sensor, for example, radiation thermometers 354, 356, 358, and 360 are arranged in the vicinity of the body to be heated 326 and divided into three zones in the vertical direction.

反応管344と被加熱体326との間には、例えば誘電されにくいカーボンフェルト等で構成された断熱材372が設けられ、断熱材372を設けることにより、被加熱体326の熱が反応管344或は反応管344の外側へ伝達するのを抑制することができる。   Between the reaction tube 344 and the heated body 326, a heat insulating material 372 made of, for example, a carbon felt that is difficult to be dielectric is provided. By providing the heat insulating material 372, the heat of the heated body 326 is transferred to the reaction tube 344. Alternatively, transmission to the outside of the reaction tube 344 can be suppressed.

又、誘導コイル348の外側には、反応室350内の熱が外側に伝達するのを抑制する為の、例えば水冷構造である外側断熱壁374が反応室350を囲むように設けられている。更に、外側断熱壁374の外側には、誘導コイル348により発生された磁場が外側に漏れるのを防止する磁気シール376が設けられている。   In addition, an outer heat insulating wall 374 having a water cooling structure, for example, is provided outside the induction coil 348 so as to prevent heat in the reaction chamber 350 from being transmitted to the outside so as to surround the reaction chamber 350. Further, a magnetic seal 376 that prevents the magnetic field generated by the induction coil 348 from leaking outside is provided outside the outer heat insulating wall 374.

図2に示すように、被加熱体326とウエハ304との間には、少なくともSi(シリコン)原子含有ガスと、Cl(塩素)原子含有ガスとをウエハ304に供給するために少なくとも1つの第1のガス供給口330が形成された第1のガス供給ノズル332が設置される。又、被加熱体326とウエハ304との間の第1のガス供給ノズル332とは異なる箇所には、少なくともC(炭素)原子含有ガスと還元ガスとをウエハ304に供給するために、少なくとも1つの第2のガス供給口334が形成された第2のガス供給ノズル336が設けられる。   As shown in FIG. 2, there is at least one first gap between the heated body 326 and the wafer 304 for supplying at least a Si (silicon) atom-containing gas and a Cl (chlorine) atom-containing gas to the wafer 304. A first gas supply nozzle 332 in which one gas supply port 330 is formed is installed. In addition, at least one C (carbon) atom-containing gas and a reducing gas are supplied to the wafer 304 at a location different from the first gas supply nozzle 332 between the heated body 326 and the wafer 304. A second gas supply nozzle 336 in which two second gas supply ports 334 are formed is provided.

また、第1のガス供給ノズル332及び第2のガス供給ノズル336は、夫々1本ずつでも構わないが、図3に示されるように、第2のガス供給ノズル336は3本設けられ、第2のガス供給ノズル336に挟まれるように第1のガス供給ノズル332が設けられるように構成すると良い。このように交互に配置することにより、Si原子含有ガスとC原子含有ガスの混合を促進することができる。また、第1のガス供給ノズル及び第2のガス供給ノズルを奇数本とすることにより、中央の第2ガス供給ノズル336を中心に成膜ガス供給を左右対称とすることができ、ウエハ304内の均一性を高めることができる。   The first gas supply nozzle 332 and the second gas supply nozzle 336 may be provided one by one. However, as shown in FIG. 3, three second gas supply nozzles 336 are provided, The first gas supply nozzle 332 is preferably provided so as to be sandwiched between the two gas supply nozzles 336. By alternately arranging in this way, mixing of the Si atom-containing gas and the C atom-containing gas can be promoted. Further, by using an odd number of the first gas supply nozzles and the second gas supply nozzles, it is possible to make the film formation gas supply symmetrical about the central second gas supply nozzle 336, so Can improve the uniformity.

第1のガス供給ノズル332は、例えばカーボングラファイトで構成され、反応室350内に設けられる。又、第1のガス供給ノズル332は、マニホールド346を貫通するようにマニホールド346に取付けられている。ここで、SiCエピタキシャル膜を形成する際に、第1のガス供給口330は、少なくともSi(シリコン)原子含有ガスとして、例えばモノシラン(以下SiH4とする)ガスと、Cl(塩素)原子含有ガスとして、例えば塩化水素(以下HClとする)ガスと、キャリアガスとして不活性ガス(例えばAr(アルゴン))とを第1のガス供給ノズル332を介して、反応室350内に供給するようになっている。 The first gas supply nozzle 332 is made of, for example, carbon graphite and is provided in the reaction chamber 350. The first gas supply nozzle 332 is attached to the manifold 346 so as to penetrate the manifold 346. Here, when the SiC epitaxial film is formed, the first gas supply port 330 has at least a Si (silicon) atom-containing gas, for example, a monosilane (hereinafter referred to as SiH 4 ) gas and a Cl (chlorine) atom-containing gas. As an example, hydrogen chloride (hereinafter referred to as HCl) gas and an inert gas (for example, Ar (argon)) as a carrier gas are supplied into the reaction chamber 350 via the first gas supply nozzle 332. ing.

第1のガス供給ノズル332は、第1のガスライン378を介してガス供給ユニット380に接続される。図4に示されるように、第1のガスライン378は、SiH4ガス、HClガス、不活性ガスに対してそれぞれバルブ384c,384d,384f、流量制御器(流量制御手段)としてのマスフローコントローラ(以下MFCとする)382c,382d,382fを介して、例えばSiH4ガス供給源386c、HClガス供給源386d、不活性ガス供給源386fに接続されている。 The first gas supply nozzle 332 is connected to the gas supply unit 380 via the first gas line 378. As shown in FIG. 4, the first gas line 378 has valves 384c, 384d, and 384f for the SiH 4 gas, HCl gas, and inert gas, respectively, and a mass flow controller (flow control means) as a flow controller (flow control means). For example, SiH 4 gas supply source 386c, HCl gas supply source 386d, and inert gas supply source 386f are connected via 382c, 382d, and 382f.

上記構成により、SiH4ガス、HClガス、不活性ガスのそれぞれの供給流量、濃度、分圧、供給タイミングを反応室350内に於いて制御することができる。バルブ384c,384d,384f、MFC382c,382d,382fは、ガス流量制御部388に電気的に接続されており、それぞれ供給するガスの流量が所定流量となるように、所定のタイミングにて制御されるようになっている(後述する図6参照)。尚、SiH4ガス、HClガス、不活性ガスのそれぞれのガス供給源386c,386d、386f、バルブ384c,384d、384f、MFC382c,382d,382f、第1のガスライン378、第1のガス供給ノズル332及び第1のガス供給ノズル332に少なくとも1つ設けられる第1のガス供給口330により、ガス供給系として第1のガス供給系が構成される。 With the above configuration, the supply flow rate, concentration, partial pressure, and supply timing of SiH 4 gas, HCl gas, and inert gas can be controlled in the reaction chamber 350. The valves 384c, 384d, 384f, and MFC 382c, 382d, 382f are electrically connected to the gas flow rate control unit 388, and are controlled at predetermined timings so that the flow rate of the supplied gas becomes a predetermined flow rate. (See FIG. 6 described later). Note that SiH 4 gas, HCl gas, and inert gas supply sources 386c, 386d, 386f, valves 384c, 384d, 384f, MFC 382c, 382d, 382f, first gas line 378, and first gas supply nozzle, respectively. A first gas supply system is configured as a gas supply system by the first gas supply port 330 provided in at least one of the 332 and the first gas supply nozzle 332.

第2のガス供給ノズル336は、例えばカーボングラファイトで構成され、反応室350内に設けられる。また、第2のガス供給ノズル336は、マニホールド346を貫通するように、マニホールド346に取付けられている。ここで、SiCエピタキシャル膜を形成する際に、第2のガス供給口334は、少なくともC(炭素)原子含有ガスとして、例えばプロパン(以下C38とする)ガスと、還元ガスとして、例えば水素(H原子単体、若しくはH2分子。以下H2とする)ガスとを第2のガス供給ノズル336を介して反応室350内に供給するようになっている。 The second gas supply nozzle 336 is made of, for example, carbon graphite and is provided in the reaction chamber 350. The second gas supply nozzle 336 is attached to the manifold 346 so as to penetrate the manifold 346. Here, when forming the SiC epitaxial film, the second gas supply port 334 uses at least C (carbon) atom-containing gas, for example, propane (hereinafter referred to as C 3 H 8 ) gas and reducing gas, for example, Hydrogen (single H atom or H 2 molecule; hereinafter referred to as H 2 ) gas is supplied into the reaction chamber 350 through the second gas supply nozzle 336.

第2のガス供給ノズル336は、第2のガスライン390を介してガス供給ユニット380に接続されている。また、図4に示されるように第2のガスライン390は、例えばガス配管213a,213bに分岐され、ガス配管213a,213bはそれぞれ、C(炭素)原子含有ガスとして、例えばC38ガスに対してバルブ384a及び流量制御手段としてのMFC382aを介してC38ガス供給源386aに接続され、還元ガスとして、例えばH2ガスに対してバルブ384b及び流量制御手段としてのMFC382bを介してH2ガス供給源386bに接続されている。 The second gas supply nozzle 336 is connected to the gas supply unit 380 via the second gas line 390. Further, as shown in FIG. 4, the second gas line 390 is branched into, for example, gas pipes 213a and 213b, and the gas pipes 213a and 213b each have, for example, C 3 H 8 gas as a C (carbon) atom-containing gas. Is connected to a C 3 H 8 gas supply source 386a via a valve 384a and an MFC 382a as a flow rate control means, and as a reducing gas, for example, to H 2 gas via a valve 384b and an MFC 382b as a flow rate control means. The H 2 gas supply source 386b is connected.

上記構成により、例えばC38ガス、H2ガスの供給流量、濃度、分圧を反応室350内に於いて制御することができる。バルブ384a,384b、MFC382a,382bはガス流量制御部388に電気的に接続されており、供給するガス流量が所定の流量となるよう、所定のタイミングにて制御されるようになっている(図6参照)。尚、C38ガス、H2ガスのガス供給源386a,386b、バルブ384a,384b、MFC382a,382b、第2のガスライン390、第2のガス供給ノズル336、第2のガス供給口334により、ガス供給系として第2のガス供給系が構成される。 With the above configuration, for example, the supply flow rate, concentration, and partial pressure of C 3 H 8 gas and H 2 gas can be controlled in the reaction chamber 350. The valves 384a and 384b and the MFCs 382a and 382b are electrically connected to the gas flow rate control unit 388, and are controlled at a predetermined timing so that the supplied gas flow rate becomes a predetermined flow rate (see FIG. 6). It should be noted that gas supply sources 386a and 386b for C 3 H 8 gas and H 2 gas, valves 384a and 384b, MFC 382a and 382b, a second gas line 390, a second gas supply nozzle 336, and a second gas supply port 334 Thus, a second gas supply system is configured as the gas supply system.

又、第1のガス供給ノズル332及び第2のガス供給ノズル336に於いて、ウエハ304の配列領域に第1のガス供給口330及び第2のガス供給口334がそれぞれ1つ設けられていてもよく、ウエハ304の所定枚数毎に設けられていてもよい。   Further, in each of the first gas supply nozzle 332 and the second gas supply nozzle 336, one first gas supply port 330 and one second gas supply port 334 are provided in the arrangement region of the wafer 304. Alternatively, a predetermined number of wafers 304 may be provided.

又、図2及び図3に示すように、第3のガス供給口340が、反応管344と断熱材372との間に配置され、マニホールド346を貫通するように取付けられている。第3のガス供給口340はマニホールド346を貫通する第3のガスライン400に形成され、バルブ384e、MFC382eを介してガス供給源386eに接続されている。ガス供給源386eからは不活性ガスとして、例えば希ガスのArガスが供給され、SiCエピタキシャル膜成長に寄与するガス、例えばSi(シリコン)原子含有ガス又はC(炭素)原子含有ガス又はCl(塩素)原子含有ガス又はそれらの混合ガスが、反応管344と断熱材372との間に進入するのを防ぎ、反応管344の内壁又は断熱材372の外壁に不要な生成物が付着するのを防止することができる。   As shown in FIGS. 2 and 3, the third gas supply port 340 is arranged between the reaction tube 344 and the heat insulating material 372 and attached so as to penetrate the manifold 346. The third gas supply port 340 is formed in the third gas line 400 that penetrates the manifold 346, and is connected to the gas supply source 386e via the valve 384e and the MFC 382e. For example, a rare gas Ar gas is supplied as an inert gas from the gas supply source 386e and contributes to the growth of the SiC epitaxial film, for example, a gas containing Si (silicon) atoms, a gas containing C (carbon) atoms, or Cl (chlorine). ) Atom-containing gas or mixed gas is prevented from entering between the reaction tube 344 and the heat insulating material 372, and unnecessary products are prevented from adhering to the inner wall of the reaction tube 344 or the outer wall of the heat insulating material 372. can do.

図2(a)に示すように、第1のガス排気口338は、被加熱体326とウエハ304との間に配置される。また、反応管344と断熱材372との間に、第2のガス排気口342が配置されている。   As shown in FIG. 2A, the first gas exhaust port 338 is disposed between the heated body 326 and the wafer 304. Further, a second gas exhaust port 342 is disposed between the reaction tube 344 and the heat insulating material 372.

また、第1のガス排気口338は、ボート320より下部に設けられ、マニホールド346には、第1のガス排気口338に接続されたガス排気管392が貫通するよう設けられている。ガス排気管392の下流側には、図示しない圧力検出器としての圧力センサ及び、圧力調整器としてのAPC(Auto Pressure Controller)バルブ394を介して真空ポンプ等の真空排気装置396が接続されている。圧力センサ及びAPCバルブ394には、圧力制御部398が電気的に接続されており、圧力制御部398は圧力センサにより検出された圧力に基づいてAPCバルブ394の開度を調整し、処理炉328内の圧力が所定の圧力となるよう所定のタイミングにて制御するように構成されている(後述する図6参照)。   The first gas exhaust port 338 is provided below the boat 320, and the manifold 346 is provided with a gas exhaust pipe 392 connected to the first gas exhaust port 338 passing therethrough. A vacuum exhaust device 396 such as a vacuum pump is connected to the downstream side of the gas exhaust pipe 392 via a pressure sensor (not shown) as a pressure detector and an APC (Auto Pressure Controller) valve 394 as a pressure regulator. . A pressure control unit 398 is electrically connected to the pressure sensor and the APC valve 394, and the pressure control unit 398 adjusts the opening degree of the APC valve 394 based on the pressure detected by the pressure sensor, and the processing furnace 328. It is configured to control at a predetermined timing so that the internal pressure becomes a predetermined pressure (see FIG. 6 described later).

上記したように、第1のガス供給口330から少なくともSi(シリコン)原子含有ガスとCl(塩素)原子含有ガスとを供給し、第2のガス供給口334から少なくともC(炭素)原子含有ガスと還元ガスとを供給し、供給されたガスはSi又はSiCで構成されたウエハ304に対し平行に流れ、第1のガス排気口338より排気されるので、ウエハ304全体が効率的且つ均一にガスに晒される。   As described above, at least a Si (silicon) atom-containing gas and a Cl (chlorine) atom-containing gas are supplied from the first gas supply port 330, and at least a C (carbon) atom-containing gas is supplied from the second gas supply port 334. And the reducing gas are supplied, and the supplied gas flows in parallel to the wafer 304 made of Si or SiC and is exhausted from the first gas exhaust port 338, so that the entire wafer 304 is efficiently and uniformly formed. Be exposed to gas.

又、反応管344と断熱材372との間には、第2のガス排気口342が第3のガス供給口340に対して対向するように配置され、第2のガス排気口342はガス排気管392に接続されている。   Further, a second gas exhaust port 342 is disposed between the reaction tube 344 and the heat insulating material 372 so as to face the third gas supply port 340, and the second gas exhaust port 342 Connected to tube 392.

又、反応管344と断熱材372との間に供給された不活性ガスは、第2のガス排気口342よりガス排気管392の下流側にあるAPCバルブ394を介して真空排気装置396から排気される。   Further, the inert gas supplied between the reaction tube 344 and the heat insulating material 372 is exhausted from the vacuum exhaust device 396 via the APC valve 394 that is downstream of the gas exhaust tube 392 from the second gas exhaust port 342. Is done.

また、好ましくは、反応室350内において第1及び第2のガス供給ノズル332,336と第1のガス排気口338との間であって、被加熱体326とウエハ304との間には、被加熱体326とウエハ304との間の空間を埋めるよう、鉛直方向に延在し断面が円弧状の構造物370を反応室350内に設けるのがよい。例えば、図3に示すように、対向する位置にそれぞれ構造物370を設けることで、第1及び第2のガス供給ノズル332,336から供給されるガスが、被加熱体326の内壁に沿ってウエハ304を迂回するのを防止することができる。構造物370としては、好ましくは断熱材若しくはカーボンフェルト等で構成すると、耐熱及びパーティクルの発生を抑制することができる。   Further, preferably, in the reaction chamber 350, between the first and second gas supply nozzles 332 and 336 and the first gas exhaust port 338, between the heated body 326 and the wafer 304, A structure 370 extending in the vertical direction and having an arc-shaped cross section may be provided in the reaction chamber 350 so as to fill a space between the heated body 326 and the wafer 304. For example, as shown in FIG. 3, by providing the structures 370 at the opposing positions, the gas supplied from the first and second gas supply nozzles 332 and 336 flows along the inner wall of the heated object 326. Bypassing the wafer 304 can be prevented. When the structure 370 is preferably made of a heat insulating material, carbon felt, or the like, heat resistance and generation of particles can be suppressed.

次に、処理炉328及びその周辺の構成について説明する。   Next, the configuration of the processing furnace 328 and its surroundings will be described.

図5は、本発明の実施形態に係る処理炉328及び周辺構造の概略断面図である。処理炉328の下方には、処理炉328の下端開口を気密に閉塞する為の炉口蓋体としてシールキャップ402が設けられている。シールキャップ402は、例えばステンレス等の金属製であり、円盤状に形成されている。シールキャップ402の上面には、処理炉328の下端と当接するシール材としてのOリング(図示せず)が設けられている。シールキャップ402には回転機構404が設けられ、回転機構404の回転軸406はシールキャップ402を貫通してボート320に接続されており、ボート320を回転させることでウエハ304を回転させるように構成されている。   FIG. 5 is a schematic cross-sectional view of the processing furnace 328 and the peripheral structure according to the embodiment of the present invention. Below the processing furnace 328, a seal cap 402 is provided as a furnace port lid for hermetically closing the lower end opening of the processing furnace 328. The seal cap 402 is made of a metal such as stainless steel and is formed in a disk shape. An O-ring (not shown) is provided on the upper surface of the seal cap 402 as a seal material that contacts the lower end of the processing furnace 328. The seal cap 402 is provided with a rotation mechanism 404, and the rotation shaft 406 of the rotation mechanism 404 is connected to the boat 320 through the seal cap 402, and the wafer 304 is rotated by rotating the boat 320. Has been.

又、シールキャップ402は処理炉328の外側に設けられた昇降機構として、後述する昇降モータ408によって垂直方向に昇降されるように構成されており、これによりボート320を処理炉328に対して搬入搬出することが可能となっている。回転機構404及び昇降モータ408には、駆動制御部410が電気的に接続されており、所定の動作をするよう所定のタイミングにて制御するよう構成されている(後述する図6参照)。   Further, the seal cap 402 is configured to be moved up and down in the vertical direction by a lifting motor 408 described later as a lifting mechanism provided outside the processing furnace 328, thereby bringing the boat 320 into the processing furnace 328. It is possible to carry it out. A drive control unit 410 is electrically connected to the rotation mechanism 404 and the lifting motor 408, and is configured to control at a predetermined timing so as to perform a predetermined operation (see FIG. 6 described later).

予備室としてのロードロック室412の外面に下基板414が設けられている。下基板414には、昇降台416と摺動自在に嵌合するガイドシャフト418及び昇降台416と螺合するボール螺子420が設けられている。又、下基板414に立設したガイドシャフト418及びボール螺子420の上端には上基板422が設けられている。ボール螺子420は、上基板422に設けられた昇降モータ408によって回転され、ボール螺子420が回転されることで昇降台416が昇降するようになっている。   A lower substrate 414 is provided on the outer surface of the load lock chamber 412 as a spare chamber. The lower substrate 414 is provided with a guide shaft 418 that is slidably fitted to the lift table 416 and a ball screw 420 that is screwed to the lift table 416. Further, an upper substrate 422 is provided at the upper ends of the guide shaft 418 and the ball screw 420 erected on the lower substrate 414. The ball screw 420 is rotated by an elevating motor 408 provided on the upper substrate 422, and the elevating table 416 is moved up and down by rotating the ball screw 420.

昇降台416には中空の昇降シャフト424が垂設され、昇降台416と昇降シャフト424の連結部は気密となっており、昇降シャフト424は昇降台416と共に昇降するようになっている。昇降シャフト424はロードロック室412の天板426を遊貫し、昇降シャフト424が貫通する天板426の貫通孔は、昇降シャフト424が天板426と接触することがないよう充分な隙間が形成されている。   A hollow elevating shaft 424 is vertically suspended from the elevating platform 416, and the connecting portion between the elevating platform 416 and the elevating shaft 424 is airtight, and the elevating shaft 424 is moved up and down together with the elevating platform 416. The elevating shaft 424 passes through the top plate 426 of the load lock chamber 412, and a sufficient clearance is formed in the through hole of the top plate 426 through which the elevating shaft 424 passes so that the elevating shaft 424 does not contact the top plate 426. Has been.

又、ロードロック室412と昇降台416との間には、昇降シャフト424の周囲を覆うように伸縮性を有する中空伸縮体としてベローズ428が設けられ、ベローズ428によりロードロック室412が気密に保たれるようになっている。尚、ベローズ428は昇降台416の昇降量に対応できる充分な伸縮量を有し、ベローズ428の内径は昇降シャフト424の外径に比べて充分に大きく、伸縮の際にベローズ428と昇降シャフト424が接触することがないように構成されている。   A bellows 428 is provided as a stretchable hollow elastic body so as to cover the periphery of the lifting shaft 424 between the load lock chamber 412 and the lifting platform 416, and the load lock chamber 412 is kept airtight by the bellows 428. It has come to droop. The bellows 428 has a sufficient amount of expansion and contraction that can accommodate the amount of elevation of the lifting platform 416. The inner diameter of the bellows 428 is sufficiently larger than the outer diameter of the lifting shaft 424, and the bellows 428 and the lifting shaft 424 are expanded and contracted. It is comprised so that may not contact.

昇降シャフト424の下端には、昇降基板430が水平に固着され、昇降基板430の下面にはOリング等のシール部材を介して駆動部カバー432が気密に取付けられる。昇降基板430と駆動部カバー432とで駆動部収納ケース434が構成され、この構成により駆動部収納ケース434内部はロードロック室412内の雰囲気と隔離される。   The elevating board 430 is horizontally fixed to the lower end of the elevating shaft 424, and the drive unit cover 432 is airtightly attached to the lower surface of the elevating board 430 via a seal member such as an O-ring. The elevating board 430 and the drive unit cover 432 constitute a drive unit storage case 434, and this configuration isolates the inside of the drive unit storage case 434 from the atmosphere in the load lock chamber 412.

又、駆動部収納ケース434の内部にはボート320の回転機構404が設けられ、回転機構404の周辺は冷却機構436によって冷却されるようになっている。   A rotation mechanism 404 of the boat 320 is provided inside the drive unit storage case 434, and the periphery of the rotation mechanism 404 is cooled by a cooling mechanism 436.

電力ケーブル438は、昇降シャフト424の上端から中空部を通り、回転機構404に導かれて接続されている。又、冷却機構436及びシールキャップ402には冷却水流路440が形成されている。更に、冷却水配管442が昇降シャフト424の上端から中空部を通り冷却水流路440に導かれて接続されている。   The power cable 438 passes through the hollow portion from the upper end of the elevating shaft 424 and is guided to the rotation mechanism 404 and connected thereto. A cooling water flow path 440 is formed in the cooling mechanism 436 and the seal cap 402. Further, a cooling water pipe 442 is led from the upper end of the elevating shaft 424 through the hollow portion to the cooling water passage 440 and connected thereto.

昇降モータ408が駆動され、ボール螺子420が回転することで、昇降台416及び昇降シャフト424を介して駆動部収納ケース434を昇降させる。   As the elevating motor 408 is driven and the ball screw 420 is rotated, the drive unit storage case 434 is raised and lowered via the elevating table 416 and the elevating shaft 424.

駆動部収納ケース434が上昇することにより、昇降基板430に気密に設けられているシールキャップ402が処理炉328の開口部である炉口444を閉塞し、ウエハ処理が可能な状態となる。又、駆動部収納ケース434が下降することにより、シールキャップ402と共にボート320が降下され、ウエハ304を外部に搬出できる状態となる。   When the drive unit storage case 434 is raised, the seal cap 402 provided in an airtight manner on the elevating substrate 430 closes the furnace port 444 which is an opening of the processing furnace 328, and the wafer processing is possible. Further, when the drive unit storage case 434 is lowered, the boat 320 is lowered together with the seal cap 402 so that the wafer 304 can be carried out to the outside.

次に、SiCエピタキシャル膜を成膜する半導体製造装置300を構成する各部の制御構成について説明する。   Next, the control configuration of each part constituting the semiconductor manufacturing apparatus 300 for forming a SiC epitaxial film will be described.

図6に於いて、温度制御部362、ガス流量制御部388、圧力制御部398、駆動制御部410は、操作部及び入出力部を構成し、半導体製造装置300全体を制御する主制御部446に電気的に接続されている。又、温度制御部362、ガス流量制御部388、圧力制御部398、駆動制御部410は、コントローラ448として構成されている。   In FIG. 6, a temperature control unit 362, a gas flow rate control unit 388, a pressure control unit 398, and a drive control unit 410 constitute an operation unit and an input / output unit, and a main control unit 446 that controls the entire semiconductor manufacturing apparatus 300. Is electrically connected. Further, the temperature control unit 362, the gas flow rate control unit 388, the pressure control unit 398, and the drive control unit 410 are configured as a controller 448.

次に、上述した第1のガス供給系及び第2のガス供給系を構成する理由について説明する。   Next, the reason for configuring the first gas supply system and the second gas supply system described above will be described.

SiCエピタキシャル膜を成膜する半導体製造装置では、少なくともSi(シリコン)原子含有ガスと、C(炭素)原子含有ガスとで構成される原料ガスを反応室350に供給し、SiCエピタキシャル膜を成膜する必要がある。また、本実施形態のように、複数枚のウエハ304が水平姿勢で多段に整列させて保持される場合に於いて、ウエハ間の均一性を向上させるため、成膜ガスを夫々のウエハ近傍のガス供給口から供給できるように、反応室350内にガス供給ノズルを設けている。従って、ガス供給ノズル内も反応室と同じ条件となっている。この時、Si原子含有ガスとC原子含有ガスを同じガス供給ノズルにて供給すると、原料ガス同士が反応することで原料ガスが消費され、反応室350の下流側で原料ガスが不足するだけでなく、ガス供給ノズル内で反応し堆積したSiC膜等の堆積物がガス供給ノズルを閉塞し、原料ガスの供給が不安定になると共に、パーティクルを発生させる等の問題を生じてしまう。   In a semiconductor manufacturing apparatus for forming a SiC epitaxial film, a source gas composed of at least a Si (silicon) atom-containing gas and a C (carbon) atom-containing gas is supplied to the reaction chamber 350 to form a SiC epitaxial film. There is a need to. Further, in the case where a plurality of wafers 304 are held in a multi-stage alignment in a horizontal posture as in the present embodiment, in order to improve the uniformity between the wafers, a film forming gas is supplied in the vicinity of each wafer. A gas supply nozzle is provided in the reaction chamber 350 so that the gas can be supplied from the gas supply port. Therefore, the gas supply nozzle also has the same conditions as the reaction chamber. At this time, if the Si atom-containing gas and the C atom-containing gas are supplied by the same gas supply nozzle, the source gas reacts to consume the source gas, and the source gas is insufficient on the downstream side of the reaction chamber 350. However, deposits such as a SiC film deposited by reaction in the gas supply nozzle block the gas supply nozzle, leading to problems such as unstable supply of the source gas and generation of particles.

そこで、本実施形態では、第1のガス供給ノズル332を介してSi原子含有ガスを供給し、第2のガス供給ノズル336を介してC原子含有ガスを供給している。このように、Si原子含有ガスとC原子含有ガスを異なるガス供給ノズルから供給することにより、ガス供給ノズル内では、SiC膜が堆積しないようにすることができる。なお、Si原子含有ガス及びC原子含有ガスの濃度や流速を調整したい場合は、夫々適切なキャリアガスを供給すればよい。   Therefore, in this embodiment, the Si atom-containing gas is supplied via the first gas supply nozzle 332 and the C atom-containing gas is supplied via the second gas supply nozzle 336. Thus, by supplying the Si atom-containing gas and the C atom-containing gas from different gas supply nozzles, it is possible to prevent the SiC film from being deposited in the gas supply nozzle. In addition, what is necessary is just to supply appropriate carrier gas, respectively, when adjusting the density | concentration and flow velocity of Si atom containing gas and C atom containing gas.

更に、Si原子含有ガスを、より効率的に使用するため水素ガスのような還元ガスを用いる場合がある。この場合、還元ガスは、C原子含有ガスを供給する第2のガス供給ノズル336を介して供給することが望ましい。このように還元ガスをC原子含有ガスと共に供給し、反応室350内でSi原子含有ガスと混合することにより、還元ガスが少ない状態となるためSi原子含有ガスの分解を成膜時と比較して抑制することができ、第1のガス供給ノズル内におけるSi膜の堆積を抑制することが可能となる。この場合、還元ガスをC原子含有ガスのキャリアガスとして用いることが可能となる。なお、Si原子含有ガスのキャリアとしては、アルゴン(Ar)のような不活性ガス(特に希ガス)を用いることにより、Si膜の堆積を抑制することが可能となる。   Furthermore, a reducing gas such as hydrogen gas may be used in order to use the Si atom-containing gas more efficiently. In this case, it is desirable to supply the reducing gas via the second gas supply nozzle 336 that supplies the C atom-containing gas. Thus, by supplying the reducing gas together with the C atom-containing gas and mixing it with the Si atom-containing gas in the reaction chamber 350, the reduction of the reducing gas is reduced. Therefore, the deposition of the Si film in the first gas supply nozzle can be suppressed. In this case, the reducing gas can be used as a carrier gas for the C atom-containing gas. Note that the use of an inert gas (particularly a rare gas) such as argon (Ar) as the carrier of the Si atom-containing gas can suppress the deposition of the Si film.

更に、第1のガス供給ノズル332には、HClのような塩素原子含有ガスを供給することが望ましい。このようにすると、Si原子含有ガスが熱により分解し、第1のガス供給ノズル内に堆積可能な状態となったとしても、塩素によりエッチングモードとすることが可能となり、第1のガス供給ノズル内へのSi膜の堆積をより抑制することが可能になる。   Further, it is desirable to supply a chlorine atom-containing gas such as HCl to the first gas supply nozzle 332. In this way, even if the Si atom-containing gas is decomposed by heat and can be deposited in the first gas supply nozzle, it becomes possible to enter the etching mode with chlorine, and the first gas supply nozzle It is possible to further suppress the deposition of the Si film inside.

尚、図2から図4に示す例では、第1のガス供給ノズル332にSiH4ガス及びHClガスを供給し、第2のガス供給ノズル336にC38ガス及びH2ガスを供給する構成で説明したが、上述した通り、図2から4に示す例は、最も良いと考えられる組合せであり、それに限られることはない。 In the example shown in FIGS. 2 to 4, SiH 4 gas and HCl gas are supplied to the first gas supply nozzle 332, and C 3 H 8 gas and H 2 gas are supplied to the second gas supply nozzle 336. Although described in the configuration, as described above, the examples shown in FIGS. 2 to 4 are combinations considered to be the best, and are not limited thereto.

又、図2から図4に示す例では、SiCエピタキシャル膜を形成する際に流すCl(塩素)原子含有ガスとしてHClガスを例示したが、塩素ガスを用いてもよい。   In the example shown in FIGS. 2 to 4, HCl gas is exemplified as the Cl (chlorine) atom-containing gas to be flowed when forming the SiC epitaxial film, but chlorine gas may be used.

又、上述ではSiCエピタキシャル膜を形成する際に、Si(シリコン)原子含有ガスとCl(塩素)原子含有ガスとを供給したが、Si原子とCl原子を含むガス、例えばテトラクロロシラン(以下SiCl4とする)ガス、トリクロロシラン(以下SiHCl3)ガス、ジクロロシラン(以下SiH2Cl2)ガスを供給してもよい。また、言うまでもないが、これらのSi原子及びCl原子を含むガスは、Si原子含有ガスでも有り、又は、Si原子含有ガス及びCl原子含有ガスの混合ガスともいえる。特に、SiCl4は、熱分解される温度が比較的高いため、ノズル内のSi消費抑制の観点から望ましい。 Further, in the above description, when the SiC epitaxial film is formed, the Si (silicon) atom-containing gas and the Cl (chlorine) atom-containing gas are supplied, but a gas containing Si atoms and Cl atoms, for example, tetrachlorosilane (hereinafter, SiCl 4). Gas), trichlorosilane (hereinafter SiHCl 3 ) gas, and dichlorosilane (hereinafter SiH 2 Cl 2 ) gas may be supplied. Needless to say, the gas containing Si atoms and Cl atoms is also a Si atom-containing gas or a mixed gas of Si atom-containing gas and Cl atom-containing gas. In particular, SiCl 4 is desirable from the viewpoint of suppressing the consumption of Si in the nozzle because the temperature at which it is thermally decomposed is relatively high.

又、上述ではC(炭素)原子含有ガスとしてC38ガスを例示したが、エチレン(以下C24とする)ガス、アセチレン(以下C22とする)ガスを用いてもよい。 In the above description, C 3 H 8 gas is exemplified as the C (carbon) atom-containing gas. However, ethylene (hereinafter referred to as C 2 H 4 ) gas or acetylene (hereinafter referred to as C 2 H 2 ) gas may be used. .

また、還元ガスとしてH2ガスを例示したが、これに限らず他のH(水素)原子含有ガスを用いても良い。更には、キャリアガスとしては、Ar(アルゴン)ガス、He(ヘリウム)ガス、Ne(ネオン)ガス、Kr(クリプトン)ガス、Xe(キセノン)ガス等の希ガスのうち少なくとも1つを用いてもよいし、上記したガスを組合わせた混合ガスを用いてもよい。 Although exemplified H 2 gas as the reducing gas, may be used other H (hydrogen) atom-containing gas is not limited thereto. Furthermore, as the carrier gas, at least one of rare gases such as Ar (argon) gas, He (helium) gas, Ne (neon) gas, Kr (krypton) gas, and Xe (xenon) gas may be used. Alternatively, a mixed gas in which the above gases are combined may be used.

上述では、第1のガス供給ノズル332を介してSi原子含有ガスを供給し、第2のガス供給ノズル336を介してC原子含有ガスを供給することでガス供給ノズル内のSiC膜の堆積を抑制するようにしている(以下、Si原子含有ガスとC原子含有ガスを分離して供給する方式を、「セパレート方式」と呼ぶ。)。しかしながら、この方法は、ガス供給ノズル内でのSiC膜の堆積を抑制できるものの、Si原子含有ガスとC原子含有ガスの混合がガス供給口330,334からウエハ304に到達するまでの間に充分に行う必要がある。   In the above description, the SiC atom-containing gas is supplied via the first gas supply nozzle 332, and the C atom-containing gas is supplied via the second gas supply nozzle 336, thereby depositing the SiC film in the gas supply nozzle. (Hereinafter, the method of separating and supplying the Si atom-containing gas and the C atom-containing gas is referred to as a “separate method”). However, although this method can suppress the deposition of the SiC film in the gas supply nozzle, it is sufficient until the mixture of the Si atom-containing gas and the C atom-containing gas reaches the wafer 304 from the gas supply ports 330 and 334. Need to be done.

従って、ウエハ内の均一化の観点から見れば、Si原子含有ガスとC原子含有ガスを予め混合して、ガス供給ノズル332に供給するほうが望ましい(以下、Si原子含有ガスとC原子含有ガスを同一のガス供給ノズルから供給する方式を「プレミックス方式」と呼ぶ。)。しかしながら、Si原子含有ガス及びC原子含有ガスを同一のガス供給ノズルから供給するとガス供給ノズル内にSiC膜が堆積してしまう恐れがある。一方で、Si原子含有ガスは、エッチングガスである塩素と還元ガスである水素との比(Cl/H)を大きくすると塩素によるエッチング効果の方が大きくなり、Si原子含有ガスの反応を抑えることが可能である。従って、一方のガス供給ノズルにSi原子含有ガス、C原子含有ガス、及び、塩素含有ガスを供給し、還元反応に用いられる還元ガス(例えば、水素ガス)を他方のガス供給ノズルから供給することで、ガス供給ノズル内のCl/Hが大きくなり、SiC膜の堆積を抑制することが可能である。   Therefore, from the viewpoint of uniformization in the wafer, it is preferable that the Si atom-containing gas and the C atom-containing gas are mixed in advance and supplied to the gas supply nozzle 332 (hereinafter, the Si atom-containing gas and the C atom-containing gas are referred to as the gas supply nozzle 332). The method of supplying from the same gas supply nozzle is called “premix method”.) However, if the Si atom-containing gas and the C atom-containing gas are supplied from the same gas supply nozzle, the SiC film may be deposited in the gas supply nozzle. On the other hand, when the ratio of chlorine (etching gas) to hydrogen (reducing gas) (Cl / H) is increased in the Si atom-containing gas, the etching effect by chlorine increases, and the reaction of the Si atom-containing gas is suppressed. Is possible. Therefore, Si atom-containing gas, C atom-containing gas, and chlorine-containing gas are supplied to one gas supply nozzle, and a reducing gas (for example, hydrogen gas) used for the reduction reaction is supplied from the other gas supply nozzle. Thus, Cl / H in the gas supply nozzle becomes large, and it is possible to suppress the deposition of the SiC film.

次に、上述した半導体製造装置300を用い、半導体デバイスの製造工程の一工程として、SiC等で構成されるウエハ304等の基板上に、例えばSiC膜を形成する基板の製造方法について説明する。   Next, a substrate manufacturing method in which, for example, a SiC film is formed on a substrate such as a wafer 304 made of SiC or the like as one step of a semiconductor device manufacturing process using the semiconductor manufacturing apparatus 300 described above will be described.

尚、以下の説明に於いて半導体製造装置300を構成する各部の動作は、コントローラ448により制御される。   In the following description, the operation of each part constituting the semiconductor manufacturing apparatus 300 is controlled by the controller 448.

先ず、ポッドステージ308に複数枚のウエハ304を収納したポッド306がセットされると、ポッド搬送装置310によりポッド306をポッドステージ308からポッド収納棚312へ搬送し、ストックする。次に、ポッド搬送装置310により、ポッド収納棚312にストックされたポッド306をポッドオープナ314に搬送してセットし、ポッドオープナ314によりポッド306の蓋を開き、基板枚数検知器316によりポッド306に収納されているウエハ304の枚数を検知する。   First, when the pod 306 storing a plurality of wafers 304 is set on the pod stage 308, the pod 306 is transferred from the pod stage 308 to the pod storage shelf 312 by the pod transfer device 310 and stocked. Next, the pod 306 stocked on the pod storage shelf 312 is transported and set to the pod opener 314 by the pod transport device 310, the lid of the pod 306 is opened by the pod opener 314, and the substrate number detector 316 stores the pod 306. The number of stored wafers 304 is detected.

次に、基板移載機318により、ポッドオープナ314の位置にあるポッド306からウエハ304を取出し、ボート320に移載する。   Next, the wafer transfer unit 318 takes out the wafer 304 from the pod 306 at the position of the pod opener 314 and transfers it to the boat 320.

複数枚のウエハ304がボート320に装填されると、ウエハ304を保持したボート320は、昇降モータ408による昇降台416及び昇降シャフト424の昇降動作により反応室350内に搬入(ボートローディング)される。この状態では、シールキャップ402はOリング(図示せず)を介してマニホールド346の下端をシールした状態となる。   When a plurality of wafers 304 are loaded into the boat 320, the boat 320 holding the wafers 304 is loaded into the reaction chamber 350 (boat loading) by the lifting / lowering operation of the lifting / lowering table 416 and the lifting / lowering shaft 424 by the lifting / lowering motor 408. . In this state, the seal cap 402 seals the lower end of the manifold 346 via an O-ring (not shown).

ボート320搬入後、反応室350内が所定の圧力(真空度)となるように、真空排気装置396によって真空排気される。この時、反応室350内の圧力は、圧力センサ(図示せず)によって測定され、測定された圧力に基づき第1のガス排気口338及び第2のガス排気口342に連通するAPCバルブ394がフィードバック制御される。又、ウエハ304及び反応室350内が所定の温度となるよう被加熱体326が加熱される。この時、反応室350内が所定の温度分布となるよう、後述する切り替え方式により選択された高温用放射温度計356又は低温用放射温度計358が検出した温度情報に基づき誘導コイル348への通電具合がフィードバック制御される。続いて、回転機構404により、ボート320が回転されることで、ウエハ304が周方向に回転される。   After carrying in the boat 320, the reaction chamber 350 is evacuated by the evacuation device 396 so that the inside of the reaction chamber 350 becomes a predetermined pressure (degree of vacuum). At this time, the pressure in the reaction chamber 350 is measured by a pressure sensor (not shown), and the APC valve 394 communicating with the first gas exhaust port 338 and the second gas exhaust port 342 based on the measured pressure is Feedback controlled. Further, the object to be heated 326 is heated so that the inside of the wafer 304 and the reaction chamber 350 has a predetermined temperature. At this time, the induction coil 348 is energized based on the temperature information detected by the high-temperature radiation thermometer 356 or the low-temperature radiation thermometer 358 selected by a switching method described later so that the reaction chamber 350 has a predetermined temperature distribution. The condition is feedback controlled. Subsequently, when the boat 320 is rotated by the rotation mechanism 404, the wafer 304 is rotated in the circumferential direction.

続いて、SiCエピタキシャル成長反応に寄与するSi(シリコン)原子含有ガス及びCl(塩素)原子含有ガスは、それぞれガス供給源386c,386dから供給され、第1のガス供給口330より反応室350内に噴出される。又、C(炭素)原子含有ガス及び還元ガスであるH2ガスが、所定の流量となるように対応するMFC382a,382bの開度が調整された後、バルブ384a,384bが開かれ、それぞれのガスが第2のガスライン390に流通し、第2のガス供給ノズル336に流通して第2のガス供給口334より反応室350内に導入される。   Subsequently, Si (silicon) atom-containing gas and Cl (chlorine) atom-containing gas contributing to the SiC epitaxial growth reaction are supplied from gas supply sources 386c and 386d, respectively, and enter the reaction chamber 350 from the first gas supply port 330. Erupted. Further, after the opening degrees of the corresponding MFCs 382a and 382b are adjusted so that the C (carbon) atom-containing gas and the reducing gas H2 gas have predetermined flow rates, the valves 384a and 384b are opened, and the respective gases are opened. Flows to the second gas line 390, flows to the second gas supply nozzle 336, and is introduced into the reaction chamber 350 from the second gas supply port 334.

第1のガス供給口330及び第2のガス供給口334より供給されたガスは、反応室350内の被加熱体326の内側を通り、第1のガス排気口338からガス排気管392を通って排気される。第1のガス供給口330及び第2のガス供給口334より供給されたガスは、反応室350内を通過する際に、SiC等で構成されるウエハ304と接触し、ウエハ304表面上にSiCエピタキシャル膜成長がなされる。   The gas supplied from the first gas supply port 330 and the second gas supply port 334 passes through the inside of the heated body 326 in the reaction chamber 350 and passes through the gas exhaust pipe 392 from the first gas exhaust port 338. Exhausted. When the gas supplied from the first gas supply port 330 and the second gas supply port 334 passes through the reaction chamber 350, the gas contacts the wafer 304 made of SiC or the like, and the SiC is formed on the surface of the wafer 304. Epitaxial film growth is performed.

又、ガス供給源386eより、不活性ガスとしての希ガスであるArガスが所定の流量となるように対応するMFC382eの開度が調整された後、バルブ384eが開かれ、第3のガスライン400に流通し、第3のガス供給口340から反応室350内に供給される。第3のガス供給口340から供給された不活性ガスとしての希ガスであるArガスは、反応室350内の断熱材372と反応管344との間を通過し、第2のガス排気口342から排気される。   Further, after the opening of the corresponding MFC 382e is adjusted from the gas supply source 386e so that the Ar gas, which is a rare gas as an inert gas, has a predetermined flow rate, the valve 384e is opened, and the third gas line 400 is supplied to the reaction chamber 350 from the third gas supply port 340. Ar gas, which is a rare gas as an inert gas supplied from the third gas supply port 340, passes between the heat insulating material 372 and the reaction tube 344 in the reaction chamber 350, and the second gas exhaust port 342. Exhausted from.

次に、予め設定された時間が経過すると、上述したガスの供給が停止され、図示しない不活性ガス供給源より不活性ガスが供給され、反応室350内の被加熱体326の内側の空間が不活性ガスで置換されると共に、反応室350内の圧力が常圧に復帰される。   Next, when a preset time elapses, the gas supply described above is stopped, an inert gas is supplied from an inert gas supply source (not shown), and the space inside the heated body 326 in the reaction chamber 350 is While being replaced with the inert gas, the pressure in the reaction chamber 350 is returned to normal pressure.

その後、昇降モータ408によりシールキャップ402が下降され、マニホールド346の下端が開口されると共に、処理済みのウエハ304がボート320に保持された状態でマニホールド346の下端から反応管344の外部に搬出(ボートアンローディング)され、ボート320に保持されたウエハ304が冷える迄、ボート320を所定位置にて待機させる。待機させたボート320のウエハ304が所定温度迄冷却されると、基板移載機318により、ボート320からウエハ304を取出し、ポッドオープナ314にセットされている空のポッド306に搬送して収納する。その後、ポッド搬送装置310によりウエハ304が収納されたポッド306をポッド収納棚312、又はポッドステージ308に搬送する。このようにして、半導体製造装置300の一連の作動が完了する。   Thereafter, the seal cap 402 is lowered by the elevating motor 408, the lower end of the manifold 346 is opened, and the processed wafer 304 is carried out from the lower end of the manifold 346 to the outside of the reaction tube 344 while being held by the boat 320 ( The boat 320 waits at a predetermined position until the wafer 304 held by the boat 320 is cooled and the wafer 304 is cooled. When the waited wafers 304 of the boat 320 are cooled to a predetermined temperature, the wafers 304 are taken out from the boat 320 by the substrate transfer device 318 and transferred to and stored in an empty pod 306 set in the pod opener 314. . Thereafter, the pod 306 in which the wafers 304 are stored is transferred to the pod storage shelf 312 or the pod stage 308 by the pod transfer device 310. In this way, a series of operations of the semiconductor manufacturing apparatus 300 is completed.

次に、本発明の実施形態に係る温度センサについて詳述する。
温度センサとして、例えば被加熱体326の近傍に縦方向に3つのゾーンに分割して放射温度計354、356、358、360が配置されている。
放射温度計354は、縦方向の3つのゾーンのうち上部のゾーンに配置されており、放射温度計356、358は、縦方向の3つのゾーンのうち中部のゾーンに配置されており、放射温度計360は、縦方向の3つのゾーンのうち下部のゾーンに配置されている。
Next, the temperature sensor according to the embodiment of the present invention will be described in detail.
As the temperature sensor, for example, radiation thermometers 354, 356, 358, and 360 are arranged in the vicinity of the heated body 326 and divided into three zones in the vertical direction.
The radiation thermometer 354 is disposed in the upper zone of the three longitudinal zones, and the radiation thermometers 356 and 358 are disposed in the middle zone of the three longitudinal zones. The total 360 is arranged in the lower zone among the three zones in the vertical direction.

上部のゾーンに配置された放射温度計354及び下部のゾーンに配置された放射温度計360は、モニター用であり、目的に応じて低温用放射温度計又は高温用放射温度計のいずれかが配置されている。中部のゾーンに配置された放射温度計356及び358のうち、放射温度計356は高温用放射温度計であり、放射温度計358は低温用放射温度計である。放射温度計356及び358は、同じ場所の温度を測定する目的で配置されており、高温用放射温度計である放射温度計356と低温用放射温度計である放射温度計358とを切り替えて温度制御を行なう。   The radiation thermometer 354 arranged in the upper zone and the radiation thermometer 360 arranged in the lower zone are for monitoring, and either a low-temperature radiation thermometer or a high-temperature radiation thermometer is arranged depending on the purpose. Has been. Of the radiation thermometers 356 and 358 arranged in the middle zone, the radiation thermometer 356 is a high-temperature radiation thermometer, and the radiation thermometer 358 is a low-temperature radiation thermometer. The radiation thermometers 356 and 358 are arranged for the purpose of measuring the temperature at the same place, and switch between a radiation thermometer 356 that is a high-temperature radiation thermometer and a radiation thermometer 358 that is a low-temperature radiation thermometer. Take control.

誘導コイル348及び放射温度計354、356、358、360は、それぞれ図6に示されているように温度制御部362と電気的に接続されている。温度制御部362は、放射温度計356又は放射温度計358により検出された温度情報に基づき、誘導コイル348への通電具合が調節されることで、反応室350内の温度が所望の温度分布となるよう所定のタイミングにて制御されるよう構成されている。例えば、高周波電源で駆動される円柱型の誘導過熱ヒータである誘導コイル348に対し、温度制御部362は、放射温度計356又は放射温度計358により検出された温度情報と設定温度とを比較して適切な熱量を出力させるべく高周波電源を駆動する。   The induction coil 348 and the radiation thermometers 354, 356, 358, 360 are electrically connected to the temperature controller 362 as shown in FIG. Based on the temperature information detected by the radiation thermometer 356 or the radiation thermometer 358, the temperature control unit 362 adjusts the power supply to the induction coil 348, so that the temperature in the reaction chamber 350 becomes a desired temperature distribution. It is configured to be controlled at a predetermined timing. For example, the temperature control unit 362 compares the temperature information detected by the radiation thermometer 356 or the radiation thermometer 358 with the set temperature with respect to the induction coil 348 that is a cylindrical induction superheater driven by a high-frequency power source. The high frequency power supply is driven to output an appropriate amount of heat.

また、温度制御部362は、放射温度計356により検出された温度情報に基づく制御と、放射温度計358により検出された温度情報に基づく制御とを後述する切り替え方式により切り替えて制御を行なう。   In addition, the temperature control unit 362 performs control by switching between control based on the temperature information detected by the radiation thermometer 356 and control based on the temperature information detected by the radiation thermometer 358 by a switching method described later.

さらに、誘導コイル348の近傍に、縦方向に3つのゾーンに分割して熱電対364、366、368が配置されている。熱電対364は、縦方向の3つのゾーンのうち上部のゾーンに配置されており、熱電対366は、縦方向の3つのゾーンのうち中部のゾーンに配置されており、熱電対368は、縦方向の3つのゾーンのうち下部のゾーンに配置されている。熱電対364、366、368は、過温保護のために用いられ、それぞれ温度制御部362に電気的に接続されている。   Furthermore, thermocouples 364, 366, and 368 are arranged in the vicinity of the induction coil 348 and divided into three zones in the vertical direction. The thermocouple 364 is arranged in the upper zone of the three vertical zones, the thermocouple 366 is arranged in the middle zone of the three vertical zones, and the thermocouple 368 is arranged in the vertical zone. Of the three directional zones, they are arranged in the lower zone. The thermocouples 364, 366, and 368 are used for overtemperature protection, and are electrically connected to the temperature control unit 362, respectively.

次に、本発明の実施形態に係る温度制御における放射温度計の切り替えについて説明する。   Next, switching of the radiation thermometer in the temperature control according to the embodiment of the present invention will be described.

本発明の実施形態に係る温度制御では、例えば、低温用放射温度計である放射温度計358(以下、低温用放射温度計358)による測定値(検出温度)に基づく温度制御から高温用放射温度計である放射温度計356(以下、高温用放射温度計356)による測定値(検出温度)に基づく温度制御へ切り替える判断の基準として閾値Pを設定し、高温用放射温度計356による測定値(検出温度)から低温用放射温度計358による測定値(検出温度)に基づく温度制御へ切り替える判断の基準として閾値Pとは異なる閾値Mを設定する。   In the temperature control according to the embodiment of the present invention, for example, from a temperature control based on a measured value (detected temperature) by a radiation thermometer 358 (hereinafter, a low temperature radiation thermometer 358) which is a low temperature radiation thermometer, a high temperature radiation temperature is obtained. A threshold value P is set as a reference for determination to switch to temperature control based on a measured value (detected temperature) by a radiation thermometer 356 (hereinafter, a high-temperature radiation thermometer 356), and a measured value by a high-temperature radiation thermometer 356 ( A threshold value M different from the threshold value P is set as a criterion for switching from the detected temperature) to the temperature control based on the measurement value (detected temperature) by the low-temperature radiation thermometer 358.

また、低温用放射温度計358による測定値(検出温度)に基づく温度制御から高温用放射温度計356による測定値(検出温度)に基づく温度制御へ切り替える際に故障の判断基準となる閾値KPM、閾値KPPを設定し、高温用放射温度計356による測定値(検出温度)から低温用放射温度計358による測定値(検出温度)に基づく温度制御へ切り替える際に故障の判断基準となる閾値KMM、閾値KMPを設定する。   Further, a threshold value KPM that becomes a criterion for failure when switching from temperature control based on a measurement value (detection temperature) by a low-temperature radiation thermometer 358 to temperature control based on a measurement value (detection temperature) by a high-temperature radiation thermometer 356, A threshold value KMM that becomes a criterion for determining a failure when setting a threshold value KPP and switching from a measurement value (detection temperature) by the high-temperature radiation thermometer 356 to a temperature control based on the measurement value (detection temperature) by the low-temperature radiation thermometer 358; A threshold value KMP is set.

具体的には、高温用放射温度計356の測定値が閾値Mを下回る場合、低温用放射温度計358による測定値に基づく温度制御に切り替える。
また、低温用放射温度計358の測定値が閾値Pを上回った場合、高温用放射温度計356による測定値に基づく温度制御に切り替える。
Specifically, when the measured value of the high-temperature radiation thermometer 356 is below the threshold M, the temperature control is switched to the temperature control based on the measured value by the low-temperature radiation thermometer 358.
When the measured value of the low-temperature radiation thermometer 358 exceeds the threshold value P, the temperature control is switched to the temperature control based on the measured value by the high-temperature radiation thermometer 356.

温度制御部362は、低温用放射温度計358による温度制御から高温用放射温度計356による温度制御へと切り替える判断基準となる閾値Pと、高温用放射温度計356による温度制御から低温用放射温度計358による温度制御へ切り替える閾値Mとに基づいて、制御を切り替える。ここで、閾値Pは、閾値Mよりも大きい(すなわち、P>Mの関係にある)。   The temperature control unit 362 includes a threshold P serving as a determination criterion for switching from temperature control by the low-temperature radiation thermometer 358 to temperature control by the high-temperature radiation thermometer 356, and low-temperature radiation temperature from the temperature control by the high-temperature radiation thermometer 356. The control is switched based on the threshold value M for switching to the temperature control by the total 358. Here, the threshold value P is larger than the threshold value M (that is, P> M).

また、高温用放射温度計356の計測可能な温度範囲の上限値をMax_Highとし、高温用放射温度計356の計測可能な温度範囲の下限値をMin_Highとし、低温用放射温度計358の計測可能な温度範囲の上限値をMax_Lowとし、低温用放射温度計358の計測可能な
温度範囲の下限値をMin_Lowとすると、閾値P及び閾値Mは、以下の式を満たす。
Further, the upper limit value of the measurable temperature range of the high temperature radiation thermometer 356 is Max_High, the lower limit value of the measurable temperature range of the high temperature radiation thermometer 356 is Min_High, and the low temperature radiation thermometer 358 is measurable. When the upper limit value of the temperature range is Max_Low and the lower limit value of the temperature range measurable by the low-temperature radiation thermometer 358 is Min_Low, the threshold value P and the threshold value M satisfy the following expressions.

Max_High > Max_Low > P > M > Min_High > Min_Low   Max_High> Max_Low> P> M> Min_High> Min_Low

そして、高温用放射温度計356による制御から低温用放射温度計358による制御に切り替える場合、高温用放射温度計356が閾値Mを下回った時点で低温用放射温度計358の測定値が閾値KMMから閾値KMPの許容範囲外であれば、高温用放射温度計356と低温用放射温度計358のいずれかが故障していると判断して、温度制御を中止する。ここで、閾値M、KMM、KMPは、以下の式を満たす。   When switching from the control by the high-temperature radiation thermometer 356 to the control by the low-temperature radiation thermometer 358, when the high-temperature radiation thermometer 356 falls below the threshold value M, the measured value of the low-temperature radiation thermometer 358 is changed from the threshold value KMM. If it is outside the allowable range of the threshold value KMP, it is determined that either the high-temperature radiation thermometer 356 or the low-temperature radiation thermometer 358 has failed, and the temperature control is stopped. Here, the threshold values M, KMM, and KMP satisfy the following expressions.

Max_Low ≧ KMP > M > KMM ≧ Min_Low   Max_Low ≧ KMP> M> KMM ≧ Min_Low

但し、等号(=)は許容範囲の上限閾値または下限閾値を設定しない場合に適用する。   However, the equal sign (=) is applied when the upper limit threshold or lower limit threshold of the allowable range is not set.

また、低温用放射温度計358による制御から高温用放射温度計356による制御に切り替える場合、低温用放射温度計358が閾値Pを上回った時点で高温用放射温度計356の測定値が閾値KPMから閾値KPPの許容範囲外であれば、高温用放射温度計356と低温用放射温度計358のいずれかが故障していると判断して、温度制御を中止する。ここで、閾値P、KPM、KPPは、以下の式を満たす。   Further, when switching from the control by the low-temperature radiation thermometer 358 to the control by the high-temperature radiation thermometer 356, when the low-temperature radiation thermometer 358 exceeds the threshold value P, the measured value of the high-temperature radiation thermometer 356 is changed from the threshold value KPM. If it is outside the allowable range of the threshold value KPP, it is determined that either the high-temperature radiation thermometer 356 or the low-temperature radiation thermometer 358 has failed, and the temperature control is stopped. Here, the threshold values P, KPM, and KPP satisfy the following expressions.

Max_High ≧ KPP > P > KPM ≧ Min_High   Max_High ≧ KPP> P> KPM ≧ Min_High

但し、等号(=)は許容範囲の上限閾値または下限閾値を設定しない場合に適用する。   However, the equal sign (=) is applied when the upper limit threshold or lower limit threshold of the allowable range is not set.

図7は、温度制御部362による温度制御の切り替え処理を示す図である。また、図8は、高温用放射温度計356による制御と低温放射温度計358による制御との切り替えを示す図である。図8において、横軸は、高温用放射温度計356による温度制御を行なうか低温用放射温度計358による温度制御を行なうかを示しており、縦軸は、放射温度計により測定される測定温度を示している。なお、太線で示された測定温度は、低温用放射温度計358により測定された測定温度を示し、破線で示された測定温度は、高温用放射温度計356により測定された測定温度を示す。   FIG. 7 is a diagram illustrating a temperature control switching process by the temperature control unit 362. FIG. 8 is a diagram showing switching between control by the high-temperature radiation thermometer 356 and control by the low-temperature radiation thermometer 358. In FIG. 8, the horizontal axis indicates whether the temperature control is performed by the high-temperature radiation thermometer 356 or the low-temperature radiation thermometer 358, and the vertical axis indicates the measured temperature measured by the radiation thermometer. Is shown. The measurement temperature indicated by the bold line indicates the measurement temperature measured by the low-temperature radiation thermometer 358, and the measurement temperature indicated by the broken line indicates the measurement temperature measured by the high-temperature radiation thermometer 356.

図7及び図8に示されるように、温度制御部362は、現在の温度制御に用いている放射温度計の測定温度と閾値との比較により制御の切り替えがなされる。温度制御部362は、低温用放射温度計358による制御から高温用放射温度計356に制御対象を切り替える場合、低温用放射温度計358の測定値が閾値P以上となり、高温用放射温度計358の測定値が閾値KPPより高い場合や、閾値KPMより低い場合には低温用放射温度計又は高温用放射温度計の故障を検知する。
同様に、低温用放射温度計358の測定値が閾値P以上となり、高温用放射温度計358の測定値が閾値KPMから閾値KPPであれば、高温用放射温度計356による制御へと切り替える。
また、低温用放射温度計358の測定値が閾値P未満であれば、低温用放射温度計358の使用を継続する。このとき、温度制御部362は、高温用放射温度計の測定値は切り替え判断において考慮しない。
As shown in FIGS. 7 and 8, the temperature control unit 362 switches the control by comparing the measured temperature of the radiation thermometer used for the current temperature control with a threshold value. When the temperature control unit 362 switches the control target from the control by the low-temperature radiation thermometer 358 to the high-temperature radiation thermometer 356, the measured value of the low-temperature radiation thermometer 358 becomes equal to or higher than the threshold value P, and the high-temperature radiation thermometer 358 When the measured value is higher than the threshold value KPP or lower than the threshold value KPM, a failure of the low-temperature radiation thermometer or the high-temperature radiation thermometer is detected.
Similarly, if the measurement value of the low-temperature radiation thermometer 358 is equal to or greater than the threshold value P and the measurement value of the high-temperature radiation thermometer 358 is the threshold value KPP from the threshold value KPM, the control is switched to the control by the high-temperature radiation thermometer 356.
If the measured value of the low-temperature radiation thermometer 358 is less than the threshold value P, the use of the low-temperature radiation thermometer 358 is continued. At this time, the temperature control unit 362 does not consider the measurement value of the high-temperature radiation thermometer in the switching determination.

図9は、本発明の実施形態に係る放射温度計の故障検知の一例を示す図である。
図9に示されているように、高温用放射温度計356による温度制御から低温用放射温度計358による温度制御へと切り替える場合、高温用放射温度計356の測定値が闘値Mを下回った時点で低温用放射温度計358の測定値が闘値KMMから闘置KMPの範囲内にない場合には、低温用放射温度計又は高温用放射温度計の故障を検知する。
すなわち、温度制御部362は、高温用放射温度計356による温度制御から低温用放射温度計358による温度制御へと切り替える場合、高温用放射温度計356の測定値が閾値M未満となり、低温用放射温度計358の測定値が閾値KMPより高い場合や、閾値KMMより低い場合には低温用放射温度計又は高温用放射温度計の故障を検知する。
FIG. 9 is a diagram illustrating an example of failure detection of the radiation thermometer according to the embodiment of the present invention.
As shown in FIG. 9, when the temperature control by the high-temperature radiation thermometer 356 is switched to the temperature control by the low-temperature radiation thermometer 358, the measured value of the high-temperature radiation thermometer 356 falls below the threshold value M. If the measured value of the low-temperature radiation thermometer 358 is not within the range of the threshold value KMM to the threshold KMP at the time, a failure of the low-temperature radiation thermometer or the high-temperature radiation thermometer is detected.
That is, when the temperature control unit 362 switches from the temperature control by the high-temperature radiation thermometer 356 to the temperature control by the low-temperature radiation thermometer 358, the measured value of the high-temperature radiation thermometer 356 is less than the threshold value M, and the low-temperature radiation thermometer 362 When the measured value of the thermometer 358 is higher than the threshold value KMP or lower than the threshold value KMM, a failure of the low-temperature radiation thermometer or the high-temperature radiation thermometer is detected.

同様に、高温用放射温度計356の測定値が閾値M未満となり、低温用放射温度計358の測定値が閾値KMMから閾値KMPであれば、低温用放射温度計358による制御へと切り替える。
また、高温用放射温度計356の測定値が閾値M以上であれば、高温用放射温度計356の使用を継続する。このとき、温度制御部362は、低温用放射温度計の測定値は切り替え判断において考慮しない。
Similarly, if the measured value of the high-temperature radiation thermometer 356 is less than the threshold value M and the measured value of the low-temperature radiation thermometer 358 is the threshold value KMP from the threshold value KMM, the control is switched to the low-temperature radiation thermometer 358.
If the measured value of the high-temperature radiation thermometer 356 is equal to or greater than the threshold value M, the use of the high-temperature radiation thermometer 356 is continued. At this time, the temperature control unit 362 does not consider the measurement value of the low-temperature radiation thermometer in the switching determination.

上述の温度制御部362による制御の切り替えでは、複数種の放射温度計の切り替え時及び切り替え温度付近で危惧される温度測定値の不安定を改善でき、温度制御性を向上させることができる。   The switching of the control by the temperature control unit 362 described above can improve the instability of the temperature measurement value that is a concern at the time of switching between the multiple types of radiation thermometers and in the vicinity of the switching temperature, and can improve the temperature controllability.

また、放射温度計の切り替え時に他方の放射温度計の測定の範囲をチェックすることにより、放射温度計の故障やパラメータ設定ミス等を検知することができ、安全な温度制御を実現できる。   Also, by checking the measurement range of the other radiation thermometer when switching the radiation thermometer, a failure of the radiation thermometer, a parameter setting error, etc. can be detected, and safe temperature control can be realized.

以上の温度制御は、中部のゾーンに配置された放射温度計356及び358を用いて行なう例を示したが、上部又は下部のゾーンにおいて高温用放射温度計及び低温用放射温度計を設置して、同様に制御の切り替えを行なってもよい。   Although the above temperature control is shown by using the radiation thermometers 356 and 358 arranged in the middle zone, a high temperature radiation thermometer and a low temperature radiation thermometer are installed in the upper or lower zone. Similarly, the control may be switched.

また、SiCエピタキシャル膜を成膜する半導体製造装置に限らず、縦型の基板処理装置全般に適用することができる。   Further, the present invention can be applied not only to a semiconductor manufacturing apparatus for forming a SiC epitaxial film but also to a vertical substrate processing apparatus in general.

[本発明の好ましい態様]
以下に、本発明の好ましい態様について付記する。
[Preferred embodiment of the present invention]
Hereinafter, preferred embodiments of the present invention will be additionally described.

(付記1)
基板を収容する処理室を加熱する加熱手段と、
第1の放射温度計を用いて前記加熱手段によって加熱された温度を検出する第1の温度検出手段と、
第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を検出する第2の温度検出手段と、
前記第1の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御手段と、
前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御手段と、
前記第1の温度検出手段により検出された温度が第一の閾値を超えた場合には第2の温度検出手段に切り替え、前記第2の温度検出手段により検出された温度が前記第一の閾値より低い第二の閾値を下回った場合には第1の温度検出手段に切り替え、前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた閾値とに基づいて、前記第1の制御手段による前記加熱手段の制御と前記第2の制御手段による前記加熱手段の制御とを切り替える制御切り替え手段と、
を有する基板処理装置。
(Appendix 1)
A heating means for heating the processing chamber containing the substrate;
First temperature detecting means for detecting a temperature heated by the heating means using a first radiation thermometer;
The temperature higher than the upper limit of the temperature range measured by the first radiation thermometer is set as the upper limit of the temperature range to be measured, and is higher than the lower limit of the temperature range measured by the first radiation thermometer. Second temperature detection means for detecting the temperature heated by the heating means using a second radiation thermometer whose temperature is the lower limit of the temperature range to be measured;
First control means for controlling the heating means based on the temperature detected by the first temperature detection means;
Second control means for controlling the heating means based on the temperature detected by the second temperature detection means;
When the temperature detected by the first temperature detection means exceeds the first threshold value, the temperature is switched to the second temperature detection means, and the temperature detected by the second temperature detection means is the first threshold value. When it falls below the lower second threshold value, it switches to the first temperature detecting means, and based on the temperature detected by the first temperature detecting means or the second temperature detecting means and a predetermined threshold value. Control switching means for switching between the control of the heating means by the first control means and the control of the heating means by the second control means;
A substrate processing apparatus.

(付記2)
基板を収容する処理室を加熱手段により加熱し、
第1の放射温度計を用いて前記加熱手段によって加熱された温度を第1の温度検出手段により検出し、
第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を第2の温度検出手段により検出し、
前記第1の温度検出手段により検出された温度が第一の閾値を超えた場合には第2の温度検出手段に切り替える第1の制御と、前記第2の温度検出手段により検出された温度が前記第一の閾値より低い第二の闘値を下回った場合には第1の温度検出手段に切り替える第2の制御と、を前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた闘値とに基づいて切り替える、
基板処理装置の温度制御方法。
(Appendix 2)
Heating the processing chamber containing the substrate by heating means;
Detecting the temperature heated by the heating means using the first radiation thermometer by the first temperature detecting means;
The temperature higher than the upper limit of the temperature range measured by the first radiation thermometer is set as the upper limit of the temperature range to be measured, and is higher than the lower limit of the temperature range measured by the first radiation thermometer. Using a second radiation thermometer whose temperature is the lower limit of the temperature range to be measured, the temperature heated by the heating means is detected by the second temperature detecting means,
When the temperature detected by the first temperature detection means exceeds the first threshold, the first control to switch to the second temperature detection means, and the temperature detected by the second temperature detection means The second control to switch to the first temperature detection means when the second threshold value lower than the first threshold value is below is detected by the first temperature detection means or the second temperature detection means Switching based on a set temperature and a predetermined threshold value,
A temperature control method for a substrate processing apparatus.

(付記3)
それぞれ測定温度帯が異なる複数の温度センサを有する熱処理装置において、温度帯に応じて温度センサを切り替える温度測定方法。
(Appendix 3)
A temperature measurement method for switching a temperature sensor according to a temperature zone in a heat treatment apparatus having a plurality of temperature sensors each having a different measurement temperature zone.

(付記4)
それぞれ測定温度帯が異なる複数の温度センサを有する熱処理装置において、温度帯に応じて温度センサを切り替える際に、他方の温度センサが許容範囲内であるかどうかに応じて判断する温度センサの故障検知方法。
(Appendix 4)
In a heat treatment apparatus having a plurality of temperature sensors, each of which has a different measurement temperature range, when the temperature sensor is switched according to the temperature range, a temperature sensor failure detection is made based on whether the other temperature sensor is within an allowable range. Method.

(付記5)
付記3及び付記4の方法をプログラマ化し計算機上に実装した温度制御装置及び熱処理装置。
(Appendix 5)
A temperature control device and a heat treatment device in which the method of Supplementary Note 3 and Supplementary Note 4 is converted into a programmer and mounted on a computer.

300 半導体製造装置
304 ウエハ(基板)
326 被加熱体(被誘導体)
328 処理炉
362 温度制御部
380 ガス供給ユニット
354、356、358,360 放射温度計
364、366、368 熱電対
448 コントローラ
300 Semiconductor Manufacturing Device 304 Wafer (Substrate)
326 Heated object (derivative)
328 Processing furnace 362 Temperature controller 380 Gas supply unit 354, 356, 358, 360 Radiation thermometer 364, 366, 368 Thermocouple 448 Controller

Claims (2)

基板を収容する処理室を加熱する加熱手段と、
第1の放射温度計を用いて前記加熱手段によって加熱された温度を検出する第1の温度検出手段と、
第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を検出する第2の温度検出手段と、
前記第1の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御手段と、
前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御手段と、
前記第1の温度検出手段により検出された温度が第一の閾値を超えた場合には第2の温度検出手段に切り替え、前記第2の温度検出手段により検出された温度が前記第一の閾値より低い第二の閾値を下回った場合には第1の温度検出手段に切り替え、前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた閾値とに基づいて、前記第1の制御手段による前記加熱手段の制御と前記第2の制御手段による前記加熱手段の制御とを切り替える制御切り替え手段と、
を有する基板処理装置。
A heating means for heating the processing chamber containing the substrate;
First temperature detecting means for detecting a temperature heated by the heating means using a first radiation thermometer;
The temperature higher than the upper limit of the temperature range measured by the first radiation thermometer is set as the upper limit of the temperature range to be measured, and is higher than the lower limit of the temperature range measured by the first radiation thermometer. Second temperature detection means for detecting the temperature heated by the heating means using a second radiation thermometer whose temperature is the lower limit of the temperature range to be measured;
First control means for controlling the heating means based on the temperature detected by the first temperature detection means;
Second control means for controlling the heating means based on the temperature detected by the second temperature detection means;
When the temperature detected by the first temperature detection means exceeds the first threshold value, the temperature is switched to the second temperature detection means, and the temperature detected by the second temperature detection means is the first threshold value. When it falls below the lower second threshold value, it switches to the first temperature detecting means, and based on the temperature detected by the first temperature detecting means or the second temperature detecting means and a predetermined threshold value. Control switching means for switching between the control of the heating means by the first control means and the control of the heating means by the second control means;
A substrate processing apparatus.
基板を収容する処理室を加熱手段により加熱し、
第1の放射温度計を用いて前記加熱手段によって加熱された温度を第1の温度検出手段により検出し、
第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を第2の温度検出手段により検出し、
前記第1の温度検出手段により検出された温度が第一の閾値を超えた場合には第2の温度検出手段に切り替える第1の制御と、前記第2の温度検出手段により検出された温度が前記第一の閾値より低い第二の闘値を下回った場合には第1の温度検出手段に切り替える第2の制御と、を前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた闘値とに基づいて切り替える、
基板処理装置の温度制御方法。
Heating the processing chamber containing the substrate by heating means;
Detecting the temperature heated by the heating means using the first radiation thermometer by the first temperature detecting means;
The temperature higher than the upper limit of the temperature range measured by the first radiation thermometer is set as the upper limit of the temperature range to be measured, and is higher than the lower limit of the temperature range measured by the first radiation thermometer. Using a second radiation thermometer whose temperature is the lower limit of the temperature range to be measured, the temperature heated by the heating means is detected by the second temperature detecting means,
When the temperature detected by the first temperature detection means exceeds the first threshold, the first control to switch to the second temperature detection means, and the temperature detected by the second temperature detection means The second control to switch to the first temperature detection means when the second threshold value lower than the first threshold value is below is detected by the first temperature detection means or the second temperature detection means Switching based on a set temperature and a predetermined threshold value,
A temperature control method for a substrate processing apparatus.
JP2011201541A 2011-09-15 2011-09-15 Substrate processing apparatus and temperature control method for substrate processing apparatus Active JP5783859B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011201541A JP5783859B2 (en) 2011-09-15 2011-09-15 Substrate processing apparatus and temperature control method for substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011201541A JP5783859B2 (en) 2011-09-15 2011-09-15 Substrate processing apparatus and temperature control method for substrate processing apparatus

Publications (2)

Publication Number Publication Date
JP2013062466A true JP2013062466A (en) 2013-04-04
JP5783859B2 JP5783859B2 (en) 2015-09-24

Family

ID=48186857

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011201541A Active JP5783859B2 (en) 2011-09-15 2011-09-15 Substrate processing apparatus and temperature control method for substrate processing apparatus

Country Status (1)

Country Link
JP (1) JP5783859B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109196623A (en) * 2016-08-31 2019-01-11 株式会社国际电气 The manufacturing method and recording medium of substrate board treatment, semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109196623A (en) * 2016-08-31 2019-01-11 株式会社国际电气 The manufacturing method and recording medium of substrate board treatment, semiconductor device

Also Published As

Publication number Publication date
JP5783859B2 (en) 2015-09-24

Similar Documents

Publication Publication Date Title
JP5734081B2 (en) Substrate processing apparatus, temperature control method for substrate processing apparatus, and heating method for substrate processing apparatus
JP5730496B2 (en) Heat treatment apparatus, semiconductor device manufacturing method, and substrate processing method
JP5564311B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and substrate manufacturing method
JP2012195565A (en) Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device
JP2010153467A (en) Substrate processing apparatus, and method of manufacturing semiconductor device
JP2010141223A (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP2012069635A (en) Deposition device, wafer holder and deposition method
JP2010287877A (en) Heat treatment apparatus and method of heat treatment
JP2012178492A (en) Substrate processing device, gas nozzle, and method of manufacturing substrate or semiconductor device
JP5632190B2 (en) Semiconductor device manufacturing method, substrate manufacturing method, and substrate processing apparatus
JP2013197474A (en) Substrate processing method, semiconductor device manufacturing method and substrate processing apparatus
JP2012193985A (en) Substrate processing device and manufacturing method for substrate
JP2013197507A (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
JP5783859B2 (en) Substrate processing apparatus and temperature control method for substrate processing apparatus
JP2013207057A (en) Substrate processing apparatus, substrate manufacturing method, and substrate processing apparatus cleaning method
JP2013051374A (en) Substrate processing apparatus
JP2012195355A (en) Substrate processing device and substrate manufacturing method
JP2012191191A (en) Substrate processing apparatus
JP2012175077A (en) Substrate processing device, method of manufacturing substrate, and method of manufacturing semiconductor device
KR20210033428A (en) Vaporizer, substrate processing apparatus, cleaning method, method of manufacturing semiconductor device, and program
JP2012054408A (en) Substrate treatment apparatus and method for manufacturing substrate to be treated
WO2014046242A1 (en) Temperature gauge, substrate treatment device, temperature control method, and method for manufacturing semiconductor device
JP2011199214A (en) Thermal processing apparatus, method of manufacturing semiconductor device and method of manufacturing substrate
JP2014179550A (en) Substrate processing apparatus
JP2012019081A (en) Substrate treatment apparatus, semiconductor device manufacturing method and substrate manufacturing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140912

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150617

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150714

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150721

R150 Certificate of patent or registration of utility model

Ref document number: 5783859

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250