JP2012124489A - Method of manufacturing semiconductor structure from silicon carbide, and silicon carbide semiconductor - Google Patents

Method of manufacturing semiconductor structure from silicon carbide, and silicon carbide semiconductor Download PDF

Info

Publication number
JP2012124489A
JP2012124489A JP2011267241A JP2011267241A JP2012124489A JP 2012124489 A JP2012124489 A JP 2012124489A JP 2011267241 A JP2011267241 A JP 2011267241A JP 2011267241 A JP2011267241 A JP 2011267241A JP 2012124489 A JP2012124489 A JP 2012124489A
Authority
JP
Japan
Prior art keywords
layer
trench
implantation
silicon carbide
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011267241A
Other languages
Japanese (ja)
Other versions
JP6032887B2 (en
Inventor
Routheart Joachim
ルートハート ヨアヒム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Publication of JP2012124489A publication Critical patent/JP2012124489A/en
Application granted granted Critical
Publication of JP6032887B2 publication Critical patent/JP6032887B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/0455Making n or p doped regions or layers, e.g. using diffusion
    • H01L21/046Making n or p doped regions or layers, e.g. using diffusion using ion implantation
    • H01L21/0465Making n or p doped regions or layers, e.g. using diffusion using ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66053Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide
    • H01L29/66068Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices

Abstract

PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor structure from a silicon carbide, which allows accurate and uniform structuring that can be reproduced.SOLUTION: The method is characterized by including the following steps: a polysilicon layer is deposited on a substrate, and a structure of masking is transferred into the polysilicon layer; a spacer comprising polysilicon is provided between a trench and an implantation region; the masking is removed, and the substrate is oxidized under heat; an SIOHTO layer is precipitated; the implantation region is implanted, to release an oxide layer; and the spacer is removed, and a remaining oxide cover and an integral oxide are removed.

Description

本発明は、シリコンカーバイドから半導体構造体を製造する方法並びに当該方法に即して製造された半導体に関する。   The present invention relates to a method of manufacturing a semiconductor structure from silicon carbide and a semiconductor manufactured in accordance with the method.

シリコンカーバイド(SiC)から半導体デバイスを製造する際、殊にトランジスタ、中でも特にMOSFET(金属酸化膜半導体電界効果トランジスタ)を製造する際に、インプラント領域の水平方向のコントロールに高い要求が課せられる。このチャネル長のコントロールは例えばMOSFETの場合には、重要である。このようなチャネル長を再現可能にするために、従来技術では種々の方法が提案され、用いられてきた。   When manufacturing semiconductor devices from silicon carbide (SiC), especially when manufacturing transistors, especially MOSFETs (metal oxide semiconductor field effect transistors), high demands are placed on the horizontal control of the implant region. This control of the channel length is important in the case of a MOSFET, for example. In order to make such a channel length reproducible, various methods have been proposed and used in the prior art.

最も効果があり、チャネル長が短い場合に有利である、確立されたテクノロジーは、いわゆる「セルフアライメント」技術(自己調整プロセス)であり、その実現は基本的に、2つの異なる技術によって行われる。いわゆるスペーサー技術(またはPurdue技術とも称される)とエッチング技術である。   The established technology, which is most effective and advantageous when the channel length is short, is the so-called “self-alignment” technique (self-alignment process), which is basically realized by two different techniques. So-called spacer technology (also called Purdue technology) and etching technology.

各技術は、1つの露光面だけを用い、露光公差の無い種々の領域の異なるインプランテーションを許可する、という点で共通している。すなわち2つの異なる露光の自己調整である。   Each technique is common in that it uses only one exposure surface and allows different implantations of various areas without exposure tolerances. That is, self-adjustment of two different exposures.

スペーサー技術は、いわゆるスペーサーの位置付けによって、はじめのインプランテーションの後に、次のインプランテーションのための領域を所期のように小さくし、インプランテーション領域のエッチング技術では、マスキング層の所期の剥離によって次のインプランテーションのための領域を拡張する。この技術は例えばWO99/07011号に記載されている。この技術ないしはこの方法の本質的な欠点は、マスキングのアンダーエッチングによって、分布幅が過度に大きく散乱してしまう、ひいてはMOSFETの特別な用途では、チャネル長も過度に大きく散乱してしまう、ということである。これは、本願の図面に明確にあらわされている。   Spacer technology is the so-called spacer positioning, and after the first implantation, the area for the next implantation is made smaller as expected.In the implantation area etching technique, the masking layer is peeled off. Expand the area for the next implantation. This technique is described, for example, in WO 99/07011. The essential disadvantage of this technique or this method is that the distribution width is scattered too much by masking under-etching, and therefore the channel length is scattered too much for special MOSFET applications. It is. This is clearly shown in the drawings of the present application.

シリコン内にマイクロ構造体を製造するために、深堀り反応性イオンエッチング(deep reactive ion etching, DRIE)が知られている。これは、ウェハ表面に対して垂直にエッチングするドライエッチングプロセスである。   In order to produce microstructures in silicon, deep reactive ion etching (DRIE) is known. This is a dry etching process that etches perpendicular to the wafer surface.

WO99/07011号WO99 / 07011

本発明の課題は、正確、均一かつ再現可能な構造化を可能にする、シリコンカーバイドから半導体構造を製造する方法を提供することである。   It is an object of the present invention to provide a method of manufacturing a semiconductor structure from silicon carbide that allows an accurate, uniform and reproducible structuring.

上記の課題は、
・ポリシリコン層を、シリコンカーバイドから成る基板上に被着するステップと、
・当該ポリシリコン層をマスキングするステップであって、当該マスクは、トレンチを形成するための少なくとも1つのウィンドウと、インプランテーション領域を形成するための少なくとも1つのウィンドウとを有しているステップと、
・DRIEドライエッチングプロセスによって前記マスキングの構造を、前記ポリシリコン層内に移すステップであって、前記トレンチとインプランテーション領域との間に、ポリシリコンから成るスペーサーを設けるステップと、
・前記マスキングを除去するステップと、
・シリコンカーバイドの酸化に必要な温度よりも低い温度で、前記積層された基板を熱酸化させるステップと、
・SIOHTO層を析出するステップと、
・インプランテーション領域をインプランテーションするステップと、
・ドライエッチングプロセスによって、前記インプランテーション領域へのポリシリコン層の移行部で前記酸化物層を開けるステップと、
・クロロトリフルオリドまたはキセノンジフルオリドを用いた、プラズマレスエッチングプロセスによって、前記スペーサーを除去するステップと、
・前記基板およびポリシリコン層上の残余酸化物被覆部並びに完全な酸化物を除去するステップとを有している、ことを特徴とする方法によって解決される。
The above issues
Depositing a polysilicon layer on a substrate made of silicon carbide;
Masking the polysilicon layer, the mask having at least one window for forming a trench and at least one window for forming an implantation region;
Transferring the masking structure into the polysilicon layer by a DRIE dry etching process, and providing a spacer made of polysilicon between the trench and the implantation region;
Removing the masking;
Thermally oxidizing the laminated substrate at a temperature lower than that required for silicon carbide oxidation;
Depositing a SIO 2 HTO layer;
A step of implanting an implantation area;
Opening the oxide layer at a transition of the polysilicon layer to the implantation region by a dry etching process;
Removing the spacer by a plasmaless etching process using chlorotrifluoride or xenon difluoride;
Removing the remaining oxide coating on the substrate and the polysilicon layer as well as the step of removing the complete oxide.

部分的にポリシリコン層が積層されている、シリコンカーバイドから成る基板の切断側面図Cut-away side view of a substrate made of silicon carbide, partially laminated with a polysilicon layer 熱による酸化の後の、基板の切断側面図Side cut view of substrate after thermal oxidation 基板のトレンチリップルの細部の切断側面図Cutaway side view of substrate trench ripple detail HTO層およびドーピングされたインプランテーション層を備えた、基板の切断断面図Cutaway view of a substrate with an HTO layer and a doped implantation layer 基板のトレンチリップルの切断詳細図Detailed view of substrate trench ripple cut 酸化物層を部分的に間引くエッチングプロセス後の基板の切断断面図Cutaway view of substrate after etching process to partially thin oxide layer CIFエッチングプロセス実施後の基板の切断断面図Sectional view of substrate after CIF 3 etching process 全ての酸化物層を除去した後の基板の切断断面図Sectional view of the substrate after removal of all oxide layers 散乱酸化物層および第2のインプランテーション面を備えた基板の切断断面図Sectional view of a substrate with a scattering oxide layer and a second implantation surface 本発明の方法によって製造された半導体構造体を備えた基板の切断断面図Sectional view of a substrate provided with a semiconductor structure manufactured by the method of the invention

本発明では、シリコンカーバイドから成る半導体構造体を製造する方法が開示される。この方法によって正確、均一かつ再現可能な構造化が可能になる。ここでインプランテーション領域は有利には、時間制御プロセスによって決まるのではなく、設計を確定することによって決まる。   In the present invention, a method of manufacturing a semiconductor structure made of silicon carbide is disclosed. This method enables accurate, uniform and reproducible structuring. Here, the implantation area is advantageously determined not by the time control process but by determining the design.

本発明ではさらにこの方法に沿って製造された半導体が提供される。   The present invention further provides a semiconductor manufactured according to this method.

特に有利には、個別トランジスタの並列接続時に均一性に非常に高い要求が課せられる場合に、本発明の方法が用いられる。垂直MOSFET素子を構成するためのこの方法は有利である。ここでは、水平方向のチャネル領域が、ソース領域に亘ったベース領域の水平方向の重畳によって規定され、これはほぼ均一に、かつウェハ毎に再現可能に製造される。   Particularly advantageously, the method of the invention is used when very high requirements are imposed on the uniformity when the individual transistors are connected in parallel. This method for constructing a vertical MOSFET device is advantageous. Here, the horizontal channel region is defined by the horizontal overlap of the base region across the source region, which is manufactured substantially uniformly and reproducibly from wafer to wafer.

シリコンカーバイドから成る半導体構造体の本発明の製造方法は、以下のステップを有している。ここでは、洗浄ステップおよび標準プロセスステップは省かれている、ないしはまとめられている。なぜなら、これらのステップは当業者によく知られているからである。
・ポリシリコン層を、シリコンカーバイド(SiC)から成る基板ないしはウェハ上に被着するステップ
・ポリシリコン層をマスキングするステップ。ここでこのマスクは溝(トレンチ)を形成するための少なくとも1つのウィンドウと、インプランテーション領域を形成するための少なくとも1つのウィンドウとを有している。
・DRIEドライエッチングプロセス(深掘り反応性イオンエッチング)によってマスキングの構造体をポリシリコン層内に移し、これによってトレンチとインプランテーション領域との間に、規定の分断領域(スペーサー)を生じさせるステップ。このスペーサーは、ドライエッチングプロセスによって生じ、インプランテーション領域の方を向いているトレンチリップル、すなわち特徴的な波形状の壁部構造を有している。インプランテーション領域は表面的には僅かにエッチングされ得る。なぜならこのプロセスは、シリコンからシリコンカーバイド(SiC)への高い選択性を有しているからである。しかしこのエッチングによって形成された段はナノメートルの領域にある。
・マスキングを除去する、および場合によっては基板を洗浄するステップ
・SiCの酸化に必要な温度よりも低い温度で、積層された基板を熱酸化するステップ。有利にはこの温度は、酸素内で900℃から1000℃の範囲にある。ここでトレンチは封鎖され、構造化されたポリシリコン層が酸化物層によって覆われる。このステップで重要なのは、その他の場合には酸化は均一であるが、ポリシリコン層からトレンチリップルへの移行部のエッジでは、均一性が不十分な酸化物層が形成される、ということである。これに対して、封鎖されたトレンチは機能しない。なぜなら、これは完全に充填され、覆われているからである。シリコンカーバイドから成るインプランテーション領域は、積層されないままである。
・ポリシリコン層上の酸化物を強化するため、およびインプランテーションのための散乱酸化物として、SiO2から成るHTO層(高温酸化物)を析出するステップ。有利にはこの析出は、LPCVDプロセス(低圧化学気相蒸着)によって行われるが、別のCVD技術も使用可能である。これは例えばPECVD(プラズマ促進化学気相蒸着)、SACVD(準常圧化学気相蒸着)等である。HTO層の利点は、良好な侵入性である。これによってエッジで過度に厚さが増すことが回避され、トレンチの角が同様に覆われる。トレンチリップルの幾何学的形状も、この層によって覆われる。しかし平坦な層と同じ程度ではない。
・所望のドーピングおよび必要なドーパントプロファイルに応じて、インプランテーション領域をインプランテーションするステップ。これによって、残りの基板とは異なり、インプランテーション領域内に、所望の新しい特性が生じる。
・トレンチリップルへのポリシリコン層の移行部のエッジで、ドライエッチングプロセスによって、有利にはDRIEプロセスによって、酸化物層を開けるステップ。平坦な面では均一なエッチングレートが得られるが、エッジでは高いエッチングレートが生じる。このため、および上述したエッジでの薄い酸化物厚さのために、エッチングによって、早く薄化し、酸化物内ですき間が形成される。このプロセスは、スペーサーまでの通路が形成されると停止される。択一的に、トレンチリップルの脚部に割れ目を形成することによって、スペーサーへの通路を形成するプロセスを入れることもできる。別の領域では、シリコンを保護するための十分な厚さの酸化物層が残る。
・クロロトリフルオリドまたはキセノンジフルオリドを用いた、プラズマレスエッチングプロセスによってスペーサーを除去するステップ。このエッチングは、事前に形成された、スペーサーへの通路を介して行われ、スペーサーのポリシリコンを完全に除去する。エッチング作用は、酸化物によって完全に保護されているトレンチおよび、完全に平らで、酸化物によって保護されているポリシリコン面では行われないので、選択的にスペーサーだけが除去される。不完全にのみ開放されており、周囲がぐるりと開放されていないトレンチリップルも、問題にはならない。なぜなら、CIF−エッチングガスの毛細管作用によって、広いアンダーエッチング幅が実現可能だからである。酸化物被覆部の崩壊も問題では無い。有利には、エッチングガスに曝されている、基板の表面も、粗面性および格子欠陥に関して、ポリシリコンスペーサーの除去と同時に改善される。犠牲層(Si)およびパッシべーション部(SiO2)に対する、有利に使用されているエッチングガス(CIF)の高い選択性によって、エッチングストップが得られるのは有利である。これは、大きいプロセス許容公差を許し、同時に設計において決まる、狭い許容公差を可能にする。
・残余酸化物被覆部並びに完全な酸化物を除去するステップ。これは有利には、フッ酸を含有したエッチング溶剤による等方性のウエットエッチングによって行われる。完全な酸化物を除去した後、次のインプランテーション面を制限する、事前に、酸化物によって保護されたトレンチのエッジが得られる。
・次のインプランテーション面をインプランテーションするステップ。
The manufacturing method of the present invention for a semiconductor structure made of silicon carbide includes the following steps. Here, the cleaning steps and the standard process steps are omitted or summarized. This is because these steps are well known to those skilled in the art.
Depositing a polysilicon layer on a substrate or wafer made of silicon carbide (SiC); masking the polysilicon layer. Here, the mask has at least one window for forming a groove (trench) and at least one window for forming an implantation region.
Transferring the masking structure into the polysilicon layer by a DRIE dry etching process (deep reactive ion etching), thereby creating a defined dividing region (spacer) between the trench and the implantation region; This spacer has a trench ripple, i.e. a characteristic corrugated wall structure, produced by a dry etching process and pointing towards the implantation region. The implantation region can be slightly etched on the surface. This is because this process has a high selectivity from silicon to silicon carbide (SiC). However, the step formed by this etching is in the nanometer range.
Removing the masking and optionally cleaning the substrate; thermally oxidizing the laminated substrate at a temperature lower than that required for SiC oxidation. This temperature is preferably in the range of 900 ° C. to 1000 ° C. in oxygen. Here, the trench is sealed and the structured polysilicon layer is covered by an oxide layer. The key to this step is that in other cases the oxidation is uniform, but at the transition edge from the polysilicon layer to the trench ripple, an inhomogeneous oxide layer is formed. . On the other hand, a sealed trench does not function. This is because it is completely filled and covered. The implantation area consisting of silicon carbide remains unstacked.
Depositing an HTO layer (high temperature oxide) of SiO2 to strengthen the oxide on the polysilicon layer and as a scattering oxide for implantation. This deposition is preferably performed by an LPCVD process (low pressure chemical vapor deposition), although other CVD techniques can also be used. This is, for example, PECVD (plasma enhanced chemical vapor deposition), SACVD (quasi-atmospheric chemical vapor deposition) or the like. The advantage of the HTO layer is good penetration. This avoids excessive thickness at the edges and covers the corners of the trench as well. The trench ripple geometry is also covered by this layer. But not as much as a flat layer.
Implanting the implantation region according to the desired doping and the required dopant profile. This creates the desired new characteristics in the implantation region, unlike the remaining substrates.
Opening the oxide layer at the edge of the transition of the polysilicon layer to the trench ripple by a dry etching process, preferably by a DRIE process. A flat etching surface can provide a uniform etching rate, but an edge has a high etching rate. For this reason, and because of the thin oxide thickness at the edges described above, the etching thins quickly and gaps are formed in the oxide. This process is stopped when a passage to the spacer is formed. Alternatively, a process of forming a passage to the spacer can be included by forming a split in the leg of the trench ripple. In other areas, an oxide layer remains thick enough to protect the silicon.
Removing the spacers by a plasmaless etching process using chlorotrifluoride or xenon difluoride. This etching is performed through a pre-formed passage to the spacer to completely remove the spacer polysilicon. The etching action is not performed on trenches that are completely protected by oxide and on polysilicon surfaces that are completely flat and protected by oxide, so that only the spacers are selectively removed. Trench ripples that are only partially open and not open to the surroundings are not a problem. This is because a wide under-etching width can be realized by the capillary action of CIF 3 -etching gas. The collapse of the oxide coating is not a problem. Advantageously, the surface of the substrate exposed to the etching gas is also improved simultaneously with the removal of the polysilicon spacer with respect to roughness and lattice defects. It is advantageous to obtain an etching stop due to the high selectivity of the etching gas (CIF 3 ) that is advantageously used for the sacrificial layer (Si) and the passivation part (SiO 2). This allows for large process tolerances and at the same time allows for narrow tolerances that are determined in the design.
Removing the remaining oxide coating as well as complete oxide. This is advantageously done by isotropic wet etching with an etching solvent containing hydrofluoric acid. After removing the complete oxide, a pre-oxide protected trench edge is obtained that limits the next implantation plane.
-Implanting the next implantation surface.

上述した本発明の一連のステップによって、1つのマスク面によって、2つのインプランテーション領域を自己調整する方法が得られる。   By the series of steps of the present invention described above, a method for self-adjusting two implantation regions with one mask plane is obtained.

既知のテクノロジーに対する本発明の方法の利点は、ストップ層(酸化物被覆部)によるプロセスを使用することによって、時間制御されるエッチングプロセスを省くことができること、およびFET素子を使用する場合に、非常に高い精度で、長いチャネル長も短いチャネル長も実現可能であることである。有利には極めて短いチャネル長も実現される。ここでこれは、マスキング時の解像度限界によって決まる。   The advantages of the method of the present invention over the known technology are that the use of a process with a stop layer (oxide coating) can eliminate the time-controlled etching process, and is very advantageous when using FET devices. It is possible to realize a long channel length and a short channel length with high accuracy. Advantageously, very short channel lengths are also realized. Here, this is determined by the resolution limit at the time of masking.

有利な実施形態では、これは、コントロールされたドライ酸化プロセスによって、サブマイクロメーター領域まで再調整される。従って、本発明の半導体では公差は非常に小さくなる。なぜなら、場合によって生じる設備による公差変動を、必要な時には後から調整することができるからである。   In an advantageous embodiment, this is readjusted to the submicrometer range by a controlled dry oxidation process. Therefore, the tolerance is very small in the semiconductor of the present invention. This is because the tolerance fluctuation caused by the equipment can be adjusted later when necessary.

本発明の有利な発展形態は従属請求項および明細書に記載されている。   Advantageous developments of the invention are described in the dependent claims and the description.

本発明の実施例を図面および以下の明細書に基づいてより詳細に説明する。   Embodiments of the present invention will be described in more detail with reference to the drawings and the following specification.

図1には、シリコンカーバイド(SiC)から成る基板10が示されている。この基板によって例えば、500nmのチャネル長を備えたMOSFETが実現される。基板10には、構造化されたポリシリコン層11が設けられている。このポリシリコン層11は、所定の、トレンチ12によって分けられた領域を有している。ここでこの領域は、スペーサー13として示されている。このスペーサー13は、基板10のインプランテーション領域14と隣接している。ここでこのインプランテーション領域は、積層されていない。DRIEトレンチプロセス(深堀り反応性イオンエッチング)によるプロセス実施によって、インプランテーション領域14の方を向いている、スペーサー13のエッジに、トレンチリップル15が生じる。このスペーサーは、層厚およびプロセスに応じて、複数のトレンチリップルを有することもある。インプランテーション領域14の表面は僅かにエッチングされ得る。なぜなら、DRIEトレンチプロセスは、シリコンからシリコンカーバイド(SiC)への高い選択性を有しているからである。エッチングによって形成された段は、ナノメートル領域にある。500nmのチャネル長を備えたMOSFETを実現する場合の寸法例を、図面ないしは以降の説明に記す。500nmとは異なるポリシリコン層の厚さを選択し、異なるマスク寸法(レイアウトによって規定されている)を選択する場合には、より短いないしはより長いチャネル長を実現することもできる。このような構造によって、100nmの幅17を備えたトレンチ12が生じる。このトレンチは、300nmの幅18を備えたスペーサー13によって、インプランテーション領域14と離されている。トレンチ12に対するアスペクト比は約AR=5であるが(500/100)、これを、ポリシリコン層11の厚さ16およびトレンチ12の幅17によって、タスクに応じて変えることができる。   FIG. 1 shows a substrate 10 made of silicon carbide (SiC). For example, a MOSFET having a channel length of 500 nm is realized by this substrate. The substrate 10 is provided with a structured polysilicon layer 11. The polysilicon layer 11 has a predetermined region divided by the trench 12. Here this region is shown as spacer 13. The spacer 13 is adjacent to the implantation region 14 of the substrate 10. Here, the implantation region is not stacked. By performing the process by the DRIE trench process (deep reactive ion etching), a trench ripple 15 is generated at the edge of the spacer 13 facing the implantation region 14. The spacer may have multiple trench ripples depending on the layer thickness and process. The surface of the implantation region 14 can be slightly etched. This is because the DRIE trench process has a high selectivity from silicon to silicon carbide (SiC). The step formed by etching is in the nanometer region. Examples of dimensions for realizing a MOSFET having a channel length of 500 nm will be described in the drawings or the following description. If a polysilicon layer thickness different from 500 nm is selected and different mask dimensions (as defined by the layout) are selected, shorter or longer channel lengths can be realized. Such a structure results in a trench 12 with a width 17 of 100 nm. This trench is separated from the implantation region 14 by a spacer 13 having a width 18 of 300 nm. The aspect ratio for the trench 12 is about AR = 5 (500/100), but this can be varied depending on the task, depending on the thickness 16 of the polysilicon layer 11 and the width 17 of the trench 12.

図2は、例えば酸素内で900℃〜1000℃での、熱酸化後の状態を示している。この際に、酸化物層19がポリシリコン層11上に成長する。ここでこのプロセスの持続時間は、トレンチ12が完全に封鎖されるように選択される。選択された寸法では、酸化物層19の成長厚dは50nmよりも大きくなる。44%のシリコン消費時に、トレンチエッジ20は、22nmだけ、トレンチ12のスペーサー13に反している側へとシフトする。プロセスによって生じる、酸化は、ポリシリコン層11からトレンチリップル15への移行部のエッジ21では、不十分にしか均一でない(図3を参照)。これに対して、封鎖されたトレンチ12によって、別の酸化物の後続の水平方向の析出のためのベースが形成される。これによって、この場所に存在するが、詳細には示されていないトレンチリップルが封鎖され、機能しなくなる。なぜならこれらのトレンチリップルは完全に埋められ、覆われるからである。   FIG. 2 shows a state after thermal oxidation at, for example, 900 ° C. to 1000 ° C. in oxygen. At this time, an oxide layer 19 grows on the polysilicon layer 11. Here, the duration of this process is selected such that the trench 12 is completely sealed. For the selected dimension, the growth thickness d of the oxide layer 19 is greater than 50 nm. At 44% silicon consumption, the trench edge 20 shifts by 22 nm to the side of the trench 12 opposite the spacer 13. The oxidation caused by the process is only poorly uniform at the transition edge 21 from the polysilicon layer 11 to the trench ripple 15 (see FIG. 3). In contrast, the sealed trench 12 forms the base for subsequent horizontal deposition of another oxide. This blocks trench ripples that are present at this location but not shown in detail and will fail. This is because these trench ripples are completely filled and covered.

このような後続の析出は図4に示されている。LPCVDプロセスによって、薄いHTO層22が析出される。基本的に、別のプロセス(例えばPECVC、SACVD)も、HTO層22の析出に適している。HTO層22は、良好な侵入性(Spaltgaengigkeit)を有している。これによって、エッジで厚みが過度に増すことがなくなり、トレンチ12の角が同じように覆われる。トレンチリップル15もHTO層22によって覆われる。しかし、ポリシリコン層11上の平らな、平坦酸化物層19と同じ程度ではない。HTO層22は、インプランテーション領域14も覆い、後続のインプランテーションのための散乱酸化物として用いられる。本願に記載された用途では、所望のチャネル長に合わせて、HTO層22に対して、50〜100nmの層厚を基としている。しかし必要に応じて、より薄いまたは格段に厚い酸化物が析出されてもよい。同じように、図4は、インプランテーション領域14が、所望のドーピングで、かつ必要なドーパントプロファイルでインプランテーションされていることを示している。これによって、インプランテーション領域14は、基板10とは異なり、インプランテーション領域14のこの領域23において、所望の新たな特性を有する。トレンチリップル15は、後続のステップの成否に対して重要な影響を有しており、図5に拡大して示されている。DRIEトレンチプロセスは、トポロジーの無い平坦な表面では実質的に均一なエッチングレートを有しているが、エッジではエッチングレートが高くなる。このような事情、および先行するプロセス実施によって、ポリシリコン層11からトレンチリップル15への移行部でのエッジ21で、析出される酸化物層19が薄くなるという事情(ファクタfは約0.75〜0.5)によって、図6に示されているように、エッチングプロセス時に、エッジ21で酸化物層19がより早く薄くなり、酸化物層19内ですき間24が形成される。すき間24の形の通路がCIFエッチングプロセスのために形成されると、このプロセスは停止する。そうでない場合には、酸化物層19は無傷のままである。 Such subsequent deposition is illustrated in FIG. A thin HTO layer 22 is deposited by the LPCVD process. In principle, other processes (eg PECVC, SACVD) are also suitable for the deposition of the HTO layer 22. The HTO layer 22 has good penetration (Spaltgaengigkeit). As a result, the thickness does not increase excessively at the edge, and the corners of the trench 12 are similarly covered. The trench ripple 15 is also covered by the HTO layer 22. However, it is not to the same extent as the flat, flat oxide layer 19 on the polysilicon layer 11. The HTO layer 22 also covers the implantation region 14 and is used as a scattering oxide for subsequent implantation. In the applications described in the present application, the HTO layer 22 is based on a layer thickness of 50 to 100 nm in accordance with the desired channel length. However, thinner or markedly thicker oxides may be deposited if desired. Similarly, FIG. 4 shows that the implantation region 14 is implanted with the desired doping and with the required dopant profile. Accordingly, the implantation region 14 has a desired new characteristic in this region 23 of the implantation region 14, unlike the substrate 10. The trench ripple 15 has an important influence on the success or failure of the subsequent steps, and is shown enlarged in FIG. The DRIE trench process has a substantially uniform etch rate on a flat surface without topology, but has a higher etch rate at the edge. Under such circumstances and the preceding process implementation, the oxide layer 19 to be deposited becomes thin at the edge 21 at the transition from the polysilicon layer 11 to the trench ripple 15 (factor f is about 0.75). ˜0.5), as shown in FIG. 6, during the etching process, the oxide layer 19 becomes thinner faster at the edge 21, and a gap 24 is formed in the oxide layer 19. When a passage in the form of a gap 24 is formed for the CIF 3 etch process, the process stops. Otherwise, the oxide layer 19 remains intact.

図7は、プラズマレスCIFエッチングプロセスを実施した後の状態を示している。ここではすき間24を通じてスペーサー13が除去されている。スペーサーの代わりに空洞25が生じている。酸化物層19の残りは、図8に示されているように、除去される。これは有利には、フッ酸含有エッチング溶剤による等方性のウエットエッチングによって行われる。これによって、もはや存在していないトレンチ12のトレンチエッジ26が露出する。これは第2のインプランテーション面27のインプランテーションエッジ28を設定する。インプランテーションエッジ28は、トレンチ12によって形成され、22nmだけずらされている第1のエッジに対向している。従ってこの実施例では、500nmのオフセットが生じる。具体的な部品では、これが、FETのチャネル長になるであろう。 FIG. 7 shows the state after performing the plasmaless CIF 3 etching process. Here, the spacer 13 is removed through the gap 24. A cavity 25 is formed instead of the spacer. The remainder of the oxide layer 19 is removed as shown in FIG. This is advantageously done by isotropic wet etching with a hydrofluoric acid-containing etching solvent. This exposes the trench edge 26 of the trench 12 that no longer exists. This sets the implantation edge 28 of the second implantation surface 27. Implant edge 28 is opposed to a first edge formed by trench 12 and offset by 22 nm. Therefore, in this embodiment, an offset of 500 nm occurs. For a specific component, this will be the channel length of the FET.

図9では、後続のインプランテーションのために、散乱酸化物層29が析出されている。これによって、インプランテーションエッジ28は、散乱酸化物層29の厚さぶんだけシフトする。択一的にこれは、レジストマスクによっても行われる、または、全く行われなくてもよい。インプランテーションの後、第2のインプランテーション面27の下方に、所望の特性を備えた、修正されたSiC層30が生じる。   In FIG. 9, a scattering oxide layer 29 has been deposited for subsequent implantation. As a result, the implantation edge 28 is shifted by the thickness of the scattering oxide layer 29. Alternatively, this may be done with a resist mask or not at all. After the implantation, a modified SiC layer 30 with the desired properties is produced below the second implantation surface 27.

図10では、散乱酸化物層29も、ポリシリコン層11も、等方性のウエットケミカルプロセスによって除去されている。択一的にこれがドライエッチングプロセスによって行われてもよい。ドライエッチングプロセスは基板10の表面をさらに修正する。   In FIG. 10, both the scattering oxide layer 29 and the polysilicon layer 11 have been removed by an isotropic wet chemical process. Alternatively, this may be done by a dry etching process. The dry etching process further modifies the surface of the substrate 10.

Claims (7)

シリコンカーバイドから半導体構造体を製造する方法であって、
当該方法は、
・ポリシリコン層(11)を、シリコンカーバイドから成る基板(10)上に被着するステップと、
・当該ポリシリコン層(11)をマスキングするステップであって、当該マスクは、トレンチ(12)を形成するための少なくとも1つのウィンドウと、インプランテーション領域(14)を形成するための少なくとも1つのウィンドウとを有しているステップと、
・DRIEドライエッチングプロセスによって前記マスキングの構造を、前記ポリシリコン層(11)内に移すステップであって、前記トレンチ(12)とインプランテーション領域(14)との間に、ポリシリコンから成るスペーサー(13)を設けるステップと、
・前記マスキングを除去するステップと、
・シリコンカーバイドの酸化に必要な温度よりも低い温度で、前記積層された基板(10)を熱酸化させるステップと、
・SIOHTO層(22)を析出するステップと、
・インプランテーション領域(14)をインプランテーションするステップと、
・ドライエッチングプロセスによって、前記インプランテーション領域(14)へのポリシリコン層(11)の移行部で前記酸化物層(19)を開けるステップと、
・クロロトリフルオリドまたはキセノンジフルオリドを用いた、プラズマレスエッチングプロセスによって、前記スペーサー(13)を除去するステップと、
・前記基板およびポリシリコン層(11)上の残余酸化物被覆部並びに完全な酸化物を除去するステップ
とを有している、
ことを特徴とする方法。
A method of manufacturing a semiconductor structure from silicon carbide comprising:
The method is
Depositing a polysilicon layer (11) on a substrate (10) made of silicon carbide;
Masking said polysilicon layer (11), said mask comprising at least one window for forming trench (12) and at least one window for forming implantation region (14) And a step having
A step of transferring the masking structure into the polysilicon layer (11) by a DRIE dry etching process, wherein a spacer made of polysilicon (between the trench (12) and the implantation region (14)) 13) providing,
Removing the masking;
Thermally oxidizing the laminated substrate (10) at a temperature lower than that required for the oxidation of silicon carbide;
Depositing the SIO 2 HTO layer (22);
-Implanting the implantation area (14);
Opening the oxide layer (19) at the transition of the polysilicon layer (11) to the implantation region (14) by a dry etching process;
Removing the spacer (13) by a plasmaless etching process using chlorotrifluoride or xenon difluoride;
Removing residual oxide coating on the substrate and polysilicon layer (11) as well as complete oxide;
A method characterized by that.
前記残余酸化物被覆部並びに完全な酸化物を除去した後に、第2のインプランテーション面(27)をインプランテーションする、請求項1記載の方法。   The method according to claim 1, wherein the second implantation surface (27) is implanted after removing the residual oxide coating and the complete oxide. 前記残余酸化物被覆部並びに完全な酸化物を除去した後、かつ、前記第2のインプランテーション面(27)のインプランテーションの前に、当該第2のインプランテーション面を再調整する、請求項1または2記載の方法。   The second implantation surface is readjusted after removing the residual oxide coating and the complete oxide and before implantation of the second implantation surface (27). Or the method of 2. 前記積層されている基板(10)の前記熱酸化を、900℃〜1000℃の範囲の温度で行う、請求項1から3までのいずれか1項記載の方法。   The method according to any one of claims 1 to 3, wherein the thermal oxidation of the laminated substrate (10) is performed at a temperature in the range of 900C to 1000C. SiOHTO層(22)の析出をLPCVDプロセスによって行う、請求項1から4までのいずれか1項記載の方法。 The method according to claim 1, wherein the deposition of the SiO 2 HTO layer is performed by an LPCVD process. 前記ポリシリコン層からトレンチリップル(12)への移行部のエッジ(21)での前記酸化物層(19)の開放を、DRIEプロセスによって行う、請求項1から5までのいずれか1項記載の方法。   The opening of the oxide layer (19) at the transition edge (21) from the polysilicon layer to the trench ripple (12) is performed by a DRIE process. Method. 請求項1から6までのいずれか1項記載の方法に従って製造されたシリコンカーバイド半導体。   A silicon carbide semiconductor manufactured according to the method according to claim 1.
JP2011267241A 2010-12-06 2011-12-06 Method for manufacturing a semiconductor structure from silicon carbide and silicon carbide semiconductor Active JP6032887B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102010062448.9 2010-12-06
DE102010062448.9A DE102010062448B4 (en) 2010-12-06 2010-12-06 Process for the production of semiconductor structures from silicon carbide and silicon carbide semiconductors

Publications (2)

Publication Number Publication Date
JP2012124489A true JP2012124489A (en) 2012-06-28
JP6032887B2 JP6032887B2 (en) 2016-11-30

Family

ID=45955520

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011267241A Active JP6032887B2 (en) 2010-12-06 2011-12-06 Method for manufacturing a semiconductor structure from silicon carbide and silicon carbide semiconductor

Country Status (3)

Country Link
JP (1) JP6032887B2 (en)
DE (1) DE102010062448B4 (en)
IT (1) ITMI20112187A1 (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62265765A (en) * 1986-05-13 1987-11-18 Citizen Watch Co Ltd Semiconductor device and manufacture thereof
JPH0766410A (en) * 1993-08-30 1995-03-10 Toshiba Corp Manufacture of semiconductor device
JP2006135340A (en) * 1994-09-13 2006-05-25 Toshiba Corp Semiconductor device
JP2006269924A (en) * 2005-03-25 2006-10-05 Fuji Electric Holdings Co Ltd Silicon carbide semiconductor device and its manufacturing method
JP2007141940A (en) * 2005-11-15 2007-06-07 Nissan Motor Co Ltd Method of manufacturing composite impurity structure, semiconductor device, mosfet transistor, and insulated gate bipolar transistor
JP2009088440A (en) * 2007-10-03 2009-04-23 Oki Semiconductor Co Ltd Semiconductor device and its manufacturing method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19832329A1 (en) 1997-07-31 1999-02-04 Siemens Ag Silicon carbide semiconductor structure manufacturing method
US6552363B2 (en) 2001-09-18 2003-04-22 International Rectifier Corporation Polysilicon FET built on silicon carbide diode substrate
US7074643B2 (en) 2003-04-24 2006-07-11 Cree, Inc. Silicon carbide power devices with self-aligned source and well regions and methods of fabricating same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62265765A (en) * 1986-05-13 1987-11-18 Citizen Watch Co Ltd Semiconductor device and manufacture thereof
JPH0766410A (en) * 1993-08-30 1995-03-10 Toshiba Corp Manufacture of semiconductor device
JP2006135340A (en) * 1994-09-13 2006-05-25 Toshiba Corp Semiconductor device
JP2006269924A (en) * 2005-03-25 2006-10-05 Fuji Electric Holdings Co Ltd Silicon carbide semiconductor device and its manufacturing method
JP2007141940A (en) * 2005-11-15 2007-06-07 Nissan Motor Co Ltd Method of manufacturing composite impurity structure, semiconductor device, mosfet transistor, and insulated gate bipolar transistor
JP2009088440A (en) * 2007-10-03 2009-04-23 Oki Semiconductor Co Ltd Semiconductor device and its manufacturing method

Also Published As

Publication number Publication date
ITMI20112187A1 (en) 2012-06-07
JP6032887B2 (en) 2016-11-30
DE102010062448A1 (en) 2012-06-06
DE102010062448B4 (en) 2022-11-03

Similar Documents

Publication Publication Date Title
KR101208781B1 (en) Isolated tri-gate transistor fabricated on bulk substrate
KR100763538B1 (en) Method of forming mask pattern and method of forming fine pattern using the same in a semiconductor device fabricating
JPS62105426A (en) Formation of mask structure of the extent of sub-microns
JP2004111970A (en) Method for forming sublithographic opening in semiconductor process
KR960702677A (en) Planarized trench and field oxide separation method
CN109151690B (en) Method for manufacturing microphone
CN101577252B (en) Shallow trench isolation structure and method for forming same
US7015567B2 (en) Method for fabricating a semiconductor structure using a protective layer, and semiconductor structure
TWI358750B (en) Mask structure for manufacture of trench type semi
CN105914178B (en) The production method of fleet plough groove isolation structure
JP6032887B2 (en) Method for manufacturing a semiconductor structure from silicon carbide and silicon carbide semiconductor
TWI452625B (en) Manufacturing method of semiconductor device
CN105826364B (en) Transistor and forming method thereof
CN103021850B (en) Semiconductor structure and manufacturing method thereof
CN102468239A (en) Method for manufacturing semiconductor device
CN106128994B (en) Trench etch process method
JP2007234740A (en) Manufacturing method of semiconductor device
US6352908B1 (en) Method for reducing nitride residue in a LOCOS isolation area
CN108172513A (en) It is etched using with the room of top plate formed by not oxygen-containing material
WO2022062373A1 (en) Preparation method for semiconductor structure, and semiconductor structure
JP2005197474A (en) Method for manufacturing semiconductor device
KR100364810B1 (en) Method for fabricating of semiconductor device
TW508727B (en) Method to form shallow trench isolation structure
JP2005159119A (en) Semiconductor device and method for manufacturing the semiconductor device
CN102130056A (en) Method for producing complementary metal oxide semiconductor structure

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160926

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161025

R150 Certificate of patent or registration of utility model

Ref document number: 6032887

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250