JP2011009556A - Method of manufacturing semiconductor device, and semiconductor device - Google Patents

Method of manufacturing semiconductor device, and semiconductor device Download PDF

Info

Publication number
JP2011009556A
JP2011009556A JP2009152677A JP2009152677A JP2011009556A JP 2011009556 A JP2011009556 A JP 2011009556A JP 2009152677 A JP2009152677 A JP 2009152677A JP 2009152677 A JP2009152677 A JP 2009152677A JP 2011009556 A JP2011009556 A JP 2011009556A
Authority
JP
Japan
Prior art keywords
film
wiring
insulating film
etching
via hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009152677A
Other languages
Japanese (ja)
Inventor
Ippei Kume
一平 久米
Jun Kawahara
潤 川原
Yoshihiro Hayashi
喜宏 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2009152677A priority Critical patent/JP2011009556A/en
Publication of JP2011009556A publication Critical patent/JP2011009556A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To sufficiently suppress dispersion of a composition on a surface of lower-layer Cu wiring by sufficiently obtaining processing controllability of a via hole regardless of an opening size of the via hole when a SiOCH film is used as a wiring insulation film.SOLUTION: A laminate structure 20 formed on lower-layer Cu wiring 3 includes a cap insulation film 4 containing silicon and carbon, and a SiOCH film as a wiring insulation film 5 formed on the cap insulation film 4. A process of forming via holes 8, 9 on the laminate structure 20 is executed by combining first and second dry etching. In the first dry etching, a first mixed gas is used in which Oconcentration is set so that an etching rate of the via hole 9 having a small opening size is set larger than that of the via hole 8 having a large opening size. In the second etching, a second mixed gas is used in which Oconcentration is set so that the etching rate of the via hole 8 is set larger than that of the via hole 9.

Description

本発明は、半導体装置の製造方法及び半導体装置に関する。   The present invention relates to a semiconductor device manufacturing method and a semiconductor device.

半導体デバイスの微細化と集積化の進展にともない、シリコン基板に形成されたトランジスタの上層に形成される多層配線の微細化が急速に進展している。この多層配線は、水平方向にトランジスタ素子を接続する複数層のトレンチ配線と、これらトレンチ配線を垂直方向に接続するビアと、を有する。65nmノード以降は、配線材料として銅(Cu)を用い、また配線絶縁膜材料として低誘電率のシリコン(Si)、炭素(C)及び酸素(O)を主成分とするSiOCH膜を用いることが一般的となっている。銅による配線パターンを形成する方法としては、トレンチ配線とビアとを同時に作りこむデュアルダマシン加工法が最も普及している。   With the progress of miniaturization and integration of semiconductor devices, the miniaturization of multilayer wiring formed on the upper layer of a transistor formed on a silicon substrate is rapidly progressing. This multilayer wiring has a plurality of layers of trench wirings connecting transistor elements in the horizontal direction and vias connecting these trench wirings in the vertical direction. After the 65 nm node, copper (Cu) is used as a wiring material, and a SiOCH film mainly composed of silicon (Si), carbon (C) and oxygen (O) having a low dielectric constant is used as a wiring insulating film material. It has become common. As a method for forming a copper wiring pattern, a dual damascene processing method in which a trench wiring and a via are simultaneously formed is most popular.

デュアルダマシン加工法のうち、ビアホールから先に形成するビアファーストデュアルダマシン加工法では、銅配線上のキャップ絶縁膜でエッチングをストップさせ、そのまま一定時間エッチングプロセスを継続する、いわゆるオーバーエッチングを行う。これにより、配線絶縁膜の膜厚の面内バラツキや、エッチングレートの面内バラツキ等に依らず、各ビアホールをキャップ絶縁膜に達する深さに形成する。   Among the dual damascene processing methods, in the via first dual damascene processing method formed first from the via hole, etching is stopped at the cap insulating film on the copper wiring, and so-called over-etching is performed in which the etching process is continued for a certain time. Thus, each via hole is formed to a depth reaching the cap insulating film regardless of the in-plane variation in the film thickness of the wiring insulating film, the in-plane variation in the etching rate, or the like.

一般に、キャップ絶縁膜としては、シリコン(Si)及び炭素(C)を主成分とするシリコン炭化膜(SiC)が使われているが、窒素を添加したシリコン炭窒化膜SiCNや酸素を添加したシリカカーボン複合膜(SCC:Silica−carbon−composite)も用いられている。   In general, a silicon carbide film (SiC) mainly composed of silicon (Si) and carbon (C) is used as a cap insulating film, but a silicon carbonitride film SiCN added with nitrogen or a silica added with oxygen. A carbon composite film (SCC: Silica-carbon-composite) is also used.

このようなキャップ絶縁膜により下層Cu配線を保護した後で、該下層Cu配線の上層にビアホールを形成することにより、ビアに接続される下層Cu配線層の酸化などの表面変質の度合いのバラツキに起因する接続抵抗のバラツキや信頼性劣化を抑制している。   After protecting the lower layer Cu wiring with such a cap insulating film, by forming a via hole in the upper layer of the lower layer Cu wiring, variation in the degree of surface alteration such as oxidation of the lower layer Cu wiring layer connected to the via can be achieved. This suppresses variations in connection resistance and deterioration of reliability.

そのため、キャップ絶縁膜のエッチングストップ性は非常に重要であり、キャップ絶縁膜に十分な膜厚を持たせるとともに、キャップ絶縁膜に配線絶縁膜とのエッチング選択比を十分に持たせることがこれまで一般的であった。   Therefore, the etching stop property of the cap insulating film is very important. Until now, the cap insulating film should have a sufficient thickness, and the cap insulating film should have a sufficient etching selectivity with the wiring insulating film. It was general.

近年、LSI(Large Scale Integration)の微細化に対応し、配線絶縁膜として比誘電率が3.0以下の単一のSiOCH膜が用いられるようになってきた。しかし、ビア配線形成工程において、配線絶縁膜中のC濃度が大きい、すなわち配線絶縁膜中のCとSiとの濃度比(C/Si)が大きい炭素リッチSiOCH膜を用いた場合、エッチングストッパであるキャップ絶縁膜と配線絶縁膜であるSiOCH膜との組成の差が小さくなり、キャップ絶縁膜と配線絶縁膜でのエッチングの選択性が小さくなってしまうことが顕在化してきている。   In recent years, in response to the miniaturization of LSI (Large Scale Integration), a single SiOCH film having a relative dielectric constant of 3.0 or less has been used as a wiring insulating film. However, in the via wiring formation process, when a carbon-rich SiOCH film having a high C concentration in the wiring insulating film, that is, a high concentration ratio (C / Si) between C and Si in the wiring insulating film, is used as an etching stopper. It has become apparent that the difference in composition between a certain cap insulating film and a SiOCH film as a wiring insulating film is reduced, and the etching selectivity between the cap insulating film and the wiring insulating film is reduced.

図8は配線絶縁膜(SiOCH膜)とキャップ絶縁膜との炭素濃度比(横軸)と、キャップ絶縁膜と配線絶縁膜とのエッチング選択比(縦軸)と、の関係を示す図である。図8に示すように、配線絶縁膜(SiOCH膜)の炭素濃度がキャップ絶縁膜の炭素濃度に対して相対的に高まるほど(図8における左側に向かうほど)、キャップ絶縁膜に対する配線絶縁膜のエッチング選択比は低下する。図8に示すように、配線絶縁膜として、配線絶縁膜中のCとSiとの濃度比(C/Si)がキャップ絶縁膜中のCとSiとの濃度比(C/Si)の1/2よりも大きい炭素リッチSiOCH膜を用いた場合においては(図8中の(C/Si)キャップ絶縁膜/(C/Si)SiOCH膜が2未満の範囲においては)、キャップ絶縁膜に対する配線絶縁膜のエッチング選択比の低下が顕著となる(例えば、10以下となる)。 FIG. 8 is a diagram showing the relationship between the carbon concentration ratio (horizontal axis) between the wiring insulating film (SiOCH film) and the cap insulating film and the etching selectivity (vertical axis) between the cap insulating film and the wiring insulating film. . As shown in FIG. 8, the higher the carbon concentration of the wiring insulating film (SiOCH film) is relative to the carbon concentration of the cap insulating film (as it goes to the left in FIG. 8), The etching selectivity is lowered. As shown in FIG. 8, as the wiring insulating film, the concentration ratio (C / Si) between C and Si in the wiring insulating film is 1 / C of the concentration ratio (C / Si) between C and Si in the cap insulating film. When a carbon-rich SiOCH film larger than 2 is used (in the range of (C / Si) cap insulating film / (C / Si) SiOCH film in FIG. 8 being less than 2), wiring insulation with respect to the cap insulating film is performed. The decrease in the etching selectivity of the film becomes significant (for example, 10 or less).

このような選択比の低下により、ビアホールの加工制御性が悪化する。このため、ビアホールの底の下層Cu配線の表面の酸化状態のバラツキ(表面の組成のバラツキ)が生じることにより、下層Cu配線とビアとの接続抵抗のバラツキが生じ、多層配線構造を有する半導体装置の歩留りや信頼性に悪影響を及ぼすことがある。   Due to such a decrease in the selection ratio, the via hole processing controllability deteriorates. For this reason, the variation in the oxidation state of the surface of the lower layer Cu wiring at the bottom of the via hole (the variation in the composition of the surface) results in variation in the connection resistance between the lower layer Cu wiring and the via, and the semiconductor device having a multilayer wiring structure May adversely affect yield and reliability.

このような問題に対して、例えば特許文献1には、配線絶縁膜として、シリコンを含まない有機膜を用いた構造が開示されている。特許文献1の技術では、有機膜の炭素濃度がキャップ絶縁膜よりも高濃度であることに注目して、主なエッチングガスとしてOガスを用いて有機膜をエッチングし、ビアホールを形成する。特許文献1の技術では、主なエッチングガスとしてOガスを用いることにより、キャップ絶縁膜に対する配線絶縁膜(有機膜)の高いエッチング選択性を確保し、キャップ絶縁膜でエッチングを停止させることができる。 For such a problem, for example, Patent Document 1 discloses a structure using an organic film not containing silicon as a wiring insulating film. In the technique of Patent Document 1, paying attention to the fact that the carbon concentration of the organic film is higher than that of the cap insulating film, the organic film is etched using O 2 gas as a main etching gas to form a via hole. In the technique of Patent Document 1, by using O 2 gas as a main etching gas, it is possible to secure high etching selectivity of the wiring insulating film (organic film) with respect to the cap insulating film and stop the etching at the cap insulating film. it can.

一方、特許文献2、3のように、配線絶縁膜であるSiOCH膜を微細加工する技術では、マイクロローディング効果等に代表されるエッチングレートのパターンサイズ依存性が生じる。その対策として、SiOCH膜をエッチングするエッチャントガスに、フロロカーボン(CHxFy)系ガスやCガスのような堆積物を多く生成するガス(デポジション性ガス)を添加する方法が知られている。この方法によれば、エッチングレートが大きい箇所では、エッチャントガスの消費速度が大きいため、エッチングに直接関与しないデポジションガスの濃度が相対的に高まり、その箇所に炭化水素系(CHx)の堆積物が選択的に堆積されやすくなる。その結果、その箇所のSiOCH膜のエッチングレートが低下し、エッチングレートのパターンサイズ依存性(ビアホールの開口径への依存性)を低減する方向に作用する。特に、特許文献3のようにエッチング工程を2つのステップに分け、この2つのステップでデポジション性ガスの添加量を異ならせることにより、ビアホールの開口径に依らず、ビアホールを均一に加工する手法が一般的である。 On the other hand, as in Patent Documents 2 and 3, in the technique of finely processing a SiOCH film that is a wiring insulating film, pattern size dependency of an etching rate typified by a microloading effect or the like occurs. As a countermeasure, there is known a method of adding a gas (deposition gas) that generates a large amount of deposits such as fluorocarbon (CHxFy) -based gas or C 4 F 6 gas to an etchant gas for etching the SiOCH film. . According to this method, since the consumption rate of the etchant gas is high at a location where the etching rate is high, the concentration of the deposition gas not directly involved in etching is relatively increased, and hydrocarbon-based (CHx) deposits are present at that location. Are easily deposited selectively. As a result, the etching rate of the SiOCH film at that location is lowered, and the pattern size dependency of the etching rate (dependence on the opening diameter of the via hole) is reduced. In particular, as in Patent Document 3, the etching process is divided into two steps, and the addition amount of the deposition gas is changed in these two steps, so that the via hole is uniformly processed regardless of the opening diameter of the via hole. Is common.

特開2001−345380号公報JP 2001-345380 A 特開平7−74156号公報JP-A-7-74156 特開2008−198659号公報JP 2008-198659 A 国際公開第2008/078649号パンフレットInternational Publication No. 2008/076649 Pamphlet 国際公開第2007/132879号パンフレットInternational Publication No. 2007/132879 Pamphlet

シリコンを含有するSiOCH膜は、Oガスのみによってエッチングすることはできないので、酸素ガスによるエッチングを行う特許文献1の技術をSiOCH膜のエッチングに適用することはできない。 Since the SiOCH film containing silicon cannot be etched only with O 2 gas, the technique of Patent Document 1 that performs etching with oxygen gas cannot be applied to the etching of the SiOCH film.

また、特許文献1に記載された酸素ガスをエッチャントとして用いる場合、エッチングレートのパターン間差を吸収できるほどキャップ絶縁膜に対する高いエッチング選択比が要求される。その要求を満たせないと、ビアホール下のキャップ絶縁膜がエッチングされて下地Cu配線が現れ、その表面を酸化してしまうからである。ビアホール底のCuが酸化されると、ビアと下層Cu配線との接続抵抗の増大や信頼性の劣化を引き起こしてしまう。特許文献1の技術では、キャップ絶縁膜に対する有機膜のエッチング選択比100を確保できているが、SiOCH膜は、キャップ絶縁膜と同様にSiを含む。このため、配線絶縁膜としてSiOCH膜を用いる場合、配線絶縁膜が有機膜の場合とは異なり、キャップ絶縁膜に対するSiOCH膜のエッチング選択比100を確保することができない。   Further, when the oxygen gas described in Patent Document 1 is used as an etchant, a high etching selectivity with respect to the cap insulating film is required to absorb the difference in etching rate between patterns. If the requirement is not satisfied, the cap insulating film under the via hole is etched, the underlying Cu wiring appears, and the surface is oxidized. When Cu at the bottom of the via hole is oxidized, the connection resistance between the via and the lower layer Cu wiring is increased and the reliability is deteriorated. In the technique of Patent Document 1, an etching selectivity ratio 100 of the organic film to the cap insulating film can be ensured, but the SiOCH film contains Si like the cap insulating film. For this reason, when the SiOCH film is used as the wiring insulating film, unlike the case where the wiring insulating film is an organic film, it is not possible to ensure the etching selectivity 100 of the SiOCH film to the cap insulating film.

このため、配線絶縁膜としてSiOCH膜を用いる場合に、マイクロローディング効果に起因するビアホールパターンの開口率の違いによるエッチングレート差を吸収するには、キャップ絶縁膜を厚くする必要が生じる。しかし、キャップ絶縁膜は一般に配線層間絶縁膜と比較して比誘電率が高いため、その膜厚を厚くすると実効誘電率が上昇し、LSIの高性能化及び低消費電力化を阻害してしまう。よって、キャップ絶縁膜を厚くすることは現実的ではない。   For this reason, when a SiOCH film is used as the wiring insulating film, it is necessary to increase the thickness of the cap insulating film in order to absorb the etching rate difference due to the difference in the opening ratio of the via hole pattern due to the microloading effect. However, since the cap dielectric film generally has a higher relative dielectric constant than the wiring interlayer dielectric film, increasing the film thickness increases the effective dielectric constant, which hinders high performance and low power consumption of the LSI. . Therefore, it is not realistic to increase the thickness of the cap insulating film.

また、特許文献1に記載されている酸素を主成分とするエッチングガスの場合、エッチングレートを低減しているのはCHx系の堆積物である。この堆積物は、レジストアッシング等のOプラズマにより除去されてしまう。従って、エッチャントガスである酸素ガスに対して、特許文献2、3に記載されているようなデポジション性ガスを添加したとしても、堆積物自体が酸素ガスですぐにエッチングされてしまい、エッチングレートのパターンサイズ依存性を低減する効果は損なわれてしまう。また、酸素ガスで除去されないほどの堆積物を生成すれば、エッチング中にビアホールにおいて目詰まりを起こし、その目詰まりしたビアホールは未開口パターンとなってしまう。 Further, in the case of the etching gas mainly containing oxygen described in Patent Document 1, it is CHx-based deposits that reduce the etching rate. This deposit is removed by O 2 plasma such as resist ashing. Therefore, even if a deposition gas as described in Patent Documents 2 and 3 is added to the oxygen gas that is an etchant gas, the deposit itself is immediately etched with the oxygen gas, and the etching rate is increased. The effect of reducing the pattern size dependency is lost. Further, if a deposit that cannot be removed by oxygen gas is generated, clogging occurs in the via hole during etching, and the clogged via hole becomes an unopened pattern.

このため、特許文献1に記載の酸素ガスを主成分とするエッチングと、特許文献2や特許文献3に記載のフロロカーボン系の堆積物でマイクロローディング効果を抑制する技術を単に組み合わせただけであれば、SiOCH膜に対するエッチングレートのパターンサイズ依存性を回避することができず、ビアホールの加工制御性を十分に確保することができない。   Therefore, if the etching mainly composed of oxygen gas described in Patent Document 1 and the technology for suppressing the microloading effect with the fluorocarbon-based deposits described in Patent Document 2 and Patent Document 3 are simply combined. The pattern size dependency of the etching rate on the SiOCH film cannot be avoided, and the processing controllability of the via hole cannot be ensured sufficiently.

このように、配線絶縁膜としてSiOCH膜を用いる場合に、ビアホールの開口径に依らずビアホールの加工制御性を十分に確保して、下層Cu配線の表面の組成のバラツキを十分に抑制することは困難だった。   As described above, when a SiOCH film is used as the wiring insulating film, it is possible to sufficiently secure the processing controllability of the via hole regardless of the opening diameter of the via hole and sufficiently suppress the variation in the composition of the surface of the lower layer Cu wiring. It was difficult.

本発明は、下層Cu配線上に形成されている積層構造に、第1及び第2開口径のビアホールを含む複数のビアホールを形成するビアホール形成工程を有し、前記積層構造は、シリコン(Si)と炭素(C)とを含有するキャップ絶縁膜と、前記キャップ絶縁膜上に形成されている配線絶縁膜としてのSiOCH膜と、を有し、前記ビアホール形成工程では、第1混合ガスをエッチングガスとして用いる第1ドライエッチングと、第2混合ガスをエッチングガスとして用いる第2ドライエッチングと、を組み合わせることによって、前記配線絶縁膜に前記複数のビアホールを形成し、前記第1及び第2混合ガスの各々は、CF系ガスとCHF系ガスとのうちの少なくとも一方のガスと、Oガスと、を含有し、前記第1混合ガスのO濃度は、前記第1及び第2開口径のうち相対的に小さい第1開口径のビアホールのエッチングレートが、相対的に大きい第2開口径のビアホールのエッチングレートよりも大きくなるように設定され、前記第2混合ガスのO濃度は、前記第2開口径のビアホールのエッチングレートが、前記第1開口径のビアホールのエッチングレートよりも大きくなるように設定されていることを特徴とする半導体装置の製造方法を提供する。 The present invention includes a via hole forming step of forming a plurality of via holes including via holes having first and second opening diameters in a laminated structure formed on a lower layer Cu wiring, and the laminated structure includes silicon (Si). And a cap insulating film containing carbon (C), and a SiOCH film as a wiring insulating film formed on the cap insulating film. In the via hole forming step, the first mixed gas is an etching gas. The first dry etching used as the second dry etching and the second dry etching using the second mixed gas as an etching gas are combined to form the plurality of via holes in the wiring insulating film, and the first and second mixed gases each has at least one gas of a CF-based gas and CHF-based gas, and O 2 gas, containing, O 2 concentration of the first gas mixture An etching rate of a via hole having a relatively small first opening diameter among the first and second opening diameters is set to be larger than an etching rate of a via hole having a relatively large second opening diameter. The method for manufacturing a semiconductor device is characterized in that the O 2 concentration of the mixed gas is set so that an etching rate of the via hole having the second opening diameter is larger than an etching rate of the via hole having the first opening diameter. I will provide a.

この半導体装置の製造方法によれば、第1混合ガスを用いる第1ドライエッチングと、第2混合ガスを用いる第2ドライエッチングと、を適宜に組み合わせてビアホール形成工程を行うことによって、相対的に小さい第1開口径のビアホールと、相対的に大きい第2開口径のビアホールと、のエッチング深さを揃えることができる。つまり、第1ドライエッチングと第2ドライエッチングとを適宜に組み合わせて行うことによって、配線絶縁膜としてSiOCH膜を用いる場合におけるビアホールの加工制御性を、ビアホールの開口径に依らず十分に確保することができる。これにより、ビアホールの下層に位置する下層Cu配線の表面の組成のバラツキを低減することができる。よって、ビアと下層Cu配線との接続抵抗のバラツキを抑制し、半導体装置の信頼性を向上させることができる。   According to this method for manufacturing a semiconductor device, the first dry etching using the first mixed gas and the second dry etching using the second mixed gas are appropriately combined to perform the via hole forming step. The etching depths of the via hole having a small first opening diameter and the via hole having a relatively large second opening diameter can be made uniform. That is, by appropriately combining the first dry etching and the second dry etching, the processing controllability of the via hole when using the SiOCH film as the wiring insulating film is sufficiently ensured regardless of the opening diameter of the via hole. Can do. Thereby, the variation in the composition of the surface of the lower layer Cu wiring located in the lower layer of the via hole can be reduced. Therefore, variations in connection resistance between the via and the lower layer Cu wiring can be suppressed, and the reliability of the semiconductor device can be improved.

また、本発明は、下層Cu配線と、前記下層Cu配線上に形成されている積層構造と、を有し、前記積層構造は、シリコン(Si)と炭素(C)とを含有するキャップ絶縁膜と、前記キャップ絶縁膜上に形成されている配線絶縁膜としてのSiOCH膜と、を有し、前記SiOCH膜は、該SiOCH膜中のCとSiとの原子組成比(C/Si)が前記キャップ絶縁膜中のCとSiとの原子組成比(C/Si)の1/2よりも大きい炭素リッチSiOCH膜であり、前記積層構造には、互いに開口径が異なるビアホールを含む複数のビアホールが、前記積層構造を貫通して前記下層Cu配線に達する深さに形成され、各ビアホール内には前記下層Cu配線に接続されたCuデュアルダマシン配線が形成され、各Cuデュアルダマシン配線に接する前記下層Cu配線の表面組成が、各ビアホールの開口径に依存することなく均質であることを特徴とする半導体装置を提供する。   Moreover, this invention has a lower layer Cu wiring and the laminated structure formed on the said lower layer Cu wiring, and the said laminated structure contains a silicon | silicone (Si) and carbon (C). And an SiOCH film as a wiring insulating film formed on the cap insulating film, and the SiOCH film has an atomic composition ratio (C / Si) between C and Si in the SiOCH film of the SiOCH film. A carbon-rich SiOCH film having a C / Si atomic composition ratio (C / Si) larger than ½ in the cap insulating film, and the laminated structure includes a plurality of via holes including via holes having different opening diameters. The Cu dual damascene wiring connected to the lower Cu wiring is formed in each via hole so as to reach the lower Cu wiring, and is connected to each Cu dual damascene wiring. The surface composition of the lower layer Cu wiring is to provide a semiconductor device which is a homogeneous without depending on the opening diameter of each via hole that.

本発明によれば、配線絶縁膜としてSiOCH膜を用いる場合に、ビアホールの開口径に依らず、ビアホールの加工制御性を十分に得て、その結果として、下層Cu配線の表面の組成のバラツキを十分に抑制することを、容易になしうる。   According to the present invention, when the SiOCH film is used as the wiring insulating film, the processing controllability of the via hole is sufficiently obtained regardless of the opening diameter of the via hole, and as a result, the variation in the composition of the surface of the lower layer Cu wiring is obtained. Sufficient suppression can be easily performed.

実施形態に係る半導体装置の製造方法におけるビアホール形成工程を説明するための切断端面図である。It is a cut end view for demonstrating the via hole formation process in the manufacturing method of the semiconductor device which concerns on embodiment. 実施形態に係る半導体装置の製造方法におけるビアホール形成工程のメカニズムを説明するための切断端面図である。It is a cut end view for demonstrating the mechanism of the via-hole formation process in the manufacturing method of the semiconductor device which concerns on embodiment. 実施形態に係る半導体装置の製造方法の一連の工程を説明するための切断端面図である。It is a cut end view for demonstrating a series of processes of the manufacturing method of the semiconductor device concerning an embodiment. 実施形態に係る半導体装置の製造方法で用いる成膜装置の模式図である。It is a schematic diagram of the film-forming apparatus used with the manufacturing method of the semiconductor device which concerns on embodiment. エッチングガス中のOの流量に応じたエッチングレートのパターン依存性を示す図である。It is a diagram showing a pattern dependency of the etching rate according to the flow rate of O 2 in the etching gas. 実施形態による効果(パターン依存性の解消)を説明するための図である。It is a figure for demonstrating the effect (resolution of pattern dependence) by embodiment. エッチングガス中のCFの流量に応じたエッチングレートを示す図である。Is a diagram showing an etching rate corresponding to the flow rate of CF 4 in the etching gas. 配線絶縁膜(SiOCH膜)とキャップ絶縁膜との炭素濃度比と、エッチング選択比と、の関係を示す図である。It is a figure which shows the relationship between the carbon concentration ratio of a wiring insulating film (SiOCH film) and a cap insulating film, and an etching selection ratio.

以下、本発明の実施形態について、図面を用いて説明する。なお、すべての図面において、同様の構成要素には同一の符号を付し、適宜に説明を省略する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings. In all the drawings, the same components are denoted by the same reference numerals, and the description thereof is omitted as appropriate.

〔第1の実施形態〕
図1は実施形態に係る半導体装置の製造方法におけるビアホール形成工程を説明するための、半導体装置の要部の切断端面である。図2は実施形態に係る半導体装置の製造方法におけるビアホール形成工程のメカニズムを説明するための、半導体装置の要部の切断端面図である。図3は実施形態に係る半導体装置の製造方法の一連の工程を説明するための、半導体装置の要部の断面端面図である。図4は実施形態に係る半導体装置の製造方法で用いるSiOCH膜等の成膜装置の模式図である。図5はエッチングガス中のOの流量に応じたエッチングレートのパターン依存性を示す図、図6は実施形態による効果(パターン依存性の解消)を説明するための図、図7はエッチングガス中のCFの流量に応じたエッチングレートを示す図である。
[First Embodiment]
FIG. 1 is a cut end surface of a main part of a semiconductor device for explaining a via hole forming step in the method for manufacturing a semiconductor device according to the embodiment. FIG. 2 is a cut end view of the main part of the semiconductor device for explaining the mechanism of the via hole forming step in the method of manufacturing a semiconductor device according to the embodiment. FIG. 3 is a cross-sectional end view of the main part of the semiconductor device for explaining a series of steps of the method for manufacturing the semiconductor device according to the embodiment. FIG. 4 is a schematic view of a film forming apparatus such as a SiOCH film used in the method for manufacturing a semiconductor device according to the embodiment. FIG. 5 is a diagram showing the pattern dependency of the etching rate according to the flow rate of O 2 in the etching gas, FIG. 6 is a diagram for explaining the effect (elimination of the pattern dependency) according to the embodiment, and FIG. 7 is the etching gas. is a diagram showing an etching rate corresponding to the flow rate of CF 4 in.

本実施形態に係る半導体装置の製造方法は、下層Cu配線3上に形成されている積層構造20に、第1及び第2開口径のビアホール8、9を含む複数のビアホール8、9を形成するビアホール形成工程を有する。積層構造20は、シリコン(Si)と炭素(C)とを含有するキャップ絶縁膜4と、キャップ絶縁膜4上に形成されている配線絶縁膜5としてのSiOCH膜と、を有する。ビアホール形成工程では、第1混合ガスをエッチングガスとして用いる第1ドライエッチングと、第2混合ガスをエッチングガスとして用いる第2ドライエッチングと、を組み合わせることによって、配線絶縁膜5に複数のビアホール8、9を形成する。第1及び第2混合ガスの各々は、CF系ガスとCHF系ガスとのうちの少なくとも一方のガスと、Oガスと、を含有している。第1混合ガスのO濃度は、第1及び第2開口径のうち相対的に小さい第1開口径のビアホール9のエッチングレートが、相対的に大きい第2開口径のビアホール8のエッチングレートよりも大きくなるように設定されている。第2混合ガスのO濃度は、第2開口径のビアホール8のエッチングレートが、第1開口径のビアホール9のエッチングレートよりも大きくなるように設定されている。
また、本実施形態に係る半導体装置は、下層Cu配線3と、下層Cu配線3上に形成されている積層構造20と、を有している。積層構造20は、シリコン(Si)と炭素(C)とを含有するキャップ絶縁膜4と、キャップ絶縁膜4上に形成されている配線絶縁膜5としてのSiOCH膜と、を有している。SiOCH膜は、該SiOCH膜中のCとSiとの原子組成比(C/Si)がキャップ絶縁膜4中のCとSiとの原子組成比(C/Si)の1/2よりも大きい炭素リッチSiOCH膜である。積層構造20には、互いに開口径が異なるビアホール8、9を含む複数のビアホール8、9が、積層構造20を貫通して下層Cu配線3に達する深さに形成されている。各ビアホール8、9内には下層Cu配線3に接続されたCuデュアルダマシン配線(金属層14)が形成され、各Cuデュアルダマシン配線に接する下層Cu配線3の表面組成が、各ビアホール8、9の開口径に依存することなく均質である。
In the method of manufacturing a semiconductor device according to the present embodiment, a plurality of via holes 8 and 9 including via holes 8 and 9 having first and second opening diameters are formed in the stacked structure 20 formed on the lower layer Cu wiring 3. A via hole forming step; The laminated structure 20 includes a cap insulating film 4 containing silicon (Si) and carbon (C), and a SiOCH film as the wiring insulating film 5 formed on the cap insulating film 4. In the via hole forming step, a plurality of via holes 8 are formed in the wiring insulating film 5 by combining the first dry etching using the first mixed gas as an etching gas and the second dry etching using the second mixed gas as an etching gas. 9 is formed. Each of the first and second mixed gases contains at least one of a CF-based gas and a CHF-based gas, and O 2 gas. The O 2 concentration of the first mixed gas is higher than the etching rate of the via hole 8 having the relatively large second opening diameter in which the via hole 9 having the relatively small first opening diameter out of the first and second opening diameters. Is also set to be large. The O 2 concentration of the second mixed gas is set so that the etching rate of the via hole 8 having the second opening diameter is larger than the etching rate of the via hole 9 having the first opening diameter.
Further, the semiconductor device according to the present embodiment includes the lower layer Cu wiring 3 and the stacked structure 20 formed on the lower layer Cu wiring 3. The laminated structure 20 includes a cap insulating film 4 containing silicon (Si) and carbon (C), and a SiOCH film as the wiring insulating film 5 formed on the cap insulating film 4. The SiOCH film is a carbon whose atomic composition ratio (C / Si) between C and Si in the SiOCH film is larger than ½ of the atomic composition ratio (C / Si) between C and Si in the cap insulating film 4. It is a rich SiOCH film. In the stacked structure 20, a plurality of via holes 8 and 9 including via holes 8 and 9 having different opening diameters are formed to a depth that reaches the lower layer Cu wiring 3 through the stacked structure 20. In each via hole 8, 9, a Cu dual damascene wiring (metal layer 14) connected to the lower Cu wiring 3 is formed, and the surface composition of the lower Cu wiring 3 in contact with each Cu dual damascene wiring is different from each via hole 8, 9. It is homogeneous without depending on the opening diameter.

図5は、エッチングガス中のOの流量に応じたSiOCH膜に対するエッチングレートのパターン依存性を示す。図5に示すように、SiOCH膜に対するエッチングレートはエッチングガス中のO濃度に依存する。換言すれば、SiOCH膜に対するエッチングレートはプラズマ中のO濃度に依存する。しかも、ドライエッチングにより形成するビアホール8、9(図1参照)の開口径に応じたエッチングレートは、エッチングガス中のO濃度に依存して逆転する(図5の例ではO流量が約15sccmのところを境として、開口径が相対的に大きいビアホール8のエッチングレート(図5中の開口径大)と、開口径が相対的に小さいビアホール9のエッチングレート(図5中の開口径小)とが逆転している)。本実施形態に係る半導体装置の製造方法においては、このような依存性を利用して、ビアホール8、9をドライエッチングにより均一な深さに形成する。 FIG. 5 shows the pattern dependence of the etching rate for the SiOCH film according to the flow rate of O 2 in the etching gas. As shown in FIG. 5, the etching rate for the SiOCH film depends on the O 2 concentration in the etching gas. In other words, the etching rate for the SiOCH film depends on the O concentration in the plasma. In addition, the etching rate corresponding to the opening diameter of the via holes 8 and 9 (see FIG. 1) formed by dry etching is reversed depending on the O 2 concentration in the etching gas (in the example of FIG. 5, the O 2 flow rate is about With a boundary of 15 sccm as a boundary, the etching rate of via hole 8 having a relatively large opening diameter (large opening diameter in FIG. 5) and the etching rate of via hole 9 having a relatively small opening diameter (small opening diameter in FIG. 5). ) Is reversed). In the manufacturing method of the semiconductor device according to the present embodiment, the via holes 8 and 9 are formed to a uniform depth by dry etching using such dependency.

ここで、エッチングの際には、エッチングによりSiOCH膜から発生する酸素も、エッチャントとして利用する。図2に示すように、微細パターン(開口径が小さいパターン)ほど側壁の間隔が狭く、発生したO(図2の活性酸素21)が密集する。つまり、開口径が相対的に大きいビアホール8(図2(a))と、開口径が相対的に小さいビアホール9(図2(b))との比較では、後者の方が、エッチングにより発生した活性酸素(酸素イオンまたは酸素ラジカル)21がビアホール8内に密集する。このため、微細パターンの方が、ビア底とビア側壁より発生する活性酸素21によるエッチングが多く進行する。   Here, in etching, oxygen generated from the SiOCH film by etching is also used as an etchant. As shown in FIG. 2, the finer pattern (pattern with a smaller opening diameter) has a narrower interval between the side walls, and the generated O (active oxygen 21 in FIG. 2) is concentrated. That is, in the comparison between the via hole 8 having a relatively large opening diameter (FIG. 2A) and the via hole 9 having a relatively small opening diameter (FIG. 2B), the latter was generated by etching. Active oxygen (oxygen ions or oxygen radicals) 21 is concentrated in the via hole 8. For this reason, in the fine pattern, the etching by the active oxygen 21 generated from the via bottom and the via sidewall proceeds more.

開口径の大きいパターン(ビアホール8)に対するエッチャントガスからの活性酸素(酸素イオンまたは酸素ラジカル)22の供給量をAとした場合、微細パターン(ビアホール9)では開口径が小さいためこの活性酸素22の供給量がAよりも小さく、αA(α<1)となるが、SiOCH膜のエッチング生成物である活性酸素21もエッチャントとして利用することにより、微細パターン(ビアホール9)ではエッチングに使用できる活性酸素21、22の量が供給量Aよりも多くなり(αA+βとなり)、エッチングレートが向上する。   When the supply amount of the active oxygen (oxygen ions or oxygen radicals) 22 from the etchant gas to the pattern having a large opening diameter (via hole 8) is A, the opening diameter of the fine pattern (via hole 9) is small, so that the active oxygen 22 Although the supply amount is smaller than A and αA (α <1), active oxygen 21 that is an etching product of the SiOCH film is also used as an etchant, so that active oxygen that can be used for etching in a fine pattern (via hole 9) is used. The amount of 21 and 22 is larger than the supply amount A (becomes αA + β), and the etching rate is improved.

また、パターン内部で発生するエッチャントを使用するため、同一開口面積のパターンであればパターンの粗密差に関わらず、エッチングレートを一定にできる。   Further, since the etchant generated inside the pattern is used, the etching rate can be made constant regardless of the density difference of the patterns as long as the patterns have the same opening area.

本実施形態の場合、例えば、第1ドライエッチング(図1(a))と第2ドライエッチング(図1(b))とをこの順に行うことによって、ビアホール8、9を形成する(ビアホール形成工程を行う)。   In the case of the present embodiment, for example, the first dry etching (FIG. 1A) and the second dry etching (FIG. 1B) are performed in this order to form the via holes 8 and 9 (via hole forming step). I do).

このうち第1ドライエッチング(図1(a))では、エッチングガス中のO流量を減らし、開口径の小さいパターンでエッチャントとして利用される活性酸素21、22の単位体積当たりの量を、開口径の大きいパターンでエッチャントとして利用される活性酸素21、22の単位体積当たりの量よりも多くすることにより、開口径の小さいパターンでのエッチングレートを開口径の大きいパターンにおけるそれよりも大きくさせる。これにより、マイクロローディング効果によるエッチングレートのパターン間差を制御させる。第1ドライエッチングでは、SiOCH膜の膜厚分布やマイクロローディング効果によるエッチングレート分布を考慮せず、相対的に開口径の小さいビアホール9が、キャップ絶縁膜4に達するか、又は、キャップ絶縁膜4の近傍に達する段階まで行う。 Of these, in the first dry etching (FIG. 1A), the flow rate of O 2 in the etching gas is reduced, and the amount of active oxygen 21 and 22 used as an etchant in a pattern having a small opening diameter is reduced. By increasing the amount per unit volume of active oxygen 21 and 22 used as an etchant in a pattern with a large aperture, the etching rate for a pattern with a small aperture is made larger than that for a pattern with a large aperture. This controls the difference in etching rate between patterns due to the microloading effect. In the first dry etching, the via hole 9 having a relatively small opening diameter reaches the cap insulating film 4 or the cap insulating film 4 without considering the film thickness distribution of the SiOCH film and the etching rate distribution due to the microloading effect. This is done until reaching the vicinity of.

これに対して、第2ドライエッチング(図1(b))では第1ドライエッチングよりもO流量を増大させて、開口径の大きいパターンでエッチャントとして利用される活性酸素21、22の単位体積当たりの量を、開口径の小さいパターンでエッチャントとして利用される活性酸素21、22の単位体積当たりの量よりも大きくさせることにより、開口径の大きいパターンでのエッチングレートを開口径の小さいパターンにおけるそれよりも大きくさせる。このため、第2ドライエッチングを行うことにより、開口径の大きいパターンでのエッチングの方が、開口径の小さいパターンでのエッチングよりも速く進行し、開口径の大きいパターンでのエッチング深さが開口径の小さいパターンでのエッチング深さに追いつく。 On the other hand, in the second dry etching (FIG. 1B), the O 2 flow rate is increased as compared with the first dry etching, and the unit volumes of the active oxygens 21 and 22 used as the etchant in the pattern having a large opening diameter. By making the hit amount larger than the amount per unit volume of the active oxygens 21 and 22 used as the etchant in the pattern having a small opening diameter, the etching rate in the pattern having a large opening diameter can be increased. Make it bigger. For this reason, by performing the second dry etching, the etching with the pattern with the large opening diameter proceeds faster than the etching with the pattern with the small opening diameter, and the etching depth in the pattern with the large opening diameter is increased. Catch up with the etching depth of small-diameter patterns.

換言すれば、第2ドライエッチングで発生するエッチングレートの不均衡を予め第1ドライエッチングによって補正した後で、第2ドライエッチングを実施することにより、第2ドライエッチング後のエッチング深さを開口径に依らず同程度に揃えることができる。   In other words, after correcting the imbalance of the etching rate generated in the second dry etching in advance by the first dry etching, the etching depth after the second dry etching is set to the opening diameter by performing the second dry etching. It is possible to make the same level regardless of

このように、第1ドライエッチングと第2ドライエッチングとを組み合わせることにより、パターン差を相殺することが可能となり、加工制御性と均一性を両立させることができる。   As described above, by combining the first dry etching and the second dry etching, it is possible to cancel the pattern difference, and it is possible to achieve both processing controllability and uniformity.

なお、エッチングガス(第1混合ガス及び第2混合ガス)は、Oガスの他に、CF系ガス(例えば、CF)とCHF系ガス(例えば、CHF、CH)とのうちの少なくとも一方のガス)を含有する。更に、エッチングガス(第1混合ガス及び第2混合ガス)は、例えば、ArとNとのうちの少なくとも何れか一方のガスを含有する。エッチングガスは、より具体的には、例えば、Ar及びNを主成分とし、さらにCFとOを含む混合ガスとすることができる。N、CF、Oの各ガスによりSiOCH膜を構成するSi、C、Hをエッチングし、ビアホール8、9を形成することができる。 Note that the etching gas (first mixed gas and second mixed gas) is not only O 2 gas but also CF gas (for example, CF 4 ) and CHF gas (for example, CHF 3 , CH 2 F 2 ). At least one of them). Further, the etching gas (first mixed gas and second mixed gas) contains, for example, at least one of Ar and N 2 . More specifically, the etching gas can be, for example, a mixed gas containing Ar and N 2 as main components and further containing CF 4 and O 2 . Via holes 8 and 9 can be formed by etching Si, C, and H constituting the SiOCH film with N 2 , CF 4 , and O 2 gases.

以下、本実施形態に係る半導体装置の製造方法をより詳細に説明する。   Hereinafter, the manufacturing method of the semiconductor device according to the present embodiment will be described in more detail.

図3(a)に示すように、シリコン基板(図示略)上に下層Cu配線3を例えばシングルダマシン法によって形成する。すなわち、シリコン基板上にSiOCH配線層間膜1を形成し、このSiOCH配線層間膜1に形成した溝内に、バリアメタル2と下層Cu配線3とを形成した後、CMPにより余剰のバリアメタル2及び下層Cu配線3を除去する。   As shown in FIG. 3A, a lower layer Cu wiring 3 is formed on a silicon substrate (not shown) by, for example, a single damascene method. That is, an SiOCH wiring interlayer film 1 is formed on a silicon substrate, a barrier metal 2 and a lower layer Cu wiring 3 are formed in a groove formed in the SiOCH wiring interlayer film 1, and then an excess barrier metal 2 and CMP are formed by CMP. The lower Cu wiring 3 is removed.

その後、下層Cu配線3を覆うキャップ絶縁膜4を形成する。キャップ絶縁膜4は、例えば、シリコン(Si)と炭素(C)とを主成分とする。すなわち、キャップ絶縁膜4は、シリコン(Si)と炭素(C)とを、例えば合計で20atomic%以上含有する。キャップ絶縁膜4は、具体的には、例えば、SiCN膜とすることができる。ただし、キャップ絶縁膜4は、この例に限らず、SiC膜であっても良いし、SiCN膜とSiC膜との積層膜であっても良い。更に、キャップ絶縁膜4は、不飽和炭化水素膜とアモルファスカーボン膜とを有するシリカカーボン複合膜であっても良いし、このシリカカーボン複合膜と、SiCN膜又はSiC膜と、の積層膜であっても良いし、或いは、このシリカカーボン複合膜と、SiCN膜及びSiC膜と、の積層膜であっても良い。   Thereafter, a cap insulating film 4 covering the lower layer Cu wiring 3 is formed. The cap insulating film 4 contains, for example, silicon (Si) and carbon (C) as main components. That is, the cap insulating film 4 contains silicon (Si) and carbon (C), for example, 20 atomic% or more in total. Specifically, the cap insulating film 4 can be a SiCN film, for example. However, the cap insulating film 4 is not limited to this example, and may be a SiC film or a laminated film of a SiCN film and a SiC film. Further, the cap insulating film 4 may be a silica carbon composite film having an unsaturated hydrocarbon film and an amorphous carbon film, or a laminated film of this silica carbon composite film and a SiCN film or a SiC film. Alternatively, a laminated film of this silica carbon composite film, a SiCN film and a SiC film may be used.

次に、図3(b)に示すように、キャップ絶縁膜4上に、上層Cu配線形成用の配線絶縁膜5を形成する。この配線絶縁膜5は、例えば、該配線絶縁膜5を構成するSiOCH膜中のCとSiとの原子組成比(C/Si)がキャップ絶縁膜4中のCとSiとの原子組成比(C/Si)の1/2よりも大きい炭素リッチSiOCH膜である。次に、配線絶縁膜5上にはSiOハードマスク6を形成する。これらキャップ絶縁膜4、配線絶縁膜5及びSiOハードマスク6は、例えば、CVD法により順次に形成することができる。 Next, as shown in FIG. 3B, a wiring insulating film 5 for forming an upper Cu wiring is formed on the cap insulating film 4. The wiring insulating film 5 has an atomic composition ratio (C / Si) of C and Si in the SiOCH film constituting the wiring insulating film 5 such that the atomic composition ratio of C and Si in the cap insulating film 4 ( It is a carbon-rich SiOCH film that is larger than 1/2 of (C / Si). Next, a SiO 2 hard mask 6 is formed on the wiring insulating film 5. The cap insulating film 4, the wiring insulating film 5, and the SiO 2 hard mask 6 can be sequentially formed by, for example, a CVD method.

ここで、キャップ絶縁膜4を構成するSiCNの原子組成比は、例えば、Si:C:N=1:0.45:1.58とすることができ、配線絶縁膜5を構成する炭素リッチSiOCH膜の原子組成比は、例えば、Si:C:O=1:2.7:0.9とすることができる。従って、この場合、配線絶縁膜5中のCとSiとの原子組成比(C/Si)は2.7であり、キャップ絶縁膜4中のCとSiとの原子組成比(C/Si)は0.45であるため、「SiOCH膜(配線絶縁膜5)中のCとSiとの原子組成比(C/Si)がキャップ絶縁膜4中のCとSiとの原子組成比(C/Si)の1/2よりも大きい」という条件を満たす。さらに、この場合、SiOCH膜(配線絶縁膜5)中のCとSiとの原子組成比(C/Si)が1よりも大きいという条件も満たす。   Here, the atomic composition ratio of SiCN constituting the cap insulating film 4 can be, for example, Si: C: N = 1: 0.45: 1.58, and the carbon-rich SiOCH constituting the wiring insulating film 5 The atomic composition ratio of the film can be, for example, Si: C: O = 1: 2.7: 0.9. Therefore, in this case, the atomic composition ratio (C / Si) of C and Si in the wiring insulating film 5 is 2.7, and the atomic composition ratio of C and Si in the cap insulating film 4 (C / Si). Is 0.45, “the atomic composition ratio (C / Si) of C and Si in the SiOCH film (wiring insulating film 5) is the atomic composition ratio of C and Si (C / Si in the cap insulating film 4). The condition “greater than ½ of Si)” is satisfied. Furthermore, in this case, the condition that the atomic composition ratio (C / Si) of C and Si in the SiOCH film (wiring insulating film 5) is larger than 1 is also satisfied.

SiOCH膜(配線絶縁膜5)中のCとSiとの原子組成比(C/Si)が1よりも大きい場合、すなわち、配線絶縁膜5中のC含有率が大きい場合、その配線絶縁膜5は、酸素ガスに対するエッチング反応が顕著となるため、エッチング生成物である酸素を利用したエッチングレートの制御が容易となる。このため、SiOCH膜(配線絶縁膜5)中のCとSiとの原子組成比(C/Si)が1よりも大きいことが好ましい。   When the atomic composition ratio (C / Si) of C and Si in the SiOCH film (wiring insulating film 5) is larger than 1, that is, when the C content in the wiring insulating film 5 is large, the wiring insulating film 5 Since the etching reaction with respect to oxygen gas becomes remarkable, it becomes easy to control the etching rate using oxygen as an etching product. For this reason, it is preferable that the atomic composition ratio (C / Si) of C and Si in the SiOCH film (wiring insulating film 5) is larger than 1.

また、SiOCH膜(配線絶縁膜5)中のCとSiとの原子組成比(C/Si)が1よりも大きくなる範囲では、SiOCH膜(配線絶縁膜5)中のC濃度を高めるほど、キャップ絶縁膜4と配線絶縁膜5との組成の差が大きくなるため、配線絶縁膜5とキャップ絶縁膜4とのエッチング選択性が向上し、加工選択性が向上する。   Further, in the range where the atomic composition ratio (C / Si) between C and Si in the SiOCH film (wiring insulating film 5) is larger than 1, the higher the C concentration in the SiOCH film (wiring insulating film 5), Since the difference in composition between the cap insulating film 4 and the wiring insulating film 5 is increased, the etching selectivity between the wiring insulating film 5 and the cap insulating film 4 is improved, and the processing selectivity is improved.

また、このような配線絶縁膜5を構成するSiOCH膜としては、複数の空孔を有するSiOCH膜(ポーラスSiOCH膜)であって、これら空孔の径の平均が0.8nm未満である膜が挙げられる。なお、それぞれの空孔は、互いに独立に配置されていることが好ましい。   Further, as the SiOCH film constituting such a wiring insulating film 5, there is a SiOCH film having a plurality of holes (porous SiOCH film) having an average diameter of these holes of less than 0.8 nm. Can be mentioned. In addition, it is preferable that each hole is arrange | positioned mutually independently.

このような配線絶縁膜5の成膜は、例えば、図4に示す成膜装置を用いて、プラズマCVD法により行うことができる。この成膜装置の構成のうち、リザーバー101は配線絶縁膜5を構成するモノマー原料を供給する容器である。原料圧送部102はリザーバー101内のモノマー原料を送り出すため圧送ガスによる加圧を行う。この圧送ガスとしては、例えばHeが用いられる。液体マスフローコントローラー104はリザーバー101から供給されるモノマー原料の流量を制御する装置である。気化器106はリザーバー101から液体マスフローコントローラー104を介して供給されるモノマー原料を気化する装置である。キャリアガス供給部103は、気化器106により気化されたモノマー原料(原料ガス)を輸送するキャリアガス(例えばHe)を供給する。ガスマスフローコントローラー105は、キャリアガス供給部103から気化器106に供給するキャリアガスの流量を制御する装置である。反応容器(リアクター)107は、原料ガスをプラズマ重合させることにより、配線絶縁膜5を構成する炭素リッチSiOCH膜を基板108上に成膜させる容器である。RF(Radio Frequency)電源109は原料ガスとキャリアガスをプラズマ化する電力を供給する装置である。基板108は化学気相成長により成膜される半導体基板(半導体ウェハ(以下、ウェハ))である。排気ポンプ110は反応容器107から原料ガスとキャリアガスを排気する装置である。   Such a wiring insulating film 5 can be formed by, for example, a plasma CVD method using a film forming apparatus shown in FIG. Among the configurations of the film forming apparatus, the reservoir 101 is a container for supplying a monomer material constituting the wiring insulating film 5. The raw material pressure feeding unit 102 pressurizes with a pressure feed gas in order to send out the monomer raw material in the reservoir 101. As this pressurized gas, for example, He is used. The liquid mass flow controller 104 is a device that controls the flow rate of the monomer raw material supplied from the reservoir 101. The vaporizer 106 is a device that vaporizes the monomer raw material supplied from the reservoir 101 via the liquid mass flow controller 104. The carrier gas supply unit 103 supplies a carrier gas (for example, He) that transports the monomer raw material (raw material gas) vaporized by the vaporizer 106. The gas mass flow controller 105 is a device that controls the flow rate of the carrier gas supplied from the carrier gas supply unit 103 to the vaporizer 106. The reaction vessel (reactor) 107 is a vessel for forming a carbon-rich SiOCH film constituting the wiring insulating film 5 on the substrate 108 by plasma polymerizing the source gas. An RF (Radio Frequency) power source 109 is a device that supplies electric power for converting the source gas and the carrier gas into plasma. The substrate 108 is a semiconductor substrate (semiconductor wafer (hereinafter referred to as wafer)) formed by chemical vapor deposition. The exhaust pump 110 is an apparatus that exhausts the source gas and the carrier gas from the reaction vessel 107.

以下、図4の成膜装置を用いて炭素リッチSiOCH膜を成膜するプロセスを説明する。モノマー原料としては、例えば、下記一般式(式1)に示す環状有機シリカ構造を有する原料を用いる。ここで、R1、R2はビニル基を含有する不飽和炭素化合物又はアルキル基を含有する飽和炭素化合物であり、ビニル基は、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであり、アルキル基も、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかである。また、R1、R2は互いに同一であっても互いに異なっていても良い。   Hereinafter, a process for forming a carbon-rich SiOCH film using the film forming apparatus of FIG. 4 will be described. As the monomer raw material, for example, a raw material having a cyclic organic silica structure represented by the following general formula (Formula 1) is used. Here, R1 and R2 are an unsaturated carbon compound containing a vinyl group or a saturated carbon compound containing an alkyl group, and the vinyl group is any one of a methyl group, an ethyl group, a propyl group, an isopropyl group, and a butyl group. The alkyl group is also a methyl group, an ethyl group, a propyl group, an isopropyl group, or a butyl group. R1 and R2 may be the same as or different from each other.

Figure 2011009556
Figure 2011009556

この環状有機シリカ構造は、より具体的には、例えば、下記式(式2)又は(式3)に示す構造であることが挙げられる。   More specifically, the cyclic organic silica structure is, for example, a structure represented by the following formula (Formula 2) or (Formula 3).

Figure 2011009556
Figure 2011009556

Figure 2011009556
Figure 2011009556

原料圧送部102から圧送される圧送ガスによりリザーバー101からモノマー原料が送り出され、その流量は液体マスフローコントローラー104により制御される。一方、キャリアガス供給部103からはキャリアガスが供給され、その流量はガスマスフローコントローラー105によって制御される。モノマー原料とキャリガスは、例えば、気化器106の直前で互いに混合された後、気化器106内に導入される。気化器106内には加熱されたヒータブロック(図示せず)が存在し、液体のモノマー原料は、このヒータブロックにおいて気化され反応容器107に導入される。反応容器107内では例えば13.56MHzの高周波電力により、気化したモノマー原料とキャリアガスはプラズマ化し、プラズマ重合により基板108上に炭素リッチSiOCH膜が成膜される。原料モノマーの供給量は0.1g/min以上10g/min以下であることが好ましく、2g/min以下であることがさらに好ましい。キャリアガスであるHeの供給量は50sccm以上5000sccm以下であることが好ましく、2000sccm以下であることがさらに好ましい。反応容器107内の圧力は133〜1333Paであることが好ましい。RF電源109の出力は2000W以下であることが好ましく、1000W以下であることがさらに好ましい。   The monomer raw material is sent out from the reservoir 101 by the pumping gas pumped from the raw material pumping unit 102, and the flow rate thereof is controlled by the liquid mass flow controller 104. On the other hand, carrier gas is supplied from the carrier gas supply unit 103, and the flow rate is controlled by the gas mass flow controller 105. For example, the monomer material and the carrier gas are mixed with each other immediately before the vaporizer 106 and then introduced into the vaporizer 106. A heated heater block (not shown) exists in the vaporizer 106, and the liquid monomer raw material is vaporized in the heater block and introduced into the reaction vessel 107. In the reaction vessel 107, the vaporized monomer raw material and carrier gas are turned into plasma by high frequency power of 13.56 MHz, for example, and a carbon-rich SiOCH film is formed on the substrate 108 by plasma polymerization. The supply amount of the raw material monomer is preferably 0.1 g / min or more and 10 g / min or less, and more preferably 2 g / min or less. The supply amount of He as a carrier gas is preferably 50 sccm or more and 5000 sccm or less, and more preferably 2000 sccm or less. The pressure in the reaction vessel 107 is preferably 133 to 1333 Pa. The output of the RF power source 109 is preferably 2000 W or less, and more preferably 1000 W or less.

こうして、配線絶縁膜5を成膜することができる。なお、キャップ絶縁膜4、配線絶縁膜5及びSiOハードマスク6の成膜は、例えば、図4に示す成膜装置を用いて連続的に行うことができる。 Thus, the wiring insulating film 5 can be formed. The cap insulating film 4, the wiring insulating film 5, and the SiO 2 hard mask 6 can be continuously formed using, for example, a film forming apparatus shown in FIG.

次に、図3(b)に示すように、SiOハードマスク6上にレジストマスク7を所定のパターン形状に形成する。このレジストマスク7には、後工程で形成する各ビアホール8、9の開口径とそれぞれ対応する様々な内径の開口が形成されている。 Next, as shown in FIG. 3B, a resist mask 7 is formed in a predetermined pattern shape on the SiO 2 hard mask 6. The resist mask 7 has openings with various inner diameters corresponding to the opening diameters of the via holes 8 and 9 formed in a later process.

次に、レジストマスク7を介して該レジストマスク7の下地膜(SiOハードマスク6、配線絶縁膜5、及び、キャップ絶縁膜4)をドライエッチングすることによって、互いに開口径が異なるビアホール8、9を含む複数のビアホール8、9を形成する。 Next, by dry etching the underlying film (SiO 2 hard mask 6, wiring insulating film 5, and cap insulating film 4) of the resist mask 7 through the resist mask 7, via holes 8 having different opening diameters, A plurality of via holes 8 and 9 including 9 are formed.

ビアホール8、9を形成するためのドライエッチングは、Oを含有する混合ガスをエッチングガスとして用いて行う。詳細には、このドライエッチングは、第1混合ガスを用いる第1ドライエッチングと、第2混合ガスを用いる第2ドライエッチングと、を組み合わせて行う。第1及び第2混合ガスのうち、第1混合ガスは、相対的に開口径が小さいビアホール9のエッチングレートが、相対的に開口径が大きいビアホール8のエッチングレートよりも大きくなるように、相対的に低いO濃度に設定されている。逆に、第2混合ガスは、相対的に開口径が大きいビアホール8のエッチングレートが、相対的に開口径が小さいビアホール9のエッチングレートよりも大きくなるように、相対的に高いO濃度に設定されている。 Dry etching for forming the via holes 8 and 9 is performed using a mixed gas containing O 2 as an etching gas. Specifically, this dry etching is performed by combining the first dry etching using the first mixed gas and the second dry etching using the second mixed gas. Among the first and second mixed gases, the first mixed gas is relatively so that the etching rate of the via hole 9 having a relatively small opening diameter is larger than the etching rate of the via hole 8 having a relatively large opening diameter. Is set to a low O 2 concentration. Conversely, the second mixed gas has a relatively high O 2 concentration so that the etching rate of the via hole 8 having a relatively large opening diameter is larger than the etching rate of the via hole 9 having a relatively small opening diameter. Is set.

本実施形態の場合、例えば、図3(c)に示すように、先ず、開口径が相対的に小さいビアホール9を優先的に形成できる第1ドライエッチングを行う。この第1ドライエッチングは、複数のビアホール8、9のうち最も開口径が小さいビアホール(例えば、ビアホール9)が、キャップ絶縁膜4に達するか、又は、キャップ絶縁膜4の近傍に達する段階まで行う。この段階では、ビアホール9よりも開口径が相対的に大きいビアホール8の底は、ビアホール9の底よりも上に(浅く)位置している。なお、図3(c)には、最も開口径が小さいビアホール(例えば、ビアホール9)がキャップ絶縁膜4に達するまで第1ドライエッチングを行った状態を示す。   In the case of this embodiment, for example, as shown in FIG. 3C, first, dry etching that can preferentially form the via hole 9 having a relatively small opening diameter is performed. This first dry etching is performed until the via hole (for example, via hole 9) having the smallest opening diameter among the plurality of via holes 8 and 9 reaches the cap insulating film 4 or reaches the vicinity of the cap insulating film 4. . At this stage, the bottom of the via hole 8 having a relatively larger opening diameter than the via hole 9 is located (shallow) above the bottom of the via hole 9. FIG. 3C shows a state in which the first dry etching is performed until the via hole having the smallest opening diameter (for example, the via hole 9) reaches the cap insulating film 4.

次に、例えば、図3(d)に示すように、開口径が相対的に大きいビアホール8を優先的に形成できる第2ドライエッチングを行う。この第2ドライエッチングは、各ビアホール8、9のエッチングにおいて、キャップ絶縁膜4に達するオーバーエッチングが生じる段階まで(キャップ絶縁膜4の一部分もエッチングされるまで)行う。   Next, for example, as shown in FIG. 3D, second dry etching is performed in which the via hole 8 having a relatively large opening diameter can be formed preferentially. This second dry etching is performed until the over-etching that reaches the cap insulating film 4 occurs in the etching of the via holes 8 and 9 (until a part of the cap insulating film 4 is also etched).

第2ドライエッチングでは、開口径が大きいビアホール8のエッチングレートの方が、開口径が小さいビアホール9のエッチングレートよりも大きいことから、ビアホール9のオーバーエッチング中に、ビアホール8の深さをビアホール9と同程度の深さに追いつかせ、更に、ビアホール8のオーバーエッチングを行うことができる。その結果、図3(d)に示すように、各ビアホール8、9のオーバーエッチング量を互いに同程度にすることができる。なお、このように第2ドライエッチング後における各ビアホール8、9のオーバーエッチング量を互いに同程度にするためには、第1及び第2混合ガスの組成(特にO濃度)と、第1ドライエッチングを行う時間の長さと第2ドライエッチングを行う時間の長さとの比率と、を適宜に設定すると良い。 In the second dry etching, since the etching rate of the via hole 8 having a large opening diameter is larger than the etching rate of the via hole 9 having a small opening diameter, the depth of the via hole 8 is reduced during the over etching of the via hole 9. The via hole 8 can be over-etched. As a result, as shown in FIG. 3D, the overetching amounts of the via holes 8 and 9 can be made substantially equal to each other. In order to make the overetching amounts of the via holes 8 and 9 after the second dry etching similar to each other in this way, the composition of the first and second mixed gases (especially O 2 concentration) and the first dry etching The ratio of the length of time for performing the etching and the length of time for performing the second dry etching may be appropriately set.

このように第1ドライエッチングと第2ドライエッチングとを組み合わせてビアホール8、9をエッチングすることにより、配線絶縁膜として低炭素濃度SiOCH膜を用いる場合と同等の加工制御性及びパターン均一性を実現することができる。   By etching the via holes 8 and 9 by combining the first dry etching and the second dry etching in this way, processing controllability and pattern uniformity equivalent to the case of using a low carbon concentration SiOCH film as a wiring insulating film are realized. can do.

ここで、炭素リッチSiOCH膜(例えば、該炭素リッチSiOCH膜中のCとSiとの原子組成比(C/Si)が1よりも大きい)をエッチングする場合には、エッチングガス中の酸素濃度が高いほど、キャップ絶縁膜4に対する配線絶縁膜5のエッチング選択比を高めることができる。このため、第2のドライエッチングでは、開口径が相対的に小さいビアホール9において行われるオーバーエッチングのエッチングレートは小さくできる一方で、開口径が相対的に大きいビアホール8において行われる炭素リッチSiOCH膜のエッチングレートは大きくできるため、好適に、各ビアホール8、9のオーバーエッチング量を互いに同程度にすることができる。この場合、第1ドライエッチングでは、炭素リッチSiOCH膜の膜厚分布やマイクロローディング効果によるエッチングレート分布を考慮せずにエッチング量を設定することができる。また、この場合の第2のドライエッチングは、SiOCH膜の膜厚分布やマイクロローディング効果によるエッチングレート分布を補正するオーバーエッチングを行う工程を含むと言える。すなわち、第2ドライエッチングでは、例えば、開口径の小さいパターンにおいてオーバーエッチングを行っている間に、開口径の大きいパターンでのエッチングが進行し、開口径の大きいパターンでのエッチング深さが開口径の小さいパターンでのエッチング深さに追いつく。   Here, when etching a carbon-rich SiOCH film (for example, the atomic composition ratio (C / Si) of C and Si in the carbon-rich SiOCH film is larger than 1), the oxygen concentration in the etching gas is The higher the etching selectivity of the wiring insulating film 5 relative to the cap insulating film 4 is, the higher it is. Therefore, in the second dry etching, the etching rate of overetching performed in the via hole 9 having a relatively small opening diameter can be reduced, while the carbon-rich SiOCH film formed in the via hole 8 having a relatively large opening diameter is used. Since the etching rate can be increased, the overetching amounts of the via holes 8 and 9 can be preferably made equal to each other. In this case, in the first dry etching, the etching amount can be set without considering the film thickness distribution of the carbon-rich SiOCH film and the etching rate distribution due to the microloading effect. Further, it can be said that the second dry etching in this case includes a step of performing overetching for correcting the film thickness distribution of the SiOCH film and the etching rate distribution due to the microloading effect. That is, in the second dry etching, for example, while performing over-etching in a pattern with a small opening diameter, etching with a pattern with a large opening diameter proceeds, and the etching depth in the pattern with a large opening diameter is the opening diameter. Keep up with the etching depth of small patterns.

換言すれば、第2ドライエッチングで発生するエッチングレートの不均衡を予め第1ドライエッチングによって補正した後で、第2ドライエッチングを実施することにより、第2ドライエッチング後のエッチング深さを開口径に依らず同程度に揃えることができる。   In other words, after correcting the imbalance of the etching rate generated in the second dry etching in advance by the first dry etching, the etching depth after the second dry etching is set to the opening diameter by performing the second dry etching. It is possible to make the same level regardless of

次に、第1及び第2混合ガスとは異なる組成のエッチングガスを用いたドライエッチングを行うことにより、キャップ絶縁膜4にキャップ開口部11、12(図3(e))を形成する。ここで用いるエッチングガスは、SiOCH膜に対するキャップ絶縁膜4のエッチング選択比が高くなるガスである。   Next, cap openings 11 and 12 (FIG. 3E) are formed in the cap insulating film 4 by performing dry etching using an etching gas having a composition different from that of the first and second mixed gases. The etching gas used here is a gas that increases the etching selectivity of the cap insulating film 4 to the SiOCH film.

このようにキャップ開口部11、12を形成することにより、キャップ絶縁膜4を介して下層Cu配線3の表面が露出した状態となる。ここで、キャップ開口部11、12の形成用のドライエッチングを行う前の段階では、ビアホール8、9の底に位置するキャップ絶縁膜4の残膜の厚さは、ビアホール8、9の開口径に依らず同等である。このため、開口径が大きいビアホール8の直下のキャップ開口部11と、開口径が小さいビアホール9の直下のキャップ開口部12は、ほぼ同時に開口される。よって、キャップ開口部11、12の形成用のドライエッチングによって下層Cu配線3が受けるオーバーエッチング量及びダメージも、ビアホール8、9の開口径に依らず同等となる。従って、下層Cu配線3の表面組成及び表面形状は、各ビアホール8、9の開口径に依存することなく均質となる。   By forming the cap openings 11 and 12 in this way, the surface of the lower layer Cu wiring 3 is exposed through the cap insulating film 4. Here, before the dry etching for forming the cap openings 11 and 12 is performed, the thickness of the remaining film of the cap insulating film 4 located at the bottom of the via holes 8 and 9 is the opening diameter of the via holes 8 and 9. It is equivalent regardless of. For this reason, the cap opening 11 immediately below the via hole 8 having a large opening diameter and the cap opening 12 directly below the via hole 9 having a small opening diameter are opened almost simultaneously. Therefore, the amount of overetching and damage received by the lower layer Cu wiring 3 due to the dry etching for forming the cap openings 11 and 12 are equal regardless of the opening diameters of the via holes 8 and 9. Therefore, the surface composition and the surface shape of the lower layer Cu wiring 3 are uniform without depending on the opening diameters of the via holes 8 and 9.

次に、図3(e)に示すように、レジストマスク7を除去した後、配線溝形成用のレジストマスク10をフォトリソグラフィー法により形成する。次に、図3(f)に示すように、このレジストマスク10を介して配線絶縁膜5をドライエッチングすることにより配線溝16、17を形成した後、レジストマスク10を除去する。   Next, as shown in FIG. 3E, after removing the resist mask 7, a resist mask 10 for forming a wiring groove is formed by a photolithography method. Next, as shown in FIG. 3F, wiring trenches 16 and 17 are formed by dry etching the wiring insulating film 5 through the resist mask 10, and then the resist mask 10 is removed.

次に、薬液処理により、ビアホール8、9及びキャップ開口部11、12を介して、下層Cu配線3の表面のCu酸化物やエッチング生成物などを除去し、この表面を清浄にする。この薬液処理に用いる薬液は、フッ素を含有することが好ましい。上述のように、キャップ開口部11、12を介した下層Cu配線3のオーバーエッチング量は、ビアホール8、9の開口径及び密度に依らず、ウェハの全面において同等となる。このため、薬液処理による洗浄効果も、ビアホール8、9の開口径及び密度に依らずウェハの全面で同等である。よって、この段階でも、キャップ開口部11、12の直下の下層Cu配線3の表面組成及び表面形状は、ビアホール8、9の開口径及び密度に依らずウェハ面内で均質となる。   Next, Cu oxide, etching products, and the like on the surface of the lower layer Cu wiring 3 are removed through the via holes 8 and 9 and the cap openings 11 and 12 by chemical treatment, and the surface is cleaned. The chemical solution used for this chemical treatment preferably contains fluorine. As described above, the amount of over-etching of the lower layer Cu wiring 3 through the cap openings 11 and 12 is the same over the entire surface of the wafer regardless of the opening diameters and densities of the via holes 8 and 9. For this reason, the cleaning effect by the chemical treatment is also the same over the entire surface of the wafer regardless of the opening diameter and density of the via holes 8 and 9. Therefore, even at this stage, the surface composition and surface shape of the lower layer Cu wiring 3 immediately below the cap openings 11 and 12 are uniform in the wafer plane regardless of the opening diameter and density of the via holes 8 and 9.

本実施形態では、このようにキャップ開口部11、12の直下の下層Cu配線3の表面組成及び表面形状が均質となるような制御ができるので、ビア(後述する金属層14の一部分)と下層Cu配線3との接続部における抵抗のバラツキを抑制することが可能である。   In the present embodiment, since the surface composition and surface shape of the lower layer Cu wiring 3 immediately below the cap openings 11 and 12 can be controlled in this way, a via (a part of a metal layer 14 described later) and a lower layer can be controlled. It is possible to suppress variation in resistance at the connection portion with the Cu wiring 3.

次に、図3(g)に示すように、ウェハ表面の全面にイオン化スパッタ法によってTaN膜とTa膜との積層構造のバリアメタル13を形成し、更に、このバリアメタル13上にCu薄膜(図示略)を形成する。次に、このCu薄膜を電極として電界めっき法によってCuまたはCu合金により構成される金属層14を埋め込む。その後、Cu粒成長のための熱処理を行った後、図3(h)に示すように、余剰の金属層14、バリアメタル13及びSiOハードマスク6をCMPにより除去し、配線絶縁膜5の表面を露出させる。こうして、ビア及び上層Cu配線を形成することができる。すなわち、金属層14のうち、ビアホール8、9内に埋め込まれた部分がビアを構成し、配線溝16、17内に埋め込まれた部分が上層Cu配線を構成する。 Next, as shown in FIG. 3G, a barrier metal 13 having a stacked structure of a TaN film and a Ta film is formed on the entire surface of the wafer by ionization sputtering, and a Cu thin film ( (Not shown). Next, the metal layer 14 made of Cu or Cu alloy is embedded by electroplating using this Cu thin film as an electrode. Thereafter, after heat treatment for Cu grain growth, as shown in FIG. 3 (h), the excess metal layer 14, the barrier metal 13 and the SiO 2 hard mask 6 are removed by CMP, and the wiring insulating film 5 is formed. Expose the surface. In this way, a via and an upper layer Cu wiring can be formed. That is, portions of the metal layer 14 embedded in the via holes 8 and 9 constitute a via, and portions embedded in the wiring grooves 16 and 17 constitute an upper layer Cu wiring.

次に、図3(i)に示すように、金属層14上及び配線絶縁膜5上にキャップ絶縁膜15を形成する。このキャップ絶縁膜15は、キャップ絶縁膜4と同様の材料により構成することができ、例えば、SiCN膜とすることができる。こうして、下層Cu配線3と上層Cu配線(配線溝16、17内の金属層14)との2層の配線層を有する半導体装置を製造することができる。   Next, as shown in FIG. 3I, a cap insulating film 15 is formed on the metal layer 14 and the wiring insulating film 5. The cap insulating film 15 can be made of the same material as the cap insulating film 4, and can be, for example, a SiCN film. In this way, a semiconductor device having two wiring layers of the lower layer Cu wiring 3 and the upper layer Cu wiring (the metal layer 14 in the wiring grooves 16 and 17) can be manufactured.

ここで、ビアホール8、9の下の下層Cu配線3の表層の状態として、組成、酸化状態、炭素などの不純物濃度については、TEM−EELS(透過電子顕微鏡(TEM:Transmission Electron Microscope)及び電子エネルギー損失分光法(EELS:Electron Energy−Loss Spectroscopy))などにより観測することができる。本実施形態の製造方法によって製造された半導体装置に対して、このような観測を行った場合には、スリットビアやリングシールといった開口径が大きなビアホール8も含め、各々のビアホール8、9の直下の下層Cu配線3の表層の組成がほぼ均一であることを観測することができる。   Here, as the state of the surface layer of the lower layer Cu wiring 3 under the via holes 8 and 9, the TEM-EELS (TEM: Transmission Electron Microscope) and the electron energy are used for the composition, the oxidation state, and the impurity concentration such as carbon. It can be observed by loss spectroscopy (EELS: Electron Energy-Loss Spectroscopy). When such an observation is performed on the semiconductor device manufactured by the manufacturing method according to the present embodiment, the via hole 8 having a large opening diameter such as a slit via or a ring seal is directly below each of the via holes 8 and 9. It can be observed that the composition of the surface layer of the lower Cu wiring 3 is almost uniform.

以下、上述したような本実施形態に係る半導体装置の製造方法のより具体的な例を説明する。   Hereinafter, a more specific example of the semiconductor device manufacturing method according to the present embodiment as described above will be described.

先ず、配線絶縁膜5としての炭素リッチSiOCH膜は、上記式(式2)に示す環状有機シリカ構造を含む原料を用いて、膜厚200nmとなるように、上述した成膜装置(図4)を用いたプラズマCVD法により形成する。炭素リッチSiOCH膜の比誘電率は、2.5である。このように配線絶縁膜5を形成した後は、引き続き、同一の成膜装置(図4)内で処理時間15〜30秒のHeプラズマ処理を行う。このHeプラズマ処理は、配線絶縁膜5の表層に表面改質層を形成することを目的として行う。更に、引き続き、同一の成膜装置(図4)内でSiOハードマスク6として厚さ200nmのSiO膜をSiHをソースガスとして用いたプラズマCVD法により成膜する。或いは、このSiO膜の成膜にはTEOS(テトラエトキシシラン)をソースガスに用いても良い。なお、Heプラズマ処理による表面改質層の形成と、SiOハードマスク6の成膜とは別の成膜装置で行っても良い。次に、フォトリソグラフィーによりビアホール加工用のレジストマスク7を形成する(図3(b))。 First, the carbon-rich SiOCH film as the wiring insulating film 5 is formed by using the raw material including the cyclic organic silica structure shown in the above formula (Formula 2) so as to have a film thickness of 200 nm (see FIG. 4). It is formed by a plasma CVD method using The relative dielectric constant of the carbon-rich SiOCH film is 2.5. After the wiring insulating film 5 is formed in this way, a He plasma treatment is subsequently performed in the same film forming apparatus (FIG. 4) for a treatment time of 15 to 30 seconds. This He plasma treatment is performed for the purpose of forming a surface modification layer on the surface layer of the wiring insulating film 5. Further, subsequently, a SiO 2 film having a thickness of 200 nm is formed as a SiO 2 hard mask 6 by the plasma CVD method using SiH 4 as a source gas in the same film forming apparatus (FIG. 4). Alternatively, TEOS (tetraethoxysilane) may be used as a source gas for forming the SiO 2 film. The formation of the surface modification layer by the He plasma treatment and the film formation of the SiO 2 hard mask 6 may be performed by different film forming apparatuses. Next, a resist mask 7 for processing a via hole is formed by photolithography (FIG. 3B).

次に、ドライエッチングによりビアホール8、9を形成する(ビアホール形成工程を行う)。   Next, via holes 8 and 9 are formed by dry etching (a via hole forming step is performed).

このビアホール形成工程では、先ず、低酸素流量(第2ドライエッチングよりも酸素流量が少ない条件)で第1ドライエッチングを行い、エッチングレートが大きいビアホール9をキャップ絶縁膜4に達するまで形成する。なお、図3(c)では、ビアホール8、9はそれぞれ孤立した疎パターンになっているが、複数のビアホール8、9が密集した密パターンとなっていても良い。第1ドライエッチングのエッチング条件は、例えば、Ar:400〜800sccm、N:100〜500sccm、CF:20〜60sccm、O:5〜15sccm、圧力:15〜30mtorr、RFパワー:500〜1500W、時間:10〜60秒に設定することが好ましい。この第1ドライエッチングで用いるエッチンガス中のO濃度は1.2体積%未満とする。 In this via hole forming step, first, the first dry etching is performed at a low oxygen flow rate (a condition where the oxygen flow rate is lower than that of the second dry etching), and the via hole 9 having a high etching rate is formed until the cap insulating film 4 is reached. In FIG. 3C, the via holes 8 and 9 have an isolated sparse pattern, but may be a dense pattern in which a plurality of via holes 8 and 9 are densely packed. Etching conditions for the first dry etching are, for example, Ar: 400 to 800 sccm, N 2 : 100 to 500 sccm, CF 4 : 20 to 60 sccm, O 2 : 5 to 15 sccm, pressure: 15 to 30 mtorr, RF power: 500 to 1500 W. Time: It is preferable to set to 10 to 60 seconds. The O 2 concentration in the etchant gas used in the first dry etching is less than 1.2% by volume.

次に、高酸素流量(第1ドライエッチングよりも酸素流量が多い条件)での第2ドライエッチングを、各ビアホール8、9の底部においてキャップ絶縁膜4が例えば5〜15nm程度オーバーエッチングされるまで行う(図3(d))。ここで、高酸素流量のエッチング条件の方が、低酸素流量のエッチング条件よりも、SiOCH膜とキャップ絶縁膜4でのエッチングの選択比が大きい。つまり、キャップ絶縁膜4よりもSiOCH膜の方が優先的にエッチングされる。このため、図3(c)の工程とは反対に、開口径が大きいビアホール8のエッチングレートが大きい。この結果、互いに開口径が異なるビアホール8とビアホール9のエッチング深さが揃う。第2ドライエッチングのエッチング条件は、例えば、Ar:400〜800sccm、N:100〜500sccm、CF:20〜60sccm、O:16〜30sccm、圧力:15〜30mtorr、RFパワー:500〜1500W、時間:10〜60秒に設定することが好ましい。この第2ドライエッチングで用いるエッチンガス中のO濃度は1.2体積%以上とする。 Next, second dry etching at a high oxygen flow rate (conditions where the oxygen flow rate is higher than that of the first dry etching) is performed until the cap insulating film 4 is over-etched, for example, by about 5 to 15 nm at the bottom of each via hole 8 and 9. Perform (FIG. 3D). Here, the etching conditions with a high oxygen flow rate have a higher etching selectivity between the SiOCH film and the cap insulating film 4 than the etching conditions with a low oxygen flow rate. That is, the SiOCH film is preferentially etched over the cap insulating film 4. For this reason, contrary to the step of FIG. 3C, the etching rate of the via hole 8 having a large opening diameter is large. As a result, the etching depths of the via hole 8 and the via hole 9 having different opening diameters are aligned. Etching conditions for the second dry etching are, for example, Ar: 400 to 800 sccm, N 2 : 100 to 500 sccm, CF 4 : 20 to 60 sccm, O 2 : 16 to 30 sccm, pressure: 15 to 30 mtorr, RF power: 500 to 1500 W. Time: It is preferable to set to 10 to 60 seconds. The O 2 concentration in the etchant gas used in the second dry etching is 1.2% by volume or more.

ここで、キャップ絶縁膜4は、例えば、SiCN膜とSiC膜との何れかであるか、又は、これらの積層膜であることが挙げられる。或いは、キャップ絶縁膜4は、不飽和炭化水素膜とアモルファスカーボン膜とを有するシリカカーボン複合膜(SCC:Silica−carbon−composite)であっても良いし、このシリカカーボン複合膜と、SiCN膜又はSiC膜と、の積層膜であっても良いし、或いは、このシリカカーボン複合膜と、SiCN膜及びSiC膜と、の積層膜であっても良い。ここで、SCC膜とは、下記一般式(式4)に示す直鎖状有機シリカ原料をプラズマ重合して得られる膜であり、Si:O:C=1:1:1.2である。   Here, the cap insulating film 4 is, for example, either a SiCN film or a SiC film, or a laminated film thereof. Alternatively, the cap insulating film 4 may be a silica-carbon composite film (SCC: Silica-carbon-composite) having an unsaturated hydrocarbon film and an amorphous carbon film, and the silica-carbon composite film and the SiCN film or A laminated film of an SiC film may be used, or a laminated film of the silica carbon composite film, an SiCN film and an SiC film may be used. Here, the SCC film is a film obtained by plasma polymerization of a linear organic silica raw material represented by the following general formula (Formula 4), and Si: O: C = 1: 1: 1.2.

Figure 2011009556
ここで、Rは、アルキル基を含有する飽和炭素化合物であり、具体的には、例えば、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基などである。
Figure 2011009556
Here, R is a saturated carbon compound containing an alkyl group, and specifically, for example, a methyl group, an ethyl group, a propyl group, an isopropyl group, a butyl group, or the like.

次に、キャップ絶縁膜4にキャップ開口部11、12を形成して下層Cu配線3の表面を露出させた後、レジストマスク10を形成し、このレジストマスク10を介したドライエッチングにより配線絶縁膜5に配線溝16、17を形成する(図3(e)、図3(f))。   Next, cap openings 11 and 12 are formed in the cap insulating film 4 to expose the surface of the lower layer Cu wiring 3, and then a resist mask 10 is formed, and the wiring insulating film is formed by dry etching through the resist mask 10. 5, wiring grooves 16 and 17 are formed (FIGS. 3E and 3F).

次に、フッ素を含む薬液を用いた薬液処理により、ビアホール8、9及びキャップ開口部11、12を介して、下層Cu配線3の表面を清浄にする。   Next, the surface of the lower layer Cu wiring 3 is cleaned through the via holes 8 and 9 and the cap openings 11 and 12 by a chemical treatment using a chemical solution containing fluorine.

次に、ウェハ表面の全面にイオン化スパッタ法によってTaN膜とTa膜のバリアメタル13及びCu薄膜(図示略)を形成し、このCu膜を電極として電界めっき法によってCuまたはCu合金により構成される金属層14を埋め込む(図3(g))。   Next, a TaN film, a Ta metal barrier metal 13 and a Cu thin film (not shown) are formed on the entire surface of the wafer by ionization sputtering, and Cu or Cu alloy is formed by electroplating using this Cu film as an electrode. The metal layer 14 is embedded (FIG. 3G).

次に、Cu粒成長のために窒素雰囲気中で350℃、2分間の熱処理をした後、余剰の金属層14をCMPにより除去する。そして、スラリー及び研磨ヘッドを変えて、余剰のバリアメタル13、及び、SiOハードマスク6をCMPにより除去し、配線絶縁膜5の表面を露出させる(図3(h))。 Next, heat treatment is performed at 350 ° C. for 2 minutes in a nitrogen atmosphere for Cu grain growth, and then the excess metal layer 14 is removed by CMP. Then, the surplus barrier metal 13 and the SiO 2 hard mask 6 are removed by CMP by changing the slurry and the polishing head, and the surface of the wiring insulating film 5 is exposed (FIG. 3H).

次に、金属層14上及び配線絶縁膜5上の全面にキャップ絶縁膜15としてキャップ絶縁膜4と同様の材料の膜(例えば、SiCN膜)を形成する(図3(i))。こうして、配線絶縁膜5として炭素リッチSiOCH膜を用い、下層Cu配線3と上層Cu配線(配線溝16、17内の金属層14)との2層の配線層を有する半導体装置を製造することができる。   Next, a film (for example, a SiCN film) of the same material as the cap insulating film 4 is formed as a cap insulating film 15 on the entire surface of the metal layer 14 and the wiring insulating film 5 (FIG. 3I). In this way, a semiconductor device having a two-layer wiring layer of the lower layer Cu wiring 3 and the upper layer Cu wiring (the metal layer 14 in the wiring grooves 16 and 17) using the carbon-rich SiOCH film as the wiring insulating film 5 can be manufactured. it can.

ここで、配線絶縁膜5を構成する炭素リッチSiOCH膜としてはCの含有量が多いものが好ましい。具体的には、炭素リッチSiOCH膜中のCとSiとの原子組成比(C/Si)が1以上であることが好ましい。C含有量の多い膜は酸素ガスに対するエッチング反応が顕著であり、エッチング生成物である酸素を利用したエッチングレートの制御が容易となる。また、キャップ絶縁膜4に対する炭素組成の相違が大きくなる。すなわち、配線絶縁膜5中のCとSiとの原子組成比(C/Si)>>キャップ絶縁膜4中のCとSiとの原子組成比(C/Si)×1/2となり、配線絶縁膜5とキャップ絶縁膜4とのエッチング選択性が向上するため加工選択性が向上する。   Here, the carbon-rich SiOCH film constituting the wiring insulating film 5 is preferably one having a high C content. Specifically, the atomic composition ratio (C / Si) between C and Si in the carbon-rich SiOCH film is preferably 1 or more. A film having a high C content has a remarkable etching reaction with respect to oxygen gas, and it becomes easy to control the etching rate using oxygen as an etching product. Further, the difference in carbon composition with respect to the cap insulating film 4 becomes large. That is, the atomic composition ratio (C / Si) of C and Si in the wiring insulating film 5 >> the atomic composition ratio of C and Si in the cap insulating film 4 (C / Si) × 1/2. Since the etching selectivity between the film 5 and the cap insulating film 4 is improved, the processing selectivity is improved.

図5は開口径が互いに異なるビアホール8、9を同時にドライエッチングした場合のそれぞれのエッチングレートを示している。図5から、酸素流量が約15sccm以上では開口径大(ビアホール8)の方がエッチングレートが大きく、酸素流量が約15sccm未満では開口径小(ビアホール9)の方がエッチングレートが大きく、酸素流量が約15sccmの部分で開口径大(ビアホール8)と開口径小(ビアホール9)のエッチングレートが入れ替わることが分かる。このときの酸素流量以外のエッチング条件は、例えば、Ar:800sccm、N:400sccm、CF:60sccm、圧力:30mtorr、RFパワー:500〜1200Wである。この条件では、酸素流量15sccmは全体の1.2体積%となる。また、図6は、この条件で、且つ、酸素流量を15sccmとして、開口径が互いに異なるビアホールを同時にドライエッチングした場合の断面を示す写真である。図6から、ビアホールの開口径に関わらず、エッチングレートが同じであることが分かる。 FIG. 5 shows respective etching rates when the via holes 8 and 9 having different opening diameters are simultaneously dry-etched. From FIG. 5, when the oxygen flow rate is about 15 sccm or more, the larger opening diameter (via hole 8) has a larger etching rate, and when the oxygen flow rate is less than about 15 sccm, the smaller opening diameter (via hole 9) has a larger etching rate. It can be seen that the etching rate of the large opening diameter (via hole 8) and the small opening diameter (via hole 9) are interchanged at a portion of about 15 sccm. Etching conditions other than the oxygen flow rate at this time are, for example, Ar: 800 sccm, N 2 : 400 sccm, CF 4 : 60 sccm, pressure: 30 mtorr, and RF power: 500 to 1200 W. Under this condition, the oxygen flow rate of 15 sccm is 1.2% by volume of the whole. Further, FIG. 6 is a photograph showing a cross section when the via holes having different opening diameters are simultaneously dry-etched under this condition and with an oxygen flow rate of 15 sccm. FIG. 6 shows that the etching rate is the same regardless of the opening diameter of the via hole.

次に、図7を参照して、上述した第1ドライエッチング(低酸素流量であり、開口径が小さいビアホール9のエッチングレートの方が、ビアホール8のエッチングレートよりも大きいドライエッチング)において、酸素流量を10sccmとした場合について説明する。図7は、酸素流量を10sccmに固定にし、CF流量を変化させた場合の、SiOCH膜のエッチングのレートを示している。酸素流量が固定された場合、CF流量が基準値を超えた場合、エッチングレートが飽和することがわかる。SiOCH膜中のCとSiとの原子組成比(C/Si)が1を超える場合、SiOCH膜のエッチングにはOとFの両方が必要となり、どちらかが足りない場合、CやSiが残留してしまうためエッチングレートが上昇しなくなる。この現象を利用し、エッチング生成物であるOを利用することにより、ビアホール中のOの量を制御すれば、CFの供給量にパターン間差があったとしてもエッチングレートはパターン間で変化しない。そのためパターンの開口径や粗密が異なる場合でも、ビアホール加工におけるエッチングレートの制御が可能となる。 Next, referring to FIG. 7, in the above-described first dry etching (dry etching in which the etching rate of via hole 9 having a low oxygen flow rate and a small opening diameter is larger than the etching rate of via hole 8), A case where the flow rate is 10 sccm will be described. FIG. 7 shows the etching rate of the SiOCH film when the oxygen flow rate is fixed at 10 sccm and the CF 4 flow rate is changed. It can be seen that when the oxygen flow rate is fixed, the etching rate is saturated when the CF 4 flow rate exceeds the reference value. When the atomic composition ratio (C / Si) between C and Si in the SiOCH film exceeds 1, both O and F are required for etching the SiOCH film, and when either is insufficient, C or Si remains. As a result, the etching rate does not increase. By using this phenomenon and controlling the amount of O in the via hole by using O as an etching product, the etching rate changes between patterns even if there is a difference in the amount of CF 4 supplied between the patterns. do not do. Therefore, even when the pattern opening diameter and density are different, the etching rate in via hole processing can be controlled.

以上のような実施形態によれば、第1混合ガスを用いる第1ドライエッチングと、第2混合ガスを用いる第2ドライエッチングと、を適宜に組み合わせてビアホール形成工程を行うことによって、相対的に小さい開口径のビアホール9と、相対的に大きい開口径のビアホール8と、のエッチング深さを揃えることができる。つまり、第1ドライエッチングと第2ドライエッチングとを適宜に組み合わせて行うことによって、配線絶縁膜5としてSiOCH膜を用いる場合におけるビアホール8、9の加工制御性を、ビアホール8、9の開口径に依らず十分に確保することができる。これにより、ビアホール8、9の下層に位置する下層Cu配線3の表面の組成のバラツキを低減することができる。よって、ビアと下層Cu配線3との接続抵抗のバラツキを抑制し、半導体装置の信頼性及び歩留まりを向上させることができる。   According to the embodiment as described above, by performing the via hole forming step by appropriately combining the first dry etching using the first mixed gas and the second dry etching using the second mixed gas, The etching depths of the via hole 9 having a small opening diameter and the via hole 8 having a relatively large opening diameter can be made uniform. That is, by performing the first dry etching and the second dry etching in an appropriate combination, the processing controllability of the via holes 8 and 9 when the SiOCH film is used as the wiring insulating film 5 is set to the opening diameter of the via holes 8 and 9. Regardless, it can be secured sufficiently. Thereby, the variation of the composition of the surface of the lower layer Cu wiring 3 located in the lower layer of the via holes 8 and 9 can be reduced. Therefore, variation in connection resistance between the via and the lower layer Cu wiring 3 can be suppressed, and the reliability and yield of the semiconductor device can be improved.

すなわち、例えば図3(d)に示すように、ビアホール8、9に開口径の面内分布があっても、それらビアホール8、9の形成直後の段階では下層Cu配線3が確実にキャップ絶縁膜4で保護されることにより、ビアホール8、9の直下の下層Cu配線3への表面酸化や炭素によるコンタミネーションを好適に抑制できる。   That is, for example, as shown in FIG. 3D, even if the via holes 8 and 9 have an in-plane distribution of opening diameters, the lower layer Cu wiring 3 is surely connected to the cap insulating film immediately after the formation of the via holes 8 and 9. By being protected by 4, it is possible to suitably suppress surface oxidation and contamination by carbon to the lower layer Cu wiring 3 immediately below the via holes 8 and 9.

特に、配線絶縁膜5を構成する炭素リッチSiOCH膜中のCとSiとの原子組成比(C/Si)が1よりも大きい場合には、低消費電力かつ高信頼の半導体装置を高歩留まりで製造することができるとともに、キャップ絶縁膜4に対する配線絶縁膜5のエッチング選択性を高めることができる。   In particular, when the atomic composition ratio (C / Si) of C and Si in the carbon-rich SiOCH film constituting the wiring insulating film 5 is larger than 1, a semiconductor device with low power consumption and high reliability can be obtained with high yield. While being able to manufacture, the etching selectivity of the wiring insulating film 5 with respect to the cap insulating film 4 can be improved.

また、本実施形態に係る半導体装置の製造方法により、下層Cu配線3と、下層Cu配線3上に形成されている積層構造20と、を有し、積層構造20は、シリコン(Si)と炭素(C)とを含有するキャップ絶縁膜4と、キャップ絶縁膜4上に形成されている配線絶縁膜5としてのSiOCH膜と、を有し、このSiOCH膜は、該SiOCH膜中のCとSiとの原子組成比(C/Si)がキャップ絶縁膜4中のCとSiとの原子組成比(C/Si)の1/2よりも大きい炭素リッチSiOCH膜であり、積層構造20には、互いに開口径が異なるビアホール8、9を含む複数のビアホール8、9が、積層構造20を貫通して下層Cu配線3に達する深さに形成され、各ビアホール8、9内には下層Cu配線3に接続されたCuデュアルダマシン配線(金属層14)が形成され、各Cuデュアルダマシン配線(金属層14)に接する下層Cu配線3の表面組成が、各ビアホール8、9の開口径に依存することなく均質である半導体装置を製造することができる。逆に、このような特徴を有する半導体装置は、本実施形態に係る半導体装置の製造方法により製造された蓋然性が高いと言える。   In addition, the semiconductor device manufacturing method according to the present embodiment includes the lower layer Cu wiring 3 and the stacked structure 20 formed on the lower layer Cu wiring 3. The stacked structure 20 includes silicon (Si) and carbon. A cap insulating film 4 containing (C) and a SiOCH film as a wiring insulating film 5 formed on the cap insulating film 4, and this SiOCH film is composed of C and Si in the SiOCH film. Is a carbon-rich SiOCH film whose atomic composition ratio (C / Si) is larger than 1/2 of the atomic composition ratio (C / Si) of C and Si in the cap insulating film 4. A plurality of via holes 8 and 9 including via holes 8 and 9 having different opening diameters are formed to a depth reaching the lower layer Cu wiring 3 through the laminated structure 20, and the lower layer Cu wiring 3 is formed in each via hole 8 and 9. Cu dual dashes connected to A semiconductor device in which a thin wiring (metal layer 14) is formed and the surface composition of the lower Cu wiring 3 in contact with each Cu dual damascene wiring (metal layer 14) is uniform without depending on the opening diameters of the via holes 8 and 9 Can be manufactured. Conversely, it can be said that the semiconductor device having such characteristics has a high probability of being manufactured by the method of manufacturing a semiconductor device according to the present embodiment.

また、上記の実施形態では、配線層が下層Cu配線と上層Cu配線との2層だけの例を説明したが、3層以上であっても良いのは勿論である。   In the above embodiment, an example in which the wiring layer has only two layers of the lower layer Cu wiring and the upper layer Cu wiring has been described, but it is needless to say that there may be three or more layers.

1 SiOCH配線層間膜
2 バリアメタル
3 下層Cu配線
4 キャップ絶縁膜
5 配線絶縁膜
6 SiOハードマスク
7 レジストマスク
8 ビアホール
9 ビアホール
10 レジストマスク
11 キャップ開口部
12 キャップ開口部
13 バリアメタル
14 金属層
15 キャップ絶縁膜
16 配線溝
17 配線溝
20 積層構造
21 活性酸素
22 活性酸素
101 リザーバー
102 原料圧送部
103 キャリアガス供給部
104 液体マスフローコントローラー
105 ガスマスフローコントローラー
106 気化器
107 反応容器
108 基板
109 RF電源
110 排気ポンプ
DESCRIPTION OF SYMBOLS 1 SiOCH wiring interlayer film 2 Barrier metal 3 Lower layer Cu wiring 4 Cap insulating film 5 Wiring insulating film 6 SiO 2 hard mask 7 Resist mask 8 Via hole 9 Via hole 10 Resist mask 11 Cap opening part 12 Cap opening part 13 Barrier metal 14 Metal layer 15 Cap insulating film 16 Wiring groove 17 Wiring groove 20 Laminated structure 21 Active oxygen 22 Active oxygen 101 Reservoir 102 Raw material pumping section 103 Carrier gas supply section 104 Liquid mass flow controller 105 Gas mass flow controller 106 Vaporizer 107 Reaction vessel 108 Substrate 109 RF power supply 110 Exhaust pump

Claims (17)

下層Cu配線上に形成されている積層構造に、第1及び第2開口径のビアホールを含む複数のビアホールを形成するビアホール形成工程を有し、
前記積層構造は、シリコン(Si)と炭素(C)とを含有するキャップ絶縁膜と、前記キャップ絶縁膜上に形成されている配線絶縁膜としてのSiOCH膜と、を有し、
前記ビアホール形成工程では、第1混合ガスをエッチングガスとして用いる第1ドライエッチングと、第2混合ガスをエッチングガスとして用いる第2ドライエッチングと、を組み合わせることによって、前記配線絶縁膜に前記複数のビアホールを形成し、
前記第1及び第2混合ガスの各々は、CF系ガスとCHF系ガスとのうちの少なくとも一方のガスと、Oガスと、を含有し、
前記第1混合ガスのO濃度は、前記第1及び第2開口径のうち相対的に小さい第1開口径のビアホールのエッチングレートが、相対的に大きい第2開口径のビアホールのエッチングレートよりも大きくなるように設定され、
前記第2混合ガスのO濃度は、前記第2開口径のビアホールのエッチングレートが、前記第1開口径のビアホールのエッチングレートよりも大きくなるように設定されていることを特徴とする半導体装置の製造方法。
A via hole forming step of forming a plurality of via holes including via holes having first and second opening diameters in the laminated structure formed on the lower layer Cu wiring;
The stacked structure includes a cap insulating film containing silicon (Si) and carbon (C), and a SiOCH film as a wiring insulating film formed on the cap insulating film,
In the via hole forming step, the first dry etching using a first mixed gas as an etching gas and the second dry etching using a second mixed gas as an etching gas are combined to form the plurality of via holes in the wiring insulating film. Form the
Each of the first and second mixed gases contains at least one gas of CF-based gas and CHF-based gas, and O 2 gas,
The O 2 concentration of the first mixed gas is higher than the etching rate of a via hole having a relatively large second opening diameter, which is a relatively small first opening diameter of the first and second opening diameters. Is set to be large,
The O 2 concentration of the second mixed gas is set so that the etching rate of the via hole having the second opening diameter is larger than the etching rate of the via hole having the first opening diameter. Manufacturing method.
前記ビアホール形成工程では、前記第1ドライエッチングと前記第2ドライエッチングとをこの順に行い、
前記第1ドライエッチングは、前記第1開口径のビアホールが、前記キャップ絶縁膜に達するか、又は、前記キャップ絶縁膜の近傍に達する段階まで行い、
前記第2ドライエッチングは、各ビアホールにおいて前記キャップ絶縁膜に対するオーバーエッチングが生じる段階まで行うことを特徴とする請求項1に記載の半導体装置の製造方法。
In the via hole forming step, the first dry etching and the second dry etching are performed in this order,
The first dry etching is performed until the via hole having the first opening diameter reaches the cap insulating film or reaches the vicinity of the cap insulating film,
2. The method of manufacturing a semiconductor device according to claim 1, wherein the second dry etching is performed until an over-etching of the cap insulating film occurs in each via hole.
前記配線絶縁膜としての前記SiOCH膜は、該SiOCH膜中のCとSiとの原子組成比(C/Si)が前記キャップ絶縁膜中のCとSiとの原子組成比(C/Si)の1/2よりも大きい炭素リッチSiOCH膜であることを特徴とする請求項1又は2に記載の半導体装置の製造方法。   The SiOCH film as the wiring insulating film has an atomic composition ratio (C / Si) of C and Si in the SiOCH film of an atomic composition ratio (C / Si) of C and Si in the cap insulating film. The method for manufacturing a semiconductor device according to claim 1, wherein the film is a carbon-rich SiOCH film larger than ½. 前記第1混合ガスにおけるO濃度は1.2体積%未満であり、
前記第2混合ガスにおけるO濃度は1.2体積%以上であることを特徴とする請求項1乃至3の何れか一項に記載の半導体装置の製造方法。
The O 2 concentration in the first mixed gas is less than 1.2% by volume;
4. The method of manufacturing a semiconductor device according to claim 1, wherein the O 2 concentration in the second mixed gas is 1.2% by volume or more. 5.
前記第1及び第2混合ガスの各々は、ArとNのうちの少なくとも一方のガスを含有することを特徴とする請求項1乃至4の何れか一項に記載の半導体装置の製造方法。 5. The method of manufacturing a semiconductor device according to claim 1, wherein each of the first and second mixed gases contains at least one of Ar and N 2 . 前記SiOCH膜中のCとSiとの原子組成比(C/Si)が1よりも大きいことを特徴とする請求項1乃至5の何れか一項に記載の半導体装置の製造方法。   6. The method of manufacturing a semiconductor device according to claim 1, wherein an atomic composition ratio (C / Si) of C and Si in the SiOCH film is larger than 1. 6. 前記SiOCH膜は複数の空孔を有し、
前記空孔の径の平均が0.8nm未満であることを特徴とする請求項1乃至6の何れか一項に記載の半導体装置の製造方法。
The SiOCH film has a plurality of holes,
The method for manufacturing a semiconductor device according to claim 1, wherein an average diameter of the holes is less than 0.8 nm.
前記SiOCH膜の前記空孔は互いに独立に配置されていることを特徴とする請求項7に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 7, wherein the holes of the SiOCH film are arranged independently of each other. 前記キャップ絶縁膜は、SiCN膜とSiC膜との何れかであるか、又は、これらの積層膜であることを特徴とする請求項1乃至8の何れか一項に記載の半導体装置の製造方法。   9. The method of manufacturing a semiconductor device according to claim 1, wherein the cap insulating film is one of a SiCN film and a SiC film, or a laminated film thereof. . 前記キャップ絶縁膜は、
不飽和炭化水素膜とアモルファスカーボン膜とを有するシリカカーボン複合膜、
前記シリカカーボン複合膜と、SiCN膜又はSiC膜と、の積層膜、
或いは、前記シリカカーボン複合膜と、SiCN膜及びSiC膜と、の積層膜であることを特徴とする請求項1乃至8の何れか一項に記載の半導体装置の製造方法。
The cap insulating film is
A silica carbon composite film having an unsaturated hydrocarbon film and an amorphous carbon film,
A laminated film of the silica carbon composite film and a SiCN film or a SiC film,
Alternatively, the semiconductor device manufacturing method according to claim 1, wherein the semiconductor device is a laminated film of the silica carbon composite film, a SiCN film, and a SiC film.
前記SiOCH膜は、下記一般式(式1)に示す環状有機シリカ構造を有することを特徴とする請求項1乃至10の何れか一項に記載の半導体装置の製造方法。
Figure 2011009556
ここで、R1、R2はビニル基を含有する不飽和炭素化合物又はアルキル基を含有する飽和炭素化合物であり、前記ビニル基は、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであり、前記アルキル基は、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであり、R1とR2とは互いに同一であっても互いに異なっていても良い。
11. The method of manufacturing a semiconductor device according to claim 1, wherein the SiOCH film has a cyclic organic silica structure represented by the following general formula (formula 1).
Figure 2011009556
Here, R1 and R2 are an unsaturated carbon compound containing a vinyl group or a saturated carbon compound containing an alkyl group, and the vinyl group is any one of a methyl group, an ethyl group, a propyl group, an isopropyl group, and a butyl group. The alkyl group is any one of a methyl group, an ethyl group, a propyl group, an isopropyl group, and a butyl group, and R1 and R2 may be the same as or different from each other.
前記環状有機シリカ構造は、下記式(式2)又は(式3)に示す構造を有することを特徴とする請求項11に記載の半導体装置の製造方法。
Figure 2011009556
Figure 2011009556
The method for manufacturing a semiconductor device according to claim 11, wherein the cyclic organic silica structure has a structure represented by the following formula (formula 2) or (formula 3).
Figure 2011009556
Figure 2011009556
下層Cu配線と、
前記下層Cu配線上に形成されている積層構造と、
を有し、
前記積層構造は、シリコン(Si)と炭素(C)とを含有するキャップ絶縁膜と、前記キャップ絶縁膜上に形成されている配線絶縁膜としてのSiOCH膜と、を有し、
前記SiOCH膜は、該SiOCH膜中のCとSiとの原子組成比(C/Si)が前記キャップ絶縁膜中のCとSiとの原子組成比(C/Si)の1/2よりも大きい炭素リッチSiOCH膜であり、
前記積層構造には、互いに開口径が異なるビアホールを含む複数のビアホールが、前記積層構造を貫通して前記下層Cu配線に達する深さに形成され、各ビアホール内には前記下層Cu配線に接続されたCuデュアルダマシン配線が形成され、
各Cuデュアルダマシン配線に接する前記下層Cu配線の表面組成が、各ビアホールの開口径に依存することなく均質であることを特徴とする半導体装置。
Lower layer Cu wiring,
A laminated structure formed on the lower layer Cu wiring;
Have
The stacked structure includes a cap insulating film containing silicon (Si) and carbon (C), and a SiOCH film as a wiring insulating film formed on the cap insulating film,
In the SiOCH film, the atomic composition ratio (C / Si) of C and Si in the SiOCH film is larger than 1/2 of the atomic composition ratio (C / Si) of C and Si in the cap insulating film. A carbon-rich SiOCH film,
In the multilayer structure, a plurality of via holes including via holes having different opening diameters are formed to a depth reaching the lower layer Cu wiring through the multilayer structure, and connected to the lower layer Cu wiring in each via hole. Cu dual damascene wiring is formed,
A semiconductor device characterized in that a surface composition of the lower layer Cu wiring in contact with each Cu dual damascene wiring is uniform without depending on an opening diameter of each via hole.
前記炭素リッチSiOCH膜中のCとSiとの原子組成比(C/Si)が1よりも大きいことを特徴とする請求項13に記載の半導体装置。   14. The semiconductor device according to claim 13, wherein an atomic composition ratio (C / Si) of C and Si in the carbon-rich SiOCH film is larger than 1. 前記炭素リッチSiOCH膜は複数の空孔を有し、
前記空孔の径の平均が0.8nm未満であることを特徴とする請求項13又は14に記載の半導体装置。
The carbon-rich SiOCH film has a plurality of holes,
15. The semiconductor device according to claim 13, wherein the average diameter of the holes is less than 0.8 nm.
前記SiOCH膜の前記空孔は互いに独立に配置されていることを特徴とする請求項13乃至15の何れか一項に記載の半導体装置。   16. The semiconductor device according to claim 13, wherein the holes of the SiOCH film are arranged independently of each other. 前記キャップ絶縁膜は、SiCN膜とSiC膜との何れかであるか、又は、これらの積層膜であることを特徴とする請求項13乃至16の何れか一項に記載の半導体装置。   The semiconductor device according to claim 13, wherein the cap insulating film is either a SiCN film or a SiC film, or a laminated film thereof.
JP2009152677A 2009-06-26 2009-06-26 Method of manufacturing semiconductor device, and semiconductor device Pending JP2011009556A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009152677A JP2011009556A (en) 2009-06-26 2009-06-26 Method of manufacturing semiconductor device, and semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009152677A JP2011009556A (en) 2009-06-26 2009-06-26 Method of manufacturing semiconductor device, and semiconductor device

Publications (1)

Publication Number Publication Date
JP2011009556A true JP2011009556A (en) 2011-01-13

Family

ID=43565856

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009152677A Pending JP2011009556A (en) 2009-06-26 2009-06-26 Method of manufacturing semiconductor device, and semiconductor device

Country Status (1)

Country Link
JP (1) JP2011009556A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012169408A (en) * 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp Material for mask, method for forming mask, method for forming pattern, and etching protection film
JP2014000795A (en) * 2012-05-22 2014-01-09 Canon Inc Substrate for liquid discharge head, and liquid discharge head
JP2017121813A (en) * 2012-05-22 2017-07-13 キヤノン株式会社 Liquid discharge head and liquid discharge device
CN107924837A (en) * 2015-08-12 2018-04-17 中央硝子株式会社 Dry etching method
KR20180060950A (en) * 2016-11-29 2018-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of cleaning wafer after cmp

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012169408A (en) * 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp Material for mask, method for forming mask, method for forming pattern, and etching protection film
JP2014000795A (en) * 2012-05-22 2014-01-09 Canon Inc Substrate for liquid discharge head, and liquid discharge head
JP2017121813A (en) * 2012-05-22 2017-07-13 キヤノン株式会社 Liquid discharge head and liquid discharge device
CN107924837A (en) * 2015-08-12 2018-04-17 中央硝子株式会社 Dry etching method
CN107924837B (en) * 2015-08-12 2022-02-01 中央硝子株式会社 Dry etching method
KR20180060950A (en) * 2016-11-29 2018-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of cleaning wafer after cmp
KR102003602B1 (en) * 2016-11-29 2019-07-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of cleaning wafer after cmp
US10510594B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP
US10916473B2 (en) 2016-11-29 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP

Similar Documents

Publication Publication Date Title
TWI528454B (en) Semiconductor device and method of manufacturing semiconductor device
TWI674628B (en) Titanium nitride removal
CN103443906B (en) The method of contact clean
JP5267130B2 (en) Semiconductor device and manufacturing method thereof
JP5500810B2 (en) Method for forming voids in a multilayer wiring structure
JP5554951B2 (en) Manufacturing method of semiconductor device
JP2008277812A (en) Hydrogen ashing improved by water vapor and dilution gas
CN107564888B (en) Interconnect structure and method of making the same
JP2009170872A (en) Method to obtain low k dielectric barrier with superior etching resistivity
JP2007081113A (en) Method for manufacturing semiconductor device
JP2008198659A (en) Plasma etching method
JP2010278330A (en) Semiconductor device and method of manufacturing semiconductor device
JP2011009556A (en) Method of manufacturing semiconductor device, and semiconductor device
JP2006310634A (en) Method for manufacturing semiconductor device
JP5194393B2 (en) Manufacturing method of semiconductor device
US9679802B2 (en) Method of etching a porous dielectric material
JP2010232538A (en) Semiconductor device, and method of manufacturing the same
TW201916368A (en) Semiconductor device
JP5303568B2 (en) Manufacturing method of semiconductor device
JP2004119539A (en) Method for removing resist pattern
JP2011146596A (en) Method of manufacturing semiconductor device, semiconductor device, and semiconductor manufacturing device
JP4948278B2 (en) Manufacturing method of semiconductor device
JP2003282536A (en) Method of processing laminated insulating film and method of forming wiring structure
JP4643975B2 (en) Manufacturing method of semiconductor device
JP6163820B2 (en) Etching method