JP2010278330A - Semiconductor device and method of manufacturing semiconductor device - Google Patents

Semiconductor device and method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2010278330A
JP2010278330A JP2009130906A JP2009130906A JP2010278330A JP 2010278330 A JP2010278330 A JP 2010278330A JP 2009130906 A JP2009130906 A JP 2009130906A JP 2009130906 A JP2009130906 A JP 2009130906A JP 2010278330 A JP2010278330 A JP 2010278330A
Authority
JP
Japan
Prior art keywords
insulating film
semiconductor device
wiring
film
connection hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009130906A
Other languages
Japanese (ja)
Other versions
JP2010278330A5 (en
Inventor
Ippei Kume
一平 久米
Jun Kawahara
潤 川原
Yoshihiro Hayashi
喜宏 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2009130906A priority Critical patent/JP2010278330A/en
Publication of JP2010278330A publication Critical patent/JP2010278330A/en
Publication of JP2010278330A5 publication Critical patent/JP2010278330A5/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To improve a coating property of a barrier metal. <P>SOLUTION: A semiconductor device includes an insulating film formed on a cap insulating film 1d, a wiring groove formed in the insulating film, a via hole formed in a bottom surface of the wiring groove, and a barrier metal film covering at least a sidewall of the via hole. The via hole includes a plurality of holes differing in diameter, wherein the plurality holes are connected along the thickness to decrease in diameter downward, and a plane substantially parallel with the cap insulating film is provided at a connection portion of the plurality of holes. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、半導体装置及び半導体装置の製造方法に関する。   The present invention relates to a semiconductor device and a method for manufacturing the semiconductor device.

半導体デバイスの微細化と集積化の進展にともない、シリコン基板に形成されたトランジスタの上層に形成される多層配線の微細化が急速に進展している。該多層配線では、水平方向にトランジスタ素子を接続する配線とともに、垂直方向に該配線を接続する貫通ビアから構成されている。65nmノード以降、配線材料として銅(Cu)を用い、また配線間絶縁膜の材料として低誘電率のシリコン(Si)、炭素(C)と酸素(O)を主成分とするSiOCH膜を用いることが一般的となっている。銅による配線パターンを形成する際には、該配線と該ビアとを同時に作りこむ、デュアルダマシン構造が最も普及している。   With the progress of miniaturization and integration of semiconductor devices, the miniaturization of multilayer wiring formed on the upper layer of a transistor formed on a silicon substrate is rapidly progressing. The multilayer wiring includes a wiring connecting the transistor elements in the horizontal direction and a through via connecting the wiring in the vertical direction. After 65 nm node, use copper (Cu) as a wiring material, and use a SiOCH film mainly composed of silicon (Si), carbon (C) and oxygen (O) having a low dielectric constant as a material for an inter-wiring insulating film. Has become commonplace. When forming a wiring pattern of copper, a dual damascene structure in which the wiring and the via are simultaneously formed is most popular.

デュアルダマシン構造を貫通ビアから先に形成する、ビアファースト・デュアルダマシン加工法では、図14(a)に示すように、下部配線140上にビア用エッチングストッパ膜401、ビア間絶縁膜402、配線用エッチングストッパ膜403及び配線間絶縁膜404を順に成膜し、配線溝141及びビア142をそれぞれのエッチングストッパ膜まで順に加工する。その後、ビア用エッチングストッパ膜401と配線用エッチングストッパ膜403とを同時に除去することでデュアルダマシン構造を形成する。ビア用エッチングストッパ膜401はCuバリア性を有したキャップ絶縁膜である。ビアファースト・デュアルダマシン加工法ではビア用エッチングストッパ膜401と配線用エッチングストッパ膜403とを同時に除去することから、配線用エッチングストッパ膜403にもキャップ絶縁膜を用いることが多い。   In the via first dual damascene processing method in which the dual damascene structure is formed first from the through via, as shown in FIG. 14A, a via etching stopper film 401, an inter-via insulating film 402, and a wiring are formed on the lower wiring 140. The etching stopper film 403 and the inter-wiring insulating film 404 are sequentially formed, and the wiring groove 141 and the via 142 are sequentially processed up to the respective etching stopper films. Thereafter, the via etching stopper film 401 and the wiring etching stopper film 403 are simultaneously removed to form a dual damascene structure. The via etching stopper film 401 is a cap insulating film having a Cu barrier property. In the via first dual damascene processing method, the via etching stopper film 401 and the wiring etching stopper film 403 are removed at the same time. Therefore, a cap insulating film is often used also for the wiring etching stopper film 403.

一般に、キャップ絶縁膜とは、シリコン(Si)、炭素(C)を主成分とするシリコン炭化膜(SiC)、SiCに窒素を添加したシリコン炭窒化膜(SiCN膜)、酸素を添加したシリカカーボン複合膜(SCC(Silica−carbon−composite)膜)等が用いられている。また、配線間絶縁膜が高C濃度のLow−k膜である場合はエッチングストップ性を高めるため、シリコン酸化膜(SiO膜)やシリコン窒化膜(SiN膜)をキャップ絶縁膜上に積層することもある。逆に、Cu界面の酸化の防止を目的とし、5nm〜10nm厚程度の極薄のSiN膜上に該キャップ絶縁膜を成長する場合もある。 In general, a cap insulating film is a silicon carbide film (SiC) mainly composed of silicon (Si) and carbon (C), a silicon carbonitride film (SiCN film) obtained by adding nitrogen to SiC, and a silica carbon obtained by adding oxygen. A composite film (SCC (Silica-carbon-composite) film) or the like is used. Further, when the inter-wiring insulating film is a low-k film having a high C concentration, a silicon oxide film (SiO 2 film) or a silicon nitride film (SiN film) is laminated on the cap insulating film in order to improve the etching stop property. Sometimes. Conversely, for the purpose of preventing oxidation at the Cu interface, the cap insulating film may be grown on an extremely thin SiN film having a thickness of about 5 nm to 10 nm.

配線用エッチングストッパ膜は、ビアの開口部の周縁を保護することもできる。これにより、ビアは配線溝の加工中に変形することなく垂直形状を維持できる。そのため、垂直形状のビアを有するデュアルダマシン形状がこれまで一般的であった。   The wiring etching stopper film can also protect the periphery of the via opening. Thereby, the via can maintain a vertical shape without being deformed during the processing of the wiring groove. For this reason, a dual damascene shape having vertical vias has been common.

近年、デバイスの微細化ともない、寄生容量低減のため配線層間膜へのLow−k膜の適用が検討されている。しかしながら、Low−k膜は膜強度が低く熱膨張率が高いため、エレクトロマイグレーション(EM)や応力起因ボイド(SiV)といった応力の影響を受けるビア配線の信頼性劣化への影響が懸念される。一般的に、応力はCu配線中に存在するマイクロボイドの移動や成長に影響するとされており、Low−k材料を用いた場合、前記の理由によりボイドの成長が加速すると考えられている。そのため、Low−k材料の適用によるビア配線信頼性劣化の抑制には、Cu埋設性の改善などによりCu配線中におけるマイクロボイドを減少させることが有効である。つまり、Low−k膜の適用にはマイクロボイドのない高いCu埋設性が強く要求される。しかし、実際には、デバイスの微細化により垂直形状のビアへのCuの埋設の難易度が高くなってきている。   In recent years, with the miniaturization of devices, the application of a low-k film to a wiring interlayer film has been studied to reduce parasitic capacitance. However, since the low-k film has a low film strength and a high coefficient of thermal expansion, there is a concern that the reliability of via wiring affected by stress such as electromigration (EM) or stress-induced voids (SiV) may be affected. In general, the stress is considered to affect the movement and growth of microvoids existing in the Cu wiring, and it is considered that when a low-k material is used, the growth of voids is accelerated for the reasons described above. Therefore, it is effective to reduce the micro voids in the Cu wiring by improving the Cu embedding property or the like in order to suppress the deterioration of the via wiring reliability due to the application of the low-k material. In other words, high Cu embeddability without microvoids is strongly required for the application of the low-k film. However, in reality, the difficulty of embedding Cu in a vertical-shaped via is increasing due to miniaturization of devices.

また、寄生容量低減の一環として配線用エッチストッパ膜をなくすこと(ストッパレス化)が要求されている。しかしながら、図14(b)のようにストッパレス化によってビア142の開口部の肩落ちおよびビア142の側壁のテーパー形状化が発生し易くなる。特許文献1では、配線用エッチングストッパ膜をなくし、Cu埋設性を向上させるためにビアの開口部付近のみを部分的にテーパー化した、部分テーパー形状ビア配線が提案されている。部分テーパー形状ビアは垂直形状ビアに比べ見かけのアスペクト比が小さくなるため、垂直形状ビアに比べCu埋設性の向上が期待できる。   In addition, as part of the parasitic capacitance reduction, it is required to eliminate the wiring etch stopper film (stopperless). However, as shown in FIG. 14B, the stopper-less structure easily causes a shoulder drop at the opening of the via 142 and a taper shape of the sidewall of the via 142. Patent Document 1 proposes a partially tapered via wiring in which only the vicinity of the opening of the via is partially tapered in order to eliminate the wiring etching stopper film and improve the Cu embedding property. Partially tapered vias have an apparent aspect ratio that is smaller than vertical vias, and therefore can be expected to improve Cu embedding properties compared to vertical vias.

特許文献2では、ビア側壁角が負の角度にならないようにする。これにより、応力の集中を発生させる原因となる形状がビア側壁になく、ストレスマイグレーションを低減し、配線の信頼性がより高くなることが記載されている。また、特許文献2では、ビア側壁角に少なくとも2つの極大値を持ち、これらの極大値間に極小値を有する構成を採用する。これにより、ビア側壁の中間部でビア開孔径が急激に大きくなり、単純なテーパー形状よりもビア開孔の実質的なアスペクト比が小さくなる。そのため、金属の埋め込み性が改善することが記載されている。   In Patent Document 2, the via sidewall angle is prevented from becoming a negative angle. As a result, it is described that there is no shape that causes stress concentration on the via sidewall, stress migration is reduced, and the reliability of the wiring is further increased. Patent Document 2 adopts a configuration having at least two maximum values in the via sidewall angle and having a minimum value between these maximum values. As a result, the diameter of the via hole is rapidly increased in the middle portion of the via sidewall, and the substantial aspect ratio of the via hole is smaller than that of the simple tapered shape. Therefore, it is described that the embedding property of metal is improved.

特開2008−47582号公報JP 2008-47582 A 特開2004−356521号公報JP 2004-356521 A

C.Hashimoto et al,"New taper−etching technology using oxygen ion plasma", J.Vac.Scl.Technol.B 8 (3),1990,529−532C. Hashimoto et al, “New taper-etching technology using oxygen ion plasma”, J. Am. Vac. Scl. Technol. B 8 (3), 1990, 529-532.

しかしながら、上記文献記載の技術には、バリアメタルの被覆性を向上させるリスパッタプロセスに適さないという課題がある。リスパッタプロセスはアルゴン(Ar)イオン等を用いたイオンボンバードメントにより、配線溝の底面及びビアの底面に成膜したバリアメタル膜をリスパッタさせることで、配線溝の側壁やビアの側壁にバリアメタルを再付着させてバリアメタルの被覆性を向上させるプロセスである。バリアメタルのリスパッタ速度はイオンの入射角に依存するため、側壁のテーパー角によってリスパッタ量が変動する。そのため、テーパーを有するビアの側壁では、バリアメタルがスパッタされてしまい、バリアメタルを再付着させることができない部位が生じていた。したがって、上記従来の技術では、リスパッタプロセスによりバリアメタル膜の被覆性を向上させることができなかった。   However, the technique described in the above document has a problem that it is not suitable for a resputtering process for improving the barrier metal coverage. In the resputtering process, the barrier metal film formed on the bottom surface of the wiring groove and the bottom surface of the via is resputtered by ion bombardment using argon (Ar) ions, etc. This is a process for improving the barrier metal coverage by re-adhering. Since the barrier metal resputtering speed depends on the incident angle of ions, the amount of resputtering varies depending on the taper angle of the side wall. For this reason, the barrier metal is sputtered on the side wall of the tapered via, and there is a portion where the barrier metal cannot be reattached. Therefore, in the above conventional technique, the coverage of the barrier metal film cannot be improved by the resputtering process.

本発明によれば、
下地上に形成された絶縁膜と、
前記絶縁膜に形成された配線溝と、
前記配線溝の底面に形成された接続孔と、
少なくとも前記接続孔の側壁を覆うバリアメタル膜と、
を有し、
前記接続孔は、径が異なる複数の孔から構成されており、
前記複数の孔は、下に向けて径が小さくなるように深さ方向に接続され、
前記複数の孔の接続部に前記下地に対してほぼ平行な面を有する、半導体装置
が提供される。
According to the present invention,
An insulating film formed on the ground,
A wiring groove formed in the insulating film;
A connection hole formed in the bottom surface of the wiring groove;
A barrier metal film covering at least the side wall of the connection hole;
Have
The connection hole is composed of a plurality of holes having different diameters,
The plurality of holes are connected in the depth direction so that the diameter decreases toward the bottom,
A semiconductor device is provided that has a plane substantially parallel to the base at a connection portion of the plurality of holes.

また、本発明によれば、
絶縁膜を形成する工程と、
前記絶縁膜を貫通する接続孔を形成する工程と、
前記接続孔に充填材を充填する工程と、
前記接続孔の開口を覆うマスクを前記絶縁膜上に形成する工程と、
前記マスク及び前記充填材の一部を除去するとともに前記接続孔の側壁の一部を露出させる第一のエッチング工程と、
露出した前記接続孔の側壁から前記絶縁膜を除去して、配線溝及び接続孔を形成する第二のエッチング工程と、
少なくとも前記接続孔の側壁をバリアメタル膜で覆う工程と、
を含み、
前記第二のエッチング工程において、
前記配線溝の底面から径が異なる複数の孔を下に向けて径が小さくなるように深さ方向に接続させて前記接続孔を形成し、前記複数の孔の接続部に前記接続孔の底面に対してほぼ平行な面を形成させる、半導体装置の製造方法が提供される。
Moreover, according to the present invention,
Forming an insulating film;
Forming a connection hole penetrating the insulating film;
Filling the connection hole with a filler;
Forming a mask covering the opening of the connection hole on the insulating film;
A first etching step of removing a part of the mask and the filler and exposing a part of a side wall of the connection hole;
Removing the insulating film from the exposed sidewall of the connection hole to form a wiring groove and a connection hole;
Covering at least the side wall of the connection hole with a barrier metal film;
Including
In the second etching step,
A plurality of holes having different diameters from the bottom surface of the wiring groove are connected in the depth direction so that the diameter decreases downward, and the connection hole is formed at a connection portion of the plurality of holes. A method of manufacturing a semiconductor device is provided that forms a plane substantially parallel to the surface.

この発明によれば、径が異なる複数の孔を下に向けて径が小さくなるように深さ方向に接続させ、接続部に下地に対してほぼ平行な面を有する接続孔を備える。これにより、接続孔の水平な面でバリアメタルをリスパッタさせることができ、接続孔の側壁にバリアメタルを再付着させることができる。したがって、接続孔の側壁におけるバリアメタルの被覆性を向上させることができる。   According to the present invention, the plurality of holes having different diameters are connected in the depth direction so that the diameter is reduced downward, and the connection portion includes the connection hole having a surface substantially parallel to the base. Thereby, the barrier metal can be resputtered on the horizontal surface of the connection hole, and the barrier metal can be reattached to the side wall of the connection hole. Therefore, the barrier metal coverage on the side wall of the connection hole can be improved.

本発明によれば、接続孔の側壁におけるバリアメタルの被覆性を向上させることができる。   According to the present invention, the barrier metal coverage on the side wall of the connection hole can be improved.

実施の形態に係る半導体装置を示す断面図である。It is sectional drawing which shows the semiconductor device which concerns on embodiment. 実施の形態に係る半導体装置の製造方法を説明する図である。It is a figure explaining the manufacturing method of the semiconductor device which concerns on embodiment. 実施の形態に係る半導体装置の製造方法を説明する図である。It is a figure explaining the manufacturing method of the semiconductor device which concerns on embodiment. 実施の形態に係る半導体装置の製造方法を説明する図である。It is a figure explaining the manufacturing method of the semiconductor device which concerns on embodiment. 実施の形態に係る半導体装置の製造方法で用いる製造装置を示す図である。It is a figure which shows the manufacturing apparatus used with the manufacturing method of the semiconductor device which concerns on embodiment. 実施例に係る半導体装置の製造方法を説明する図である。It is a figure explaining the manufacturing method of the semiconductor device which concerns on an Example. 実施例に係る半導体装置の製造方法を説明する図である。It is a figure explaining the manufacturing method of the semiconductor device which concerns on an Example. 実施例に係る半導体装置の製造方法を説明する図である。It is a figure explaining the manufacturing method of the semiconductor device which concerns on an Example. 実施例に係る半導体装置の製造方法を説明する図である。It is a figure explaining the manufacturing method of the semiconductor device which concerns on an Example. 実施例のビア配線形状の断面をTEM(透過型電子顕微鏡)で観察した結果を示す図である。It is a figure which shows the result of having observed the cross section of the via wiring shape of an Example with TEM (transmission electron microscope). 実施例のビア配線のビア抵抗の確率累積分布を示す図である。It is a figure which shows the probability cumulative distribution of the via resistance of the via wiring of an Example. 実施例のビア配線における大規模ビアチェーンの不良数の結果を示す図である。It is a figure which shows the result of the defect number of the large-scale via chain in the via wiring of an Example. 実施例のビア配線の応力起因ボイド(Stress−Induced Voiding、SIV)に対する信頼性評価の結果を示す図である。It is a figure which shows the result of the reliability evaluation with respect to the stress cause void (Stress-Induced Voiding, SIV) of the via wiring of an Example. 従来のビア配線を示す図である。It is a figure which shows the conventional via wiring. 酸素イオンによるエッチング速度とイオン入射角との相関図である。It is a correlation diagram of the etching rate by oxygen ion and an ion incident angle.

以下、本発明の実施の形態について、図面を用いて説明する。尚、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings. In all the drawings, the same reference numerals are given to the same components, and the description will be omitted as appropriate.

図1は、本実施形態の半導体装置を示す断面図である。この半導体装置は、下地となるキャップ絶縁膜1d上に形成された絶縁膜2aと、絶縁膜2aに形成された配線溝6と、配線溝6の底面に形成されたビア孔(接続孔)7と、配線溝6およびビア孔7の側壁を覆うバリアメタル膜2bと、を有する。ビア孔7は、径が異なる上部ビア孔5及び下部ビア孔3から構成されている。上部ビア孔5及び下部ビア孔3は、この順で下に向けて深さ方向に接続している。下部ビア孔3の径は(d)、上部ビア孔5の径(開口径d2a>底面径d2b)よりも小さい。下部ビア孔3と上部ビア孔5との接続部には、キャップ絶縁膜1dに対してほぼ平行な面4が形成されている。 FIG. 1 is a cross-sectional view showing the semiconductor device of this embodiment. This semiconductor device includes an insulating film 2a formed on a cap insulating film 1d as a base, a wiring groove 6 formed in the insulating film 2a, and a via hole (connection hole) 7 formed in the bottom surface of the wiring groove 6. And a barrier metal film 2b covering the sidewalls of the wiring trench 6 and the via hole 7. The via hole 7 includes an upper via hole 5 and a lower via hole 3 having different diameters. The upper via hole 5 and the lower via hole 3 are connected in the depth direction downward in this order. The diameter of the lower via hole 3 is (d 1 ), which is smaller than the diameter of the upper via hole 5 (opening diameter d 2a > bottom diameter d 2b ). At the connection portion between the lower via hole 3 and the upper via hole 5, a surface 4 substantially parallel to the cap insulating film 1d is formed.

より具体的には、本実施形態の半導体装置は、ダマシン法によって形成された下層Cu配線構造1および上層Cu配線構造2がこの順でシリコン基板(図示せず)上に形成されている。半導体基板とは、半導体装置が構成された基板であり、単結晶シリコン基板、SOI(Silicon on Insulator)基板、TFT(Thin film transistor)、液晶製造用基板などの基板が挙げられる。   More specifically, in the semiconductor device of this embodiment, the lower layer Cu wiring structure 1 and the upper layer Cu wiring structure 2 formed by the damascene method are formed in this order on a silicon substrate (not shown). The semiconductor substrate is a substrate on which a semiconductor device is configured, and examples thereof include a single crystal silicon substrate, an SOI (Silicon on Insulator) substrate, a TFT (Thin film transistor), and a substrate for manufacturing a liquid crystal.

下層Cu配線構造1は、絶縁膜1aと絶縁膜1aに埋め込まれた下部Cu配線1cと、下部Cu配線1cの側壁及び底面を覆うバリアメタル膜1bと、下部Cu配線1cを覆うキャップ絶縁膜1dとからなる。また、上層Cu配線構造2は、絶縁膜2aと、絶縁膜2aに埋め込まれた上部Cu配線2cと、上部Cu配線2cの側壁及び底面を覆うバリアメタル膜2bと、上部Cu配線2cを覆うキャップ絶縁膜2dとからなる。   The lower layer Cu wiring structure 1 includes an insulating film 1a, a lower Cu wiring 1c embedded in the insulating film 1a, a barrier metal film 1b covering the side and bottom surfaces of the lower Cu wiring 1c, and a cap insulating film 1d covering the lower Cu wiring 1c. It consists of. The upper-layer Cu wiring structure 2 includes an insulating film 2a, an upper Cu wiring 2c embedded in the insulating film 2a, a barrier metal film 2b that covers the side wall and the bottom surface of the upper Cu wiring 2c, and a cap that covers the upper Cu wiring 2c. It consists of an insulating film 2d.

下部ビア孔3と上部ビア孔5との接続部には、キャップ絶縁膜1dに対してほぼ平行な面4が設けられていればよいが、キャップ絶縁膜1dに対して0°〜10°の傾きからなる水平面を有するとより好ましい。この水平面4の幅は、5nm以上とすることができる。換言すれば、上部ビア孔5の底面の径d2bと下部ビア孔3の径dとの差をΔd(d2b−d)としたとき、Δdは、5nm以上とすることができる。Δdの上限は、100nm以下とすることができる。 The connection portion between the lower via hole 3 and the upper via hole 5 may be provided with a surface 4 substantially parallel to the cap insulating film 1d. It is more preferable to have a horizontal plane composed of an inclination. The width of the horizontal plane 4 can be 5 nm or more. In other words, when the difference between the diameter d 2b of the bottom surface of the upper via hole 5 and the diameter d 1 of the lower via hole 3 is Δd (d 2b −d 1 ), Δd can be 5 nm or more. The upper limit of Δd can be 100 nm or less.

図1で図示するように、上部ビア孔5の側壁は、テーパー状に形成させてもよい。この場合、上部ビア孔5の側壁の傾斜角が60°〜90°とする。なお、本実施形態でいう側壁の傾斜角とは、下地であるキャップ絶縁膜1dに対して平行な方向を0°とする。また、上部ビア孔5の深さをDとしたとき、Dはビア孔7全体の深さの20%以下とすることができる。たとえば、20nm≦D≦40nmとすることができ、0.8≦D/Δd≦8を満たすようにすると好ましい。   As shown in FIG. 1, the side wall of the upper via hole 5 may be formed in a tapered shape. In this case, the inclination angle of the side wall of the upper via hole 5 is set to 60 ° to 90 °. Note that the inclination angle of the side wall referred to in the present embodiment is defined as 0 ° in a direction parallel to the base cap insulating film 1d. When the depth of the upper via hole 5 is D, D can be 20% or less of the entire depth of the via hole 7. For example, 20 nm ≦ D ≦ 40 nm can be satisfied, and 0.8 ≦ D / Δd ≦ 8 is preferably satisfied.

また、上部ビア孔5の側壁は階段形状に形成されていてもよい。この場合、上部ビア孔5の側壁の傾斜角が0°〜10°の水平面及び傾斜角が60°〜90°の傾斜面の組合せによる多段構造を採用することができる。   Further, the side wall of the upper via hole 5 may be formed in a staircase shape. In this case, it is possible to adopt a multi-stage structure by a combination of a horizontal plane having an inclination angle of 0 ° to 10 ° and an inclined surface having an inclination angle of 60 ° to 90 °.

配線溝6および下部ビア孔3の側壁は、垂直形状にすると好ましく、傾斜角が60°〜90°の範囲であれば許容されるが、80°〜90°とするとより好ましい。   The side walls of the wiring trench 6 and the lower via hole 3 are preferably formed in a vertical shape, which is acceptable if the inclination angle is in the range of 60 ° to 90 °, but more preferably 80 ° to 90 °.

下部ビア孔3の底面はリスパッタプロセスにより下部Cu配線1cに埋め込まれている。換言すれば、アンカーが打ち込まれる形で下層Cu配線1cに掘り込まれている。   The bottom surface of the lower via hole 3 is embedded in the lower Cu wiring 1c by a resputtering process. In other words, the anchors are dug into the lower layer Cu wiring 1c.

本実施形態では、下部Cu配線1cをキャップ絶縁膜1dで覆っている。また、上部Cu配線2cをキャップ絶縁膜2dで覆っている。キャップ絶縁膜とは、Cu配線の上面に形成され、Cuの酸化や絶縁膜中へのCuの拡散を防ぐ機能、および、加工時にエッチングストップ層としての役割を有する。キャップ絶縁膜1d、2dは、シリコン(Si)と炭素(C)とを主成分とすることができ、たとえば、SiN膜、SiCN膜、SiC膜などが用いることができる。低誘電率なキャップ絶縁膜を用いることで、配線信号の伝達遅延を改善することができる。キャップ絶縁膜は、バリア絶縁膜とも呼ばれる。   In the present embodiment, the lower Cu wiring 1c is covered with a cap insulating film 1d. The upper Cu wiring 2c is covered with a cap insulating film 2d. The cap insulating film is formed on the upper surface of the Cu wiring and has a function of preventing Cu oxidation and Cu diffusion into the insulating film, and a role as an etching stop layer during processing. The cap insulating films 1d and 2d can contain silicon (Si) and carbon (C) as main components. For example, a SiN film, a SiCN film, a SiC film, or the like can be used. By using the low dielectric constant cap insulating film, the transmission delay of the wiring signal can be improved. The cap insulating film is also called a barrier insulating film.

絶縁膜2aは、上部Cu配線2cを絶縁分離する膜(層間絶縁膜)であり、絶縁膜1aは、下部Cu配線1cを絶縁分離する膜である。絶縁膜1a、2aは、低誘電率絶縁膜とすると、半導体素子を接続する多層配線間の容量を低減させることができる。たとえば、絶縁膜1a、2aは、SiとCと酸素(O)とを主成分とする膜とすることができ、シリコン酸化膜(比誘電率3.9〜4.5)よりも比誘電率の低い材料を用いることができる。このような低誘電率絶縁膜として、シリコン酸化膜を多孔質化して、比誘電率を小さくした多孔質絶縁膜が挙げられ、具体的には、膜中の炭素/シリコン比(C/Si)が1より大きいSiOCH膜、HSQ(ハイドロゲンシルセスキオキサン(Hydrogen Silsesquioxane))膜、SiOC(例えば、Black DiamondTM、CORALTM、AuroraTM)膜が例示される。多孔質絶縁膜は、互いに独立した複数の空孔を有するとより好ましく、空孔の平均空孔径0.8nm以下とするとさらに好ましい。より具体的には、絶縁膜1a、2aとして、三量体の環状シロキサン構造を有し、環状シロキサン構造を構成しているシリコンに不飽和または飽和炭素鎖が結合している構成を採用することができる。 The insulating film 2a is a film (interlayer insulating film) for insulating and separating the upper Cu wiring 2c, and the insulating film 1a is a film for insulating and separating the lower Cu wiring 1c. When the insulating films 1a and 2a are low dielectric constant insulating films, it is possible to reduce the capacitance between the multilayer wirings connecting the semiconductor elements. For example, the insulating films 1a and 2a can be films mainly composed of Si, C, and oxygen (O), and have a relative permittivity higher than that of a silicon oxide film (relative permittivity 3.9 to 4.5). A low material can be used. Examples of such a low dielectric constant insulating film include a porous insulating film in which a silicon oxide film is made porous to reduce the relative dielectric constant, and specifically, the carbon / silicon ratio (C / Si) in the film. SiOCH film having a thickness greater than 1, HSQ (Hydrogen Silsesquioxane) film, SiOC (for example, Black Diamond , CORAL , Aurora ) film. The porous insulating film preferably has a plurality of independent pores, and more preferably has an average pore diameter of 0.8 nm or less. More specifically, as the insulating films 1a and 2a, a structure having a trimeric cyclic siloxane structure and an unsaturated or saturated carbon chain being bonded to silicon constituting the cyclic siloxane structure is adopted. Can do.

下部Cu配線1c及び上部Cu配線2cは、Cuを主成分とするが、配線の信頼性を向上させるため、Cu以外の金属元素がCuからなる部材に含まれていても良い。また、Cu以外の金属元素がCu配線の上面や側面などに形成されていても良い。   The lower Cu wiring 1c and the upper Cu wiring 2c are mainly composed of Cu, but a metal element other than Cu may be included in the member made of Cu in order to improve the reliability of the wiring. Further, a metal element other than Cu may be formed on the upper surface or side surface of the Cu wiring.

バリアメタル膜1b、2bは、配線を構成する金属元素に対するバリア性を有する導電性膜である。バリアメタル膜2bは、上部Cu配線2cの側面および底面を被覆し、配線を構成する金属元素(Cu)が絶縁膜2aや下層Cu配線構造1へ拡散することを防止する。バリアメタル膜1b、2bとして、たとえば、タンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、炭窒化タングステン(WCN)のような高融点金属やその窒化物等、またはそれらの積層膜が使用される。   The barrier metal films 1b and 2b are conductive films having a barrier property against the metal elements constituting the wiring. The barrier metal film 2b covers the side and bottom surfaces of the upper Cu wiring 2c, and prevents the metal element (Cu) constituting the wiring from diffusing into the insulating film 2a and the lower Cu wiring structure 1. As the barrier metal films 1b and 2b, for example, a refractory metal such as tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), tungsten carbonitride (WCN), nitrides thereof, or a laminated film thereof Is used.

つづいて、本実施形態の半導体装置の製造方法の一例について図2〜4を用いて説明する。図2〜4は断面図を示す。   Next, an example of the method for manufacturing the semiconductor device of this embodiment will be described with reference to FIGS. 2-4 show cross-sectional views.

まず、図2(a)で示すように、一般的なダマシンプロセスを用いて下層Cu配線構造201を形成する。すなわち、あらかじめ絶縁膜201aに形成させた溝に、下部Cu配線201cを埋め込み、溝内以外の余剰な金属を、例えばCMP法(Chemical Mechanical Polishing)などにより除去する。ついで、下部Cu配線201cの側面および外周をバリアメタル膜201bで覆い、下部Cu配線201cの上面をキャップ絶縁膜201dで覆う。なお、CMP法とは、多層配線形成プロセス中に生じるウェハ表面の凹凸を、研磨液をウェハ表面に流しながら回転させた研磨パッドに接触させて研磨することによって平坦化する方法である。ダマシン法による配線形成においては、特に、配線溝あるいはビア孔に対し金属を埋設した後に、余剰の金属部分を除去し、平坦な配線表面を得るために用いる。   First, as shown in FIG. 2A, a lower layer Cu wiring structure 201 is formed using a general damascene process. That is, the lower Cu wiring 201c is embedded in a groove formed in the insulating film 201a in advance, and excess metal other than in the groove is removed by, for example, a CMP method (Chemical Mechanical Polishing) or the like. Next, the side surface and outer periphery of the lower Cu wiring 201c are covered with a barrier metal film 201b, and the upper surface of the lower Cu wiring 201c is covered with a cap insulating film 201d. The CMP method is a method of flattening the unevenness of the wafer surface that occurs during the multilayer wiring formation process by polishing the wafer by bringing it into contact with a rotating polishing pad while flowing a polishing liquid on the wafer surface. In the wiring formation by the damascene method, in particular, after a metal is buried in the wiring groove or via hole, it is used for removing a surplus metal portion and obtaining a flat wiring surface.

ついで、下部Cu配線201c上に絶縁膜202を形成する。ここでは、絶縁膜202としてSiOCH膜を成膜するプロセスを例にあげて説明する。SiOCH膜としては、例えば、組成比がSi:O:C=1:0.9:2.7の膜が挙げられる。C含有量の多いSiOCH膜はリスパッタ耐性が高く、配線構造の変形やLow−k膜の比誘電率上昇などを抑制することが出来る。SiOCH膜は、プラズマCVD(Chemical Vapor Deposition)法により成膜することができる。プラズマCVD法とは、例えば、気体状の原料を減圧下の反応室に連続的に供給し、プラズマエネルギーによって、分子を励起状態にし、気相反応、あるいは基板表面反応などによって基板上に連続膜を形成する手法である。   Next, an insulating film 202 is formed on the lower Cu wiring 201c. Here, a process for forming a SiOCH film as the insulating film 202 will be described as an example. An example of the SiOCH film is a film having a composition ratio of Si: O: C = 1: 0.9: 2.7. A SiOCH film having a large C content has high resputtering resistance, and can suppress deformation of the wiring structure, an increase in the dielectric constant of the Low-k film, and the like. The SiOCH film can be formed by a plasma CVD (Chemical Vapor Deposition) method. The plasma CVD method is, for example, a method in which a gaseous raw material is continuously supplied to a reaction chamber under reduced pressure, a molecule is excited by plasma energy, and a continuous film is formed on a substrate by a gas phase reaction or a substrate surface reaction. It is a technique to form.

高C濃度SiOCH膜の成膜に用いられる装置の概要を図5に示す。リザーバー301は絶縁膜202となるモノマー原料を充填する容器である。原料圧送部302はリザーバー301内の原料を送り出すため加圧する部位であり、圧送ガスには、ヘリウム(He)などの不活性ガスが用いられる。キャリアガス供給部303はモノマー原料を輸送するHeなどの不活性ガスをキャリアガスとして供給する部分である。液体マスフロー304は供給する原料の流量を制御する装置である。ガスマスフロー305はキャリアガスの流量を制御する装置である。気化器306はモノマー原料を気化する装置である。リアクター307は気体となった原料をプラズマ重合より成膜を行う処理室である。RF電源309は気体となったモノマー原料とキャリアガスをプラズマ化する電力を供給する装置である。基板308は半導体基板である。排気ポンプ310はリアクター307に導入された原料ガスとキャリアガスなどを排気する装置である。   FIG. 5 shows an outline of an apparatus used for forming a high C concentration SiOCH film. The reservoir 301 is a container filled with a monomer raw material that becomes the insulating film 202. The raw material pressure feeding unit 302 is a part that pressurizes the raw material in the reservoir 301, and an inert gas such as helium (He) is used as the pressure feeding gas. The carrier gas supply unit 303 is a part that supplies an inert gas such as He that transports the monomer raw material as a carrier gas. The liquid mass flow 304 is a device that controls the flow rate of the raw material to be supplied. The gas mass flow 305 is a device that controls the flow rate of the carrier gas. The vaporizer 306 is an apparatus for vaporizing the monomer raw material. The reactor 307 is a processing chamber in which a raw material that has become a gas is deposited by plasma polymerization. The RF power source 309 is a device that supplies electric power for converting the monomer raw material that has become gas and the carrier gas into plasma. The substrate 308 is a semiconductor substrate. The exhaust pump 310 is an apparatus that exhausts the raw material gas and the carrier gas introduced into the reactor 307.

原料圧送部302からの圧送ガスによりリザーバー301からモノマー原料が送り出され、液体マスフロー304によりその流量を制御される。一方キャリアガス供給部303からはキャリアガスが供給され、その流量はガスマスフロー305によって制御される。原料及びキャリアガスは気化器306の直前で混合され、気化器306内に導入される。気化器306内には加熱されたヒータブロック(図示せず)が存在し、ここで液体のモノマー原料は気化され、リアクター307に導入される。リアクター307内では13.56MHzの高周波電力の印加により、気化したモノマー原料及びキャリアガスはプラズマ化し、プラズマ重合により基板308上に高C濃度SiOCH膜が成膜される。原料モノマーは0.1g/分以上10g/分以下であることが好ましく、さらに好ましくは2g/分以下であることが好ましい。キャリアガスの流量は0.05×10sccm以上5×10sccm以下であることが好ましく、さらに好ましくは2×10sccm以下であることが好ましい。リアクター307内の圧力は0.13〜1.3kPaであることが好ましい。RF電源の出力は2kW以下であることが好ましく、さらに好ましくは1kW以下であることが好ましい。 The monomer raw material is sent out from the reservoir 301 by the pressurized gas from the raw material pressure feeding unit 302, and the flow rate is controlled by the liquid mass flow 304. On the other hand, carrier gas is supplied from the carrier gas supply unit 303, and the flow rate is controlled by the gas mass flow 305. The raw material and the carrier gas are mixed immediately before the vaporizer 306 and introduced into the vaporizer 306. A heated heater block (not shown) exists in the vaporizer 306, where the liquid monomer material is vaporized and introduced into the reactor 307. In the reactor 307, by applying high frequency power of 13.56 MHz, the vaporized monomer raw material and carrier gas are turned into plasma, and a high C concentration SiOCH film is formed on the substrate 308 by plasma polymerization. The raw material monomer is preferably 0.1 g / min or more and 10 g / min or less, more preferably 2 g / min or less. The flow rate of the carrier gas is preferably 0.05 × 10 3 sccm or more and 5 × 10 3 sccm or less, more preferably 2 × 10 3 sccm or less. The pressure in the reactor 307 is preferably 0.13 to 1.3 kPa. The output of the RF power source is preferably 2 kW or less, more preferably 1 kW or less.

高C濃度SiOCH膜は、たとえば、環状有機シリカ構造を有する、下記一般式(1)で示される原料から成膜することができる。中でも、環状有機シリカ構造を有する原料が下記式(2)または式(3)に示す構造を有するものが特に好ましい。   The high C concentration SiOCH film can be formed, for example, from a raw material having a cyclic organic silica structure and represented by the following general formula (1). Among these, a material having a structure represented by the following formula (2) or (3) is particularly preferable as a raw material having a cyclic organic silica structure.

Figure 2010278330
Figure 2010278330

式(1)中、R、Rは不飽和炭素化合物または飽和炭素化合物(アルキル基)であり、不飽和基はビニル基、プロペニル基、イソプロペニル基、メチルプロペニル基、ジメチルプロペニル基のいずれかであり、アルキル基は、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかである。また、R1、R2は同じでも異なるものでも良い。 In formula (1), R 1 and R 2 are an unsaturated carbon compound or a saturated carbon compound (alkyl group), and the unsaturated group is any of a vinyl group, a propenyl group, an isopropenyl group, a methylpropenyl group, and a dimethylpropenyl group. The alkyl group is any one of a methyl group, an ethyl group, a propyl group, an isopropyl group, and a butyl group. R1 and R2 may be the same or different.

Figure 2010278330
Figure 2010278330

Figure 2010278330
Figure 2010278330

図2(a)に戻り、絶縁膜202上にハードマスク203としてSiO膜をプラズマCVD法により形成する。ハードマスクとは、層間絶縁膜の低誘電率化による強度低下により、直接CMPを行うのが困難な場合に、層間絶縁膜上に積層し、保護する役割の絶縁膜をさす。ついで、ハードマスク203を残した状態で絶縁膜202を貫通するビア孔(スルーホールビア)204を形成する。 Returning to FIG. 2A, an SiO 2 film is formed as a hard mask 203 on the insulating film 202 by a plasma CVD method. A hard mask refers to an insulating film that serves as a protective layer to be laminated on an interlayer insulating film when it is difficult to perform direct CMP due to a decrease in strength due to the lower dielectric constant of the interlayer insulating film. Next, a via hole (through-hole via) 204 that penetrates the insulating film 202 is formed with the hard mask 203 left.

ついで、図2(b)で示すように、ビア孔204にレジスト(充填材)205を充填した後、ビア孔204の開口を覆う多層マスク(低温酸化膜206、反射防止膜207、レジスト208)を絶縁膜202上に形成する。該多層マスクを用いたリソグラフィ工程によりトレンチ溝パターン209を形成する(図2(b))。   Next, as shown in FIG. 2B, after filling the via hole 204 with a resist (filler) 205, a multilayer mask (low-temperature oxide film 206, antireflection film 207, resist 208) covering the opening of the via hole 204. Is formed on the insulating film 202. A trench groove pattern 209 is formed by a lithography process using the multilayer mask (FIG. 2B).

ついで、図2(c)(d)で示すように、該多層マスク及びレジスト205の一部を除去するとともに、ビア孔204の側壁の一部204aを露出させる(第一のエッチング工程)。具体的には、多層マスクを上層から順に目的の配線溝の幅に除去し、さらにビア孔204の上部に至るまで過剰にレジスト205を除去することでビア孔204内にレジスト非充填部210を形成する(図2(c))。そして、SiO膜(ハードマスク203)とSiOCH膜(絶縁膜202)との加工選択性が高いことを利用して、絶縁膜202を露出させて肩落ちの無い形状に加工し、ビア孔204の開口に水平面を形成する(図2(d))。エッチャントには、炭素(C)と水素(H)とフッ素(F)の3元素からなるCHF系ガスを含む混合ガス(第一のエッチングガス)を用いることができ、CHF系ガスに、Ar、窒素(N)、テトラフルオロカーボン(CF)、ジフルオロメタン(CH)及び酸素(O)からなる群から選択されるガスを混合させるとよい。 Next, as shown in FIGS. 2C and 2D, a part of the multilayer mask and the resist 205 is removed and a part 204a of the side wall of the via hole 204 is exposed (first etching process). Specifically, the multilayer mask is removed in order from the upper layer to the width of the target wiring groove, and the resist 205 is removed excessively until reaching the upper portion of the via hole 204, thereby forming the resist non-filling portion 210 in the via hole 204. It forms (FIG.2 (c)). Then, by utilizing the high processing selectivity between the SiO 2 film (hard mask 203) and the SiOCH film (insulating film 202), the insulating film 202 is exposed to be processed into a shape free of shoulder drop, and the via hole 204 is processed. A horizontal plane is formed in the opening (FIG. 2D). As the etchant, a mixed gas (first etching gas) containing a CHF gas composed of three elements of carbon (C), hydrogen (H), and fluorine (F) can be used. A gas selected from the group consisting of nitrogen (N 2 ), tetrafluorocarbon (CF 4 ), difluoromethane (CH 2 F 2 ), and oxygen (O 2 ) may be mixed.

ついで、図3(e)(f)(g)で示すように、露出したビア孔の側壁204aから絶縁膜202を除去して、配線溝6及びビア孔を形成する(第二のエッチング工程)。具体的には、配線溝6の加工の初期段階にてレジスト非充填部210をテーパー化させる(図3(e))。レジスト205に保護されないレジスト非充填部210は、ビア孔204の側壁方向からもエッチングされるため、配線溝6の加工を進めることでテーパー化が進む。テーパー化がレジスト205まで到達したところで、レジスト205によりビア孔204の側壁方向からのエッチャントの供給が阻止され(ビア孔204の側壁方向からのエッチングがされなくなり)、テーパー化が止まる(図2(f))。そこから、さらに配線溝6の加工を進めることで、レジスト非充填部210のみビア孔204の側壁方向にエッチングが進み、レジスト非充填部210の径が拡大する(図2(g))。エッチャントとしては、酸素ガスを含む混合ガス(第二のエッチングガス)を用いることができる。具体的には、酸素ガスにAr、N、CF、CHF及びCHからなる群から選択されるガスを混合させるとよい。こうすることで、まず、上部ビア孔5が形成される。上部ビア孔5は、配線溝6と接続しかつ配線溝6の径dよりも径(開口径d2a>底面径d2b)が小さい。また、上部ビア孔5の底面4は、ビア孔204の底面に対してほぼ平行に形成されている。上部ビア孔5の底面は、ビア孔204の底面に対して0°〜10°の傾きに形成させると好ましい。また、ビア孔の204の開口部の周縁を水平面に保ちつつエッチングを行い、さらに途中でテーパー化を止めることにより、上部ビア孔5の側壁の傾斜角を60°以上にすることも可能である。 Next, as shown in FIGS. 3E, 3F, and 3G, the insulating film 202 is removed from the exposed sidewall 204a of the via hole to form the wiring trench 6 and the via hole (second etching step). . Specifically, the resist non-filling portion 210 is tapered at the initial stage of processing of the wiring trench 6 (FIG. 3E). Since the resist unfilled portion 210 not protected by the resist 205 is also etched from the side wall direction of the via hole 204, the processing of the wiring groove 6 proceeds to taper. When the taper reaches the resist 205, the resist 205 prevents the etchant from being supplied from the side wall direction of the via hole 204 (the etching from the side wall direction of the via hole 204 is not performed), and the taper is stopped (FIG. 2 ( f)). From there, the processing of the wiring trench 6 is further advanced, so that only the resist unfilled portion 210 is etched toward the side wall of the via hole 204, and the diameter of the resist unfilled portion 210 is enlarged (FIG. 2 (g)). As the etchant, a mixed gas containing oxygen gas (second etching gas) can be used. Specifically, a gas selected from the group consisting of Ar, N 2 , CF 4 , CHF 3 and CH 2 F 2 may be mixed with the oxygen gas. By doing so, first, the upper via hole 5 is formed. Upper via hole 5 has a diameter (opening diameter d 2a> bottom diameter d 2b) is smaller than and connected to the wiring groove 6 and the diameter d 3 of the wiring groove 6. Further, the bottom surface 4 of the upper via hole 5 is formed substantially parallel to the bottom surface of the via hole 204. The bottom surface of the upper via hole 5 is preferably formed with an inclination of 0 ° to 10 ° with respect to the bottom surface of the via hole 204. It is also possible to make the inclination angle of the side wall of the upper via hole 5 60 ° or more by performing etching while keeping the peripheral edge of the opening portion of the via hole 204 in a horizontal plane and further stopping the taper in the middle. .

ついで、図3(h)で示すように、上部ビア孔5の底面4からレジスト205を、たとえばアッシングにより除去し、さらに酸素原子(O)を含む酸化ガスとフッ化物ガスの混合ガスから発生させたプラズマによりビア孔底のキャップ膜201dを除去する。アッシングおよび酸化ガスにはOガスもしくは二酸化炭素ガス(CO)などを用いることができ、特にOプラズマを用いることが望ましい。 Next, as shown in FIG. 3 (h), the resist 205 is removed from the bottom surface 4 of the upper via hole 5 by, for example, ashing, and is further generated from a mixed gas of an oxidizing gas containing oxygen atoms (O) and a fluoride gas. The cap film 201d at the bottom of the via hole is removed by the plasma. O 2 gas or carbon dioxide gas (CO 2 ) can be used for the ashing and oxidizing gas, and it is particularly preferable to use O 2 plasma.

ついで、図4(i)で示すように、第1のバリアメタル膜212をPVD(物理気相蒸着)法によって成膜する。Arイオンスパッタにより、下部ビア孔3の底部の第1のバリアメタル膜212をリスパッタ処理し、下部Cu配線201cのCuを掘り込み、パンチスルー部213を形成する(図4(j))。ついで、第2のバリアメタル膜214をPVD法により成膜する(図4(k))。たとえば電界メッキ法により、配線溝6およびビア孔7をCuまたはCu合金で埋め込んで上部Cu配線215を作製し、キャップ絶縁膜216で上部Cu配線215を覆う(図4(l))。なお、PVD法とは、通常のスパッタリング法でもよいが、埋め込み特性の向上や、膜質の向上や、膜厚のウェハ面内均一性を図る上では、例えばロングスロースパッタリング法やコリメートスパッタリング法、イオナイズドスパッタリング法、などの指向性の高いスパッタリング法を用いることもできる。合金をスパッタする場合には、あらかじめ金属ターゲット内に主成分以外の金属を固溶限以下で含有させることで、成膜された金属膜を合金膜とすることができる。その後、任意のプロセスを経て半導体装置を完成させる。   Next, as shown in FIG. 4I, a first barrier metal film 212 is formed by PVD (physical vapor deposition). The first barrier metal film 212 at the bottom of the lower via hole 3 is resputtered by Ar ion sputtering, and Cu in the lower Cu wiring 201c is dug to form a punch-through portion 213 (FIG. 4 (j)). Next, the second barrier metal film 214 is formed by the PVD method (FIG. 4K). For example, the wiring groove 6 and the via hole 7 are filled with Cu or a Cu alloy by the electroplating method to produce the upper Cu wiring 215, and the upper Cu wiring 215 is covered with the cap insulating film 216 (FIG. 4L). The PVD method may be a normal sputtering method. However, in order to improve the embedding characteristics, the film quality, and the uniformity of the film thickness within the wafer surface, for example, a long throw sputtering method, a collimated sputtering method, an ion sputtering method, or the like. It is also possible to use a sputtering method with high directivity such as a knitted sputtering method. When sputtering an alloy, a metal film other than the main component is previously contained in the metal target at a solid solubility limit or less, so that the formed metal film can be used as an alloy film. Thereafter, the semiconductor device is completed through an arbitrary process.

つづいて、本実施形態の作用効果について図1を用いつつ説明する。本実施形態によれば、径が異なる複数の孔(上部ビア孔5および下部ビア孔3)を下に向けて径が小さくなるように深さ方向に接続させ、接続部に下地に対してほぼ平行な面4を有するビア孔7を備える。これにより、ビア孔7内の水平面4でバリアメタルをリスパッタさせることができ、ビア孔7の側壁にバリアメタルを再付着させることができる。したがって、ビア孔7の側壁におけるバリアメタルの被覆性を向上させることができる。   It continues and demonstrates the effect of this embodiment, using FIG. According to the present embodiment, a plurality of holes having different diameters (upper via hole 5 and lower via hole 3) are connected in the depth direction so that the diameter is reduced downward, and the connection portion is substantially connected to the base. A via hole 7 having a parallel surface 4 is provided. Thereby, the barrier metal can be resputtered on the horizontal plane 4 in the via hole 7, and the barrier metal can be reattached to the side wall of the via hole 7. Therefore, the barrier metal coverage on the side wall of the via hole 7 can be improved.

また、このようなビア孔7を設けることで、ビア孔7の側壁の傾斜角を容易に大きくすることができる。したがって、リスパッタプロセスの併用が可能となり、Cu埋設が容易となる。また、ビア孔7の水平面4の幅Δdを5nm以上とし、下地となるキャップ絶縁膜1dに対して0°〜10°の傾きとすることで、適量リスパッタされたバリアメタルがビア孔7の側壁に再付着する。そのため、仮にビア孔7の側壁のテーパー角が30°〜60°である場合でも、ビア孔7の側壁には水平面4からバリアメタルが供給されるため、ビア孔7の側壁におけるバリアメタルの過剰リスパッタを抑制することができる。したがって、バリアメタル被覆性を向上させることができる。   Further, by providing such a via hole 7, the inclination angle of the side wall of the via hole 7 can be easily increased. Therefore, the resputtering process can be used together, and Cu embedding becomes easy. Further, the width Δd of the horizontal surface 4 of the via hole 7 is set to 5 nm or more, and an inclination of 0 ° to 10 ° with respect to the cap insulating film 1d serving as a base, whereby the barrier metal re-sputtered in an appropriate amount is formed on the sidewall of the via hole 7. Reattach to. Therefore, even if the taper angle of the side wall of the via hole 7 is 30 ° to 60 °, the barrier metal is supplied from the horizontal surface 4 to the side wall of the via hole 7. Resputtering can be suppressed. Therefore, barrier metal coverage can be improved.

非特許文献1には、酸素イオンによるエッチング速度とイオン入射角との相関例が示されている。この例を図15に示す。この相関例は、テーパー角とリスパッタ速度の相関と同等に考えることができる。すなわち、ビアの側壁(図15中c)を90°とし、配線溝の底面0°(図15中a)としたとき、テーパー角30〜60°の範囲(図15中b)では配線溝の底面よりもリスパッタの速度が2倍以上となる。従ってテーパー角によってはリスパッタが過剰となり、テーパー部分でのバリアメタル膜の膜厚不足を引き起こす。さらに、それがCuシードの被覆性の劣化やそれにともなうマイクロボイドの増加などの原因となり、最終的にはビア配線の信頼性を劣化させることになる。   Non-Patent Document 1 shows a correlation example between the etching rate by oxygen ions and the ion incident angle. An example of this is shown in FIG. This correlation example can be considered equivalent to the correlation between the taper angle and the resputtering speed. That is, when the side wall of the via (c in FIG. 15) is 90 ° and the bottom surface of the wiring groove is 0 ° (a in FIG. 15), the wiring groove has a taper angle of 30 to 60 ° (b in FIG. 15). The resputtering speed is more than double that of the bottom surface. Therefore, depending on the taper angle, resputtering becomes excessive, and the barrier metal film is insufficient in the taper portion. Furthermore, this causes deterioration of the Cu seed coverage and the accompanying increase in microvoids, and ultimately degrades the reliability of the via wiring.

特許文献1に記載された製造方法を用いる場合、リスパッタプロセスとの併用を考慮するとテーパー角が60°以上のテーパー形状が要求される。しかしエッチング速度は45°付近で最大になるため、テーパー角は通常45〜50°で安定する。特許文献1に記載の実施例においてもテーパー角は53°とあり、テーパー面におけるリスパッタ速度の上昇を考慮すると、十分なリスパッタを行なえない。   When using the manufacturing method described in Patent Document 1, a taper shape having a taper angle of 60 ° or more is required in consideration of the combined use with the resputtering process. However, since the etching rate becomes maximum near 45 °, the taper angle is usually stable at 45 to 50 °. In the example described in Patent Document 1, the taper angle is 53 °, and sufficient resputtering cannot be performed in consideration of the increase in the resputtering speed on the tapered surface.

本実施形態の半導体装置では、ビア孔7の側壁におけるバリアメタルの被覆性を向上させて、Cu埋設性を向上させることができる。したがって、スルーホールビアの製造歩留まりと信頼性を向上させることができる。   In the semiconductor device of this embodiment, the barrier metal coverage on the side wall of the via hole 7 can be improved, and the Cu embedding property can be improved. Therefore, the manufacturing yield and reliability of the through-hole via can be improved.

以下に本発明の具体的な材料構成を含めた実施例について図面を用いて説明する。   Embodiments including specific material configurations of the present invention will be described below with reference to the drawings.

(実施例)
図6〜9は、本発明の実施例における半導体装置の製造方法を示す断面図である。シリコン基板(図示せず)上に第1配線層601をシングルダマシン法によって形成した(図6(a))。すなわち、あらかじめ絶縁膜601aに形成させた溝に、下部Cu配線601cを埋め込み、溝内以外の余剰な金属をCMP法により除去する。ついで、下部Cu配線601cの側面および外周をバリアメタル膜601bで覆い、下部Cu配線601cの上面をキャップ絶縁膜601dで覆う。なお、この上部にデュアルダマシン法またはシングルダマシン法によって形成される第2以降の配線層についても第1配線層601と同様な方法で形成することが可能である。第1配線層601を形成する配線層間絶縁膜601aと第2配線層以降を形成する配線層間絶縁膜602は異なる膜であってもよい。たとえば、第1配線層601を形成する配線層間絶縁膜601aは、比誘電率が3.1のSiOCH膜、第2配線層以降を形成する配線層間絶縁膜602は、比誘電率が2.5のSiOCH膜でもよい。
(Example)
6 to 9 are cross-sectional views showing a method for manufacturing a semiconductor device in an embodiment of the present invention. A first wiring layer 601 was formed on a silicon substrate (not shown) by a single damascene method (FIG. 6A). That is, the lower Cu wiring 601c is embedded in a groove previously formed in the insulating film 601a, and excess metal other than in the groove is removed by a CMP method. Next, the side surface and outer periphery of the lower Cu wiring 601c are covered with a barrier metal film 601b, and the upper surface of the lower Cu wiring 601c is covered with a cap insulating film 601d. Note that the second and subsequent wiring layers formed on the upper portion by the dual damascene method or the single damascene method can be formed by the same method as the first wiring layer 601. The wiring interlayer insulating film 601a that forms the first wiring layer 601 may be different from the wiring interlayer insulating film 602 that forms the second and subsequent wiring layers. For example, the wiring interlayer insulating film 601a forming the first wiring layer 601 has an SiOCH film having a relative dielectric constant of 3.1, and the wiring interlayer insulating film 602 forming the second wiring layer and later has a relative dielectric constant of 2.5. The SiOCH film may be used.

第1配線層601上に、配線層間絶縁膜602となる、厚さ210nmで比誘電率が2.5のSiOCH膜を、上記式(2)に示す環状有機シリカ構造を有する原料から、上述したプラズマCVD法により成膜した。   A SiOCH film having a thickness of 210 nm and a relative dielectric constant of 2.5, which becomes the wiring interlayer insulating film 602, is formed on the first wiring layer 601 from the raw material having the cyclic organic silica structure represented by the above formula (2). A film was formed by plasma CVD.

その後、処理時間15〜30秒のHeプラズマ処理による表面改質層の形成を行い、同一チャンバーにて、ハードマスク603として厚さ80nmのSiO膜を、SiHをソースガスに用いたプラズマCVD法により成膜した。ハードマスク603のSiO膜としてはTEOS(テトラエトキシシラン)をソースガスに用いたSiO膜を用いてもよい。また、前記Heプラズマ処理と、SiOハードマスク成膜は別チャンバーで行ってもよい。その後、既存のスルーホールエッチング工程によりスルーホールビア604を形成する(図6(b))。 Thereafter, a surface modification layer is formed by He plasma treatment for a treatment time of 15 to 30 seconds. In the same chamber, a SiO 2 film having a thickness of 80 nm is used as a hard mask 603, and plasma CVD using SiH 4 as a source gas. The film was formed by the method. The SiO 2 film of the hard mask 603 may be used SiO 2 film using TEOS (tetraethoxysilane) as a source gas. Further, the He plasma treatment and the SiO 2 hard mask film formation may be performed in separate chambers. Thereafter, a through-hole via 604 is formed by an existing through-hole etching process (FIG. 6B).

次に、厚さ250nmのレジスト605を塗布しスルーホールビア604を充填する(図6(c))。   Next, a resist 605 having a thickness of 250 nm is applied to fill the through-hole via 604 (FIG. 6C).

次に、スルーホールビア604への充填部分がレジスト605の表面に凹凸を形成するため、酸素プラズマを用いたレジスト605の全面エッチバックを行う。(図6(d))。このときレジスト605のエッチバック条件は、例えば、O流量0.1×10〜0.3×10sccm、圧力:1.3〜4Pa(10〜30mtorr)、RFパワー:0.5〜1.5kW、時間:10〜60秒に設定することが好ましい。 Next, in order to form unevenness on the surface of the resist 605 in the filling portion to the through-hole via 604, the entire surface of the resist 605 is etched back using oxygen plasma. (FIG. 6 (d)). At this time, the etch-back conditions of the resist 605 are, for example, an O 2 flow rate of 0.1 × 10 3 to 0.3 × 10 3 sccm, a pressure: 1.3 to 4 Pa (10 to 30 mtorr), and an RF power: 0.5 to It is preferable to set 1.5 kW and time: 10 to 60 seconds.

その後、ハードマスク603上に再度、厚さ250nmのレジスト606を塗布し、低温酸化膜607、反射防止膜608及びレジスト609からなる多層レジストマスクを形成する。該多層レジストマスクを用いた露光プロセスを経て、トレンチパターン610を形成する(図7(e))。   Thereafter, a resist 606 having a thickness of 250 nm is applied again on the hard mask 603, and a multilayer resist mask including the low-temperature oxide film 607, the antireflection film 608, and the resist 609 is formed. A trench pattern 610 is formed through an exposure process using the multilayer resist mask (FIG. 7E).

次に、既存のレジスト加工プロセスによりトレンチパターン610部分のハードマスク603を露出させる(図7(f))。ハードマスク603とレジスト606との加工選択性を利用し、レジスト606のみをスルーホールビア604の上部を部分的に除去し非充填部611を形成する(図7(g))。非充填部611の深さ(ΔD)は20〜40nmであることが望ましい。非充填部611を形成後、ハードマスク603のエッチングを行う際に、SiO膜(ハードマスク603)とSiOCH膜(配線層間絶縁膜602)との加工選択性がある等方性エッチングを用いることにより、配線層間絶縁膜602を肩落ちさせずに露出させる(図7(h))。このときハードマスク603のエッチング条件は、例えば、Ar:0.4×10〜1×10sccm、CF:0〜0.35×10sccm、CHF:20〜40sccm、圧力:4〜6.7Pa(30〜50mtorr)、RFパワー:0.5〜1.5kW、時間:40〜60秒に設定することが好ましい。 Next, the hard mask 603 of the trench pattern 610 is exposed by an existing resist processing process (FIG. 7F). Using the processing selectivity between the hard mask 603 and the resist 606, only the resist 606 is partially removed from the upper portion of the through-hole via 604 to form an unfilled portion 611 (FIG. 7G). The depth (ΔD) of the unfilled portion 611 is preferably 20 to 40 nm. When the hard mask 603 is etched after the unfilled portion 611 is formed, isotropic etching having processing selectivity between the SiO 2 film (hard mask 603) and the SiOCH film (wiring interlayer insulating film 602) is used. Thus, the wiring interlayer insulating film 602 is exposed without dropping the shoulder (FIG. 7H). At this time, the etching conditions of the hard mask 603 are, for example, Ar: 0.4 × 10 3 to 1 × 10 3 sccm, CF 4 : 0 to 0.35 × 10 3 sccm, CHF 3 : 20 to 40 sccm, Pressure: 4 It is preferable to set to 6.7 Pa (30 to 50 mtorr), RF power: 0.5 to 1.5 kW, and time: 40 to 60 seconds.

次に、酸素流量含有エッチング条件にて配線層間絶縁膜602をドライエッチングし、共に充填材レジスト605も同程度のレートでドライエッチングする。ドライエッチングが進むにつれ非充填部611の配線層間絶縁膜602は肩落ちをし始める(図8(i))。そのままトレンチエッチングを進めることで非充填部611の径が拡大していき(図8(j))、2段形状となる(図8(k))。このときトレンチエッチングの条件は、例えばAr:0.2×10〜0.6×10sccm、CF:0.3×10〜0.5×10sccm、O:5〜10sccm、圧力:4〜6.7Pa(30〜50mtorr)、RFパワー:0.2〜1kW、時間:5〜20秒に設定することが好ましい。 Next, the wiring interlayer insulating film 602 is dry-etched under the oxygen flow rate-containing etching conditions, and the filler resist 605 is also dry-etched at a similar rate. As the dry etching progresses, the wiring interlayer insulating film 602 in the unfilled portion 611 begins to fall off (FIG. 8I). By proceeding with the trench etching as it is, the diameter of the non-filled portion 611 is enlarged (FIG. 8 (j)) and becomes a two-stage shape (FIG. 8 (k)). At this time, the trench etching conditions are, for example, Ar: 0.2 × 10 3 to 0.6 × 10 3 sccm, CF 4 : 0.3 × 10 3 to 0.5 × 10 3 sccm, O 2 : 5 to 10 sccm. The pressure is preferably set to 4 to 6.7 Pa (30 to 50 mtorr), the RF power is set to 0.2 to 1 kW, and the time is set to 5 to 20 seconds.

スルーホールビア604を2段形状化して配線溝及び上部ビア孔を形成した後、充填材レジスト605、レジスト606をOを用いたアッシングにより除去し、引き続きCF、Ar及びOの混合ガスを用いたドライエッチングによりビア底部キャップ絶縁膜601dを開口させて開口部(下部ビア孔)612を形成する(図8(l))。 After the through-hole via 604 to form a two-step shaped to interconnect trenches and the upper via hole, fillers resist 605, the resist 606 is removed by ashing using O 2, subsequently a mixed gas of CF 4, Ar and O 2 The via bottom cap insulating film 601d is opened by dry etching using, thereby forming an opening (lower via hole) 612 (FIG. 8L).

次に、薬液処理にて開口部612のCu配線表面のCu酸化物やエッチング生成物などを除去し、開口部612を清浄する。このとき、前記薬液はフッ素を含むことが望ましい。さらに、厚さ3〜5nmの第1のバリアメタル膜613をイオン化スパッタ法によって成膜する(図9(m))。Arイオンスパッタにより、ビア底部の第1のバリアメタル膜613をリスパッタ処理し、第1配線層のCuを5〜20nm掘り込み、パンチスルー部614を形成し(図9(n))、厚さ5〜10nmの第2のバリアメタル膜615をスパッタ成膜する(図9(o))。このとき、バリアメタル膜613、615の成膜にALD(Atomic Layer Deposition)を使用しても良い。また、第1のバリアメタル膜613には窒化タンタルまたは窒素含有タンタル膜が好ましい。   Next, Cu oxide, etching products, and the like on the surface of the Cu wiring in the opening 612 are removed by chemical treatment, and the opening 612 is cleaned. At this time, the chemical solution preferably contains fluorine. Further, a first barrier metal film 613 having a thickness of 3 to 5 nm is formed by ionization sputtering (FIG. 9 (m)). The first barrier metal film 613 at the bottom of the via is resputtered by Ar ion sputtering, and Cu of the first wiring layer is dug by 5 to 20 nm to form a punch-through portion 614 (FIG. 9 (n)). A second barrier metal film 615 having a thickness of 5 to 10 nm is formed by sputtering (FIG. 9 (o)). At this time, ALD (Atomic Layer Deposition) may be used for forming the barrier metal films 613 and 615. The first barrier metal film 613 is preferably a tantalum nitride or nitrogen-containing tantalum film.

その後、バリアメタル膜615上に電界めっき法によりCuまたはCu合金を埋設して上部Cu配線616を形成し、その後、Cu粒成長のために窒素雰囲気中で350℃、2分間の熱処理をした後、余剰なCuおよびハードマスク603をCMPにより除去する。CMP処理により第2の配線層を形成した後、キャップ絶縁膜617をCVD法により成膜することで、SiOCH膜を用いた2層配線を形成する(図9(p))。このときCuまたはCu合金はCuAlやその他のCu合金であってもよい。   Thereafter, Cu or Cu alloy is embedded on the barrier metal film 615 by electroplating to form an upper Cu wiring 616, and then heat treatment is performed at 350 ° C. for 2 minutes in a nitrogen atmosphere for Cu grain growth. Then, excess Cu and hard mask 603 are removed by CMP. After the second wiring layer is formed by the CMP process, a cap insulating film 617 is formed by a CVD method to form a two-layer wiring using the SiOCH film (FIG. 9 (p)). At this time, Cu or Cu alloy may be CuAl or other Cu alloy.

本実施例で示した方法のうち、図7(g)で示す工程において、レジスト非充填部611の深さ(ΔD)を0〜20nm、20〜40nm、40nm以上としたビア配線を3種作製し以下のように評価した。   Among the methods shown in this embodiment, three types of via wirings in which the depth (ΔD) of the resist unfilled portion 611 is 0 to 20 nm, 20 to 40 nm, and 40 nm or more in the step shown in FIG. The evaluation was as follows.

1.TEM(透過型電子顕微鏡)による観察
図10はビア配線形状の断面をTEM(透過型電子顕微鏡)で観察した結果を示す図である。レジスト非充填部611の深さ(ΔD)を0〜10nmと小さくすると、図10(a)のようにビア配線形状は肩落ちの無い垂直形状となる(これを以下垂直ビアという。)。それに対して、レジスト非充填部611の深さ(ΔD)を20〜40nmとした場合、図10(b)のように非充填部深さに対応して2段形状となることが分かる(これを以下2段ビアという。)。さらに、レジスト非充填部611の深さ(ΔD)を40〜100nmで加工した場合、図10(c)のように、テーパー角45°のテーパー形状となった(これを以下テーパビアという。)。このように、スルーホールビア604のレジスト充填量によってビア配線の形状を制御が可能となることがわかった。2段ビアは、図1に対応する構造を有し、上部ビア孔5および下部ビア孔3が連結しているビア孔7が配線溝6の底面に形成されている。上部ビア孔5および下部ビア孔3の接続部にはほぼ水平な面4が形成されている。上部ビア孔5および下部ビア孔3の接続部の底面4は下地のキャップ絶縁膜に対して対し5°の傾きを有していた。また、Δdは50nmであり、D/Δdは0.66であった。
1. Observation by TEM (Transmission Electron Microscope) FIG. 10 is a diagram showing a result of observing a cross section of a via wiring shape with a TEM (Transmission Electron Microscope). When the depth (ΔD) of the resist unfilled portion 611 is reduced to 0 to 10 nm, the via wiring shape becomes a vertical shape without shoulder drop as shown in FIG. 10A (hereinafter referred to as a vertical via). On the other hand, when the depth (ΔD) of the resist unfilled portion 611 is set to 20 to 40 nm, it can be seen that a two-stage shape corresponding to the unfilled portion depth is obtained as shown in FIG. Hereinafter referred to as a two-stage via). Further, when the depth (ΔD) of the resist unfilled portion 611 was processed at 40 to 100 nm, a taper shape with a taper angle of 45 ° was formed as shown in FIG. 10C (hereinafter referred to as a taper via). Thus, it has been found that the via wiring shape can be controlled by the resist filling amount of the through-hole via 604. The two-stage via has a structure corresponding to FIG. 1, and a via hole 7 connecting the upper via hole 5 and the lower via hole 3 is formed on the bottom surface of the wiring groove 6. A substantially horizontal surface 4 is formed at the connection portion between the upper via hole 5 and the lower via hole 3. The bottom surface 4 of the connection portion between the upper via hole 5 and the lower via hole 3 has an inclination of 5 ° with respect to the underlying cap insulating film. Further, Δd was 50 nm and D / Δd was 0.66.

2.ビア抵抗の評価
図11は、上述した3種類のビア配線形状におけるそれぞれのビア抵抗の確率累積分布を示す。テーパビアは低抵抗側に大きくバラツキがあったのに対し、垂直ビア及び2段ビアではバラツキを小さくできた。テーパビアはビア底がエッチャント供給方向に露出しているため、図8(l)で示したキャップ絶縁膜601dの開口処理の際に、ビア径が拡大しやすい。そのため、テーパビアは低抵抗側に大きくばらついたと考えられた。一方、垂直ビア及び2段ビアではキャップ絶縁膜601dの開口時に寸法変動が起こりにくいためバラツキを小さくできたと考えられた。
2. Evaluation of Via Resistance FIG. 11 shows a probability cumulative distribution of each via resistance in the above-described three types of via wiring shapes. The taper via had a large variation on the low resistance side, while the vertical via and the two-stage via could reduce the variation. Since the via bottom of the tapered via is exposed in the etchant supply direction, the via diameter is likely to be enlarged during the opening process of the cap insulating film 601d shown in FIG. For this reason, it was considered that the taper via greatly varied toward the low resistance side. On the other hand, the vertical via and the two-stage via were considered to be able to reduce variations because the size variation hardly occurred when the cap insulating film 601d was opened.

3.大規模ビアチェーンの不良数の評価
図12は、上述した3種のビア配線形状における大規模ビアチェーンの不良数について比較した結果を示している。垂直ビアやテーパビアに比べ、2段ビアでは不良数が大きく低減し、垂直ビアの1/2となった。
3. Evaluation of Number of Defects in Large-Scale Via Chain FIG. 12 shows the result of comparison of the number of defects in the large-scale via chain in the three types of via wiring shapes described above. Compared to vertical and tapered vias, the number of defects in the two-stage via was greatly reduced to half that of the vertical via.

4.応力起因ボイド(Stress−Induced Voiding、SIV)に対する信頼性評価
図13は、上述した3種のビア配線形状におけるSiV信頼性試験の結果を示している。SiV信頼性の不良判定としては、SiV評価チェーンの単位ビア当りの抵抗値が10%上昇した場合をSiV不良と定義した。貫通孔で接続された下層配線と上層配線をそれぞれM1、M2としたとき、M2/M1=0.14/3.0μmのパターンにおいて高温保管1000時間まで行なった結果、テーパビアの不良率が20%近くまで上昇するのに対し、垂直ビアでは不良率0%であり、2段ビアでも1%程度(測定TEG中1個)と高信頼性を示した。
4). Reliability Evaluation for Stress-Induced Voiding (SIV) FIG. 13 shows the results of the SiV reliability test in the three types of via wiring shapes described above. As a defect determination of the SiV reliability, a case where the resistance value per unit via of the SiV evaluation chain increased by 10% was defined as an SiV defect. Assuming that the lower layer wiring and the upper layer wiring connected through the through holes are M1 and M2, respectively, the pattern of M2 / M1 = 0.14 / 3.0 μm was subjected to high-temperature storage up to 1000 hours. As a result, the taper via defect rate was 20%. In contrast to the rise to near, the defect rate was 0% for the vertical via, and about 1% for the two-stage via (1 piece in the measured TEG), indicating high reliability.

以上、図面を参照して本発明の実施形態について述べたが、これらは本発明の例示であり、上記以外の様々な構成を採用することもできる。たとえば、本実施形態では、下地がキャップ絶縁膜である例について説明したが、下地が基板であってもよい。本発明の他の態様について以下に説明する。   As mentioned above, although embodiment of this invention was described with reference to drawings, these are the illustrations of this invention, Various structures other than the above are also employable. For example, in the present embodiment, an example in which the base is a cap insulating film has been described, but the base may be a substrate. Other aspects of the invention are described below.

本発明の他の態様としては、Cu配線上に形成されたシリコン(Si)と炭素(C)とを主成分とするキャップ絶縁膜とSiとCと酸素(O)を主成分とする配線層間絶縁膜からなる積層構造であり、かつ該配線層間絶縁膜中にスルーホールビアを介して、下層の該Cu配線に接続されたCuデュアルダマシン配線が形成され、該スルーホールビアが少なくとも2つの異なる直径を持つ多段構造であって、該多段構造スルーホールビアの異なる直径の接続部が0°〜10°の水平面を有していることを特徴とする半導体装置がある。
他の態様の半導体装置は、前記多段構造スルーホールビアの異なる直径の差が少なくとも5nm以上あることを特徴とする。
さらには、前記段構造スルーホールビアのビア底が下地Cu配線中まで埋め込まれていることを特徴とする。
さらには、前記配線絶縁膜が膜中の炭素/シリコン比(C/Si)が1より大きい炭素リッチSiOCH膜であることを特徴とする。
さらには、前記炭素リッチSiOCH膜が平均空孔径0.8nm未満で、かつそれぞれの空孔が独立空孔であることを特徴とする膜であることを特徴とする。
さらには、前記キャップ絶縁膜が、SiCN、SiC、SiNのいずれか、またはこれらの積層膜であることを特徴とする。あるいは、前記キャップ絶縁膜は、不飽和炭化水素とアモルファスカーボンを有する複合膜、もしくは前記SiCNあるいはSiCと膜と該複合膜との積層膜であることを特徴とする。
さらには、前記炭素リッチSiOCH膜は、上記一般式(1)に示す環状有機シリカ構造を有することを特徴とする。
さらには、前記環状有機シリカ構造が上記一般式(2)、式(3)に示す構造を有することを特徴とする。
As another aspect of the present invention, a cap insulating film mainly composed of silicon (Si) and carbon (C) formed on a Cu wiring, and a wiring layer mainly composed of Si, C and oxygen (O). A Cu dual damascene wiring connected to the underlying Cu wiring is formed in the wiring interlayer insulating film via the through-hole via, and the through-hole via is at least two different. There is a semiconductor device having a multi-stage structure having a diameter, wherein the connection part having a different diameter of the multi-stage through-hole via has a horizontal plane of 0 ° to 10 °.
Another aspect of the semiconductor device is characterized in that a difference in different diameters of the multistage through-hole via is at least 5 nm or more.
Furthermore, the via bottom of the step-structure through-hole via is buried in the underlying Cu wiring.
Further, the wiring insulating film is a carbon-rich SiOCH film having a carbon / silicon ratio (C / Si) in the film larger than 1.
Furthermore, the carbon-rich SiOCH film is a film characterized in that the average pore diameter is less than 0.8 nm and each pore is an independent pore.
Furthermore, the cap insulating film is any one of SiCN, SiC, SiN, or a laminated film thereof. Alternatively, the cap insulating film is a composite film containing an unsaturated hydrocarbon and amorphous carbon, or a laminated film of the SiCN or SiC and the film and the composite film.
Furthermore, the carbon-rich SiOCH film has a cyclic organic silica structure represented by the general formula (1).
Furthermore, the cyclic organic silica structure has a structure shown in the general formulas (2) and (3).

また、本発明の他の態様の半導体装置の製造方法としては、Cu配線上に形成されたSiとCとを主成分とするキャップ絶縁膜と、SiとCを酸素(O)を主成分とする配線層間絶縁膜からなる積層構造であり、かつ該配線層間絶縁膜中にスルーホールビアを介して下層の該Cu配線に接続されたCuデュアルダマシン配線を形成する半導体装置の製造方法であって、かかる積層構造に該デュアルダマシン配線を形成する工程において、公知のドライエッチング工程により形成したスルーホールビアに充填物を埋設する工程と、該スルーホールビア上に公知の多層マスクおよびリソグラフィ工程によりトレンチ溝パターンを形成する工程と、該多層マスクを炭素(C)と水素(H)とフッ素(F)の3元素からなるCHF系ガスを含有する混合ガスを用いたドライエッチングにて除去し、さらに過剰にエッチングを進めることによりスルーホールビア内充填物を一部除去することにより、スルーホールビア内に非充填部を形成する工程と、Oを含有する混合ガスを用いたドライエッチングにて該充填物と該配線絶縁膜をドライエッチングすることによってトレンチ溝を形成すると同時に、該スルーホールビア開口部を多段形状に加工する工程と、O又は酸素(O)を含む酸化ガスを用いて該充填物を除去する工程とを有することを特徴とする、半導体装置の製造方法がある。
さらには、前記CHF系ガスを含有する混合ガスが、Ar、N、CF、CH、Oのいずれか、またはこれらのうち複数のガスを含むことを特徴とする。
さらには、前記Oを含有する混合ガスがAr、N、CF、CH、CHFのいずれか、またはこれらのうち複数のガスを含むことを特徴とする。
さらには、前記配線絶縁膜が組成比C/Siが1以上のSiOCH膜であることを特徴とする。
According to another aspect of the present invention, a method of manufacturing a semiconductor device includes a cap insulating film mainly composed of Si and C formed on a Cu wiring, and Si and C mainly composed of oxygen (O). A method of manufacturing a semiconductor device, wherein a Cu dual damascene wiring connected to a lower Cu wiring via a through-hole via is formed in the wiring interlayer insulating film and has a laminated structure. In the step of forming the dual damascene wiring in such a laminated structure, a step of burying a filler in a through hole via formed by a known dry etching step, and a trench by a known multilayer mask and a lithography step on the through hole via. A step of forming a groove pattern, and the multilayer mask containing a CHF-based gas composed of three elements of carbon (C), hydrogen (H), and fluorine (F). By removing by dry etching using a gas, further excessive partially removing the through hole via the fill by advancing the etching, and forming a non-filled portion in the through-hole via, the O 2 at the same time the filler and the wiring insulating film by dry etching using a mixed gas containing forming the trench by dry etching, the step of processing the through-hole via the opening in multiple stages shape, O 2 or And a step of removing the filling material using an oxidizing gas containing oxygen (O).
Furthermore, the mixed gas containing the CHF-based gas includes Ar, N 2 , CF 4 , CH 2 F 2 , O 2 , or a plurality of these gases.
Furthermore, the mixed gas containing O 2 includes any one of Ar, N 2 , CF 4 , CH 2 F 2 , and CHF 3 , or a plurality of these gases.
Furthermore, the wiring insulating film is a SiOCH film having a composition ratio C / Si of 1 or more.

上記の態様によれば、Cu配線上に形成された配線層間絶縁膜のビアファースト・デュアルダマシン加工方法において、トレンチ溝加工と同時にスルーホールビアを少なくとも2つの異なる直径を持つ多段構造に加工することにより、スルーホールビアのアスペクト比を低減し、Cu埋設性の向上を実現する。その結果、スルーホールビアの製造歩留まりと信頼性を向上させる。   According to the above aspect, in the via first dual damascene processing method of the wiring interlayer insulating film formed on the Cu wiring, the through hole via is processed into a multistage structure having at least two different diameters simultaneously with the trench groove processing. Thus, the aspect ratio of the through-hole via is reduced and the Cu burying property is improved. As a result, the manufacturing yield and reliability of through-hole vias are improved.

1 下層Cu配線構造
1a 絶縁膜
1b バリアメタル膜
1c 下部Cu配線
1d キャップ絶縁膜
2 上層Cu配線構造
2a 絶縁膜
2b バリアメタル膜
2c 上部Cu配線
2d キャップ絶縁膜
3 下部ビア孔
4 接続部の底面
5 上部ビア孔
6 配線溝
7 ビア孔
140 下部配線
141 配線溝
142 ビア
201 下層Cu配線構造
201a 絶縁膜
201b バリアメタル膜
201c 下部Cu配線
201d キャップ絶縁膜
202 絶縁膜
203 ハードマスク
204 ビア孔
204a ビア孔の側壁の一部
205 レジスト
206 低温酸化膜
207 反射防止膜
208 レジスト
209 トレンチ溝パターン
210 非充填部
212 第1のバリアメタル膜
213 パンチスルー部
214 第2のバリアメタル膜
215 上部Cu配線
216 キャップ絶縁膜
301 リザーバー
302 原料圧送部
303 キャリアガス供給部
304 液体マスフロー
305 ガスマスフロー
306 気化器
307 リアクター
308 基板
309 RF電源
310 排気ポンプ
401 ビア用エッチングストッパ膜
402 ビア間絶縁膜
403 配線用エッチングストッパ膜
404 配線間絶縁膜
601 第1配線層
601a 絶縁膜
601b バリアメタル膜
601c 下部Cu配線
601d キャップ絶縁膜
602 配線層間絶縁膜
603 ハードマスク
604 スルーホールビア
605 レジスト
606 レジスト
607 低温酸化膜
608 反射防止膜
609 レジスト
610 トレンチパターン
611 非充填部
612 開口部
613 第1のバリアメタル膜
614 パンチスルー部
615 第2のバリアメタル膜
616 上部Cu配線
617 キャップ絶縁膜
DESCRIPTION OF SYMBOLS 1 Lower layer Cu wiring structure 1a Insulating film 1b Barrier metal film 1c Lower Cu wiring 1d Cap insulating film 2 Upper layer Cu wiring structure 2a Insulating film 2b Barrier metal film 2c Upper Cu wiring 2d Cap insulating film 3 Lower via hole 4 Bottom surface 5 of connection part Upper via hole 6 Wiring groove 7 Via hole 140 Lower wiring 141 Wiring groove 142 Via 201 Lower layer Cu wiring structure 201a Insulating film 201b Barrier metal film 201c Lower Cu wiring 201d Cap insulating film 202 Insulating film 203 Hard mask 204 Via hole 204a Via hole Side wall portion 205 Resist 206 Low temperature oxide film 207 Antireflection film 208 Resist 209 Trench groove pattern 210 Unfilled portion 212 First barrier metal film 213 Punch through portion 214 Second barrier metal film 215 Upper Cu wiring 216 Cap insulating film 301 Reservoir 302 Raw material pumping unit 303 Carrier gas supply unit 304 Liquid mass flow 305 Gas mass flow 306 Vaporizer 307 Reactor 308 Substrate 309 RF power supply 310 Exhaust pump 401 Via etching stopper film 402 Inter-via insulating film 403 Wiring etching stopper film 404 Inter-wiring insulating film 601 First wiring layer 601a Insulating film 601b Barrier metal film 601c Lower Cu wiring 601d Cap insulating film 602 Wiring interlayer insulating film 603 Hard mask 604 Through hole via 605 Resist 606 Resist 607 Low temperature oxide film 608 Antireflection film 609 Resist 610 Trench pattern 611 Unfilled portion 612 Opening 613 First barrier metal film 614 Punch-through portion 615 Second barrier metal film 616 Upper Cu wiring 617 Cap insulating film

Claims (19)

下地上に形成された絶縁膜と、
前記絶縁膜に形成された配線溝と、
前記配線溝の底面に形成された接続孔と、
少なくとも前記接続孔の側壁を覆うバリアメタル膜と、
を有し、
前記接続孔は、径が異なる複数の孔から構成されており、
前記複数の孔は、下に向けて径が小さくなるように深さ方向に接続し、
前記複数の孔の接続部に前記下地に対してほぼ平行な面を有する、半導体装置。
An insulating film formed on the ground,
A wiring groove formed in the insulating film;
A connection hole formed in the bottom surface of the wiring groove;
A barrier metal film covering at least the side wall of the connection hole;
Have
The connection hole is composed of a plurality of holes having different diameters,
The plurality of holes are connected in the depth direction so that the diameter decreases downward,
A semiconductor device having a plane substantially parallel to the base at a connection portion of the plurality of holes.
前記複数の孔の接続部に、前記下地に対して0°〜10°の傾きからなる面を有する、請求項1に記載の半導体装置。   2. The semiconductor device according to claim 1, wherein a connection portion of the plurality of holes has a surface having an inclination of 0 ° to 10 ° with respect to the base. 前記接続孔の側壁がテーパー状に形成されている、請求項1または2に記載の半導体装置。   The semiconductor device according to claim 1, wherein a side wall of the connection hole is formed in a tapered shape. 前記上部孔の底面の径と前記下部孔の開口径との差をΔdとしたとき、Δd≧5nmである、請求項1乃至3いずれかに記載の半導体装置。   4. The semiconductor device according to claim 1, wherein Δd ≧ 5 nm, where Δd is a difference between a diameter of a bottom surface of the upper hole and an opening diameter of the lower hole. 前記下地がシリコン(Si)と炭素(C)とを主成分とするキャップ絶縁膜である、請求項1乃至4いずれかに記載の半導体装置。   The semiconductor device according to claim 1, wherein the base is a cap insulating film containing silicon (Si) and carbon (C) as main components. 前記キャップ絶縁膜で上面が覆われた金属配線をさらに有し、
前記接続孔の底面が前記金属配線に入り込んでいる、請求項1乃至5いずれかに記載の半導体装置。
It further has a metal wiring whose upper surface is covered with the cap insulating film,
The semiconductor device according to claim 1, wherein a bottom surface of the connection hole enters the metal wiring.
前記絶縁膜がSiとCと酸素(O)とを主成分とする、請求項1乃至6いずれかに記載の半導体装置。   The semiconductor device according to claim 1, wherein the insulating film contains Si, C, and oxygen (O) as main components. 前記絶縁膜中の炭素/シリコン比(C/Si)が1より大きいSiOCH膜である、請求項7に記載の半導体装置。   The semiconductor device according to claim 7, which is a SiOCH film having a carbon / silicon ratio (C / Si) in the insulating film of greater than 1. 前記絶縁膜が互いに独立した複数の空孔を有し、前記空孔の平均空孔径0.8nm以下である、請求項8に記載の半導体装置。   The semiconductor device according to claim 8, wherein the insulating film has a plurality of holes that are independent of each other, and the average hole diameter of the holes is 0.8 nm or less. 前記絶縁膜が、三量体の環状シロキサン構造を有し、前記環状シロキサン構造を構成しているシリコンに不飽和または飽和炭素鎖が結合している、請求項8または9に記載の半導体装置。   10. The semiconductor device according to claim 8, wherein the insulating film has a trimeric cyclic siloxane structure, and an unsaturated or saturated carbon chain is bonded to silicon constituting the cyclic siloxane structure. 前記接続孔の側壁の傾斜角が60°〜90°である、請求項1乃至10いずれかに記載の半導体装置。   The semiconductor device according to claim 1, wherein an inclination angle of a side wall of the connection hole is 60 ° to 90 °. 絶縁膜を形成する工程と、
前記絶縁膜を貫通する接続孔を形成する工程と、
前記接続孔に充填材を充填する工程と、
前記接続孔の開口を覆うマスクを前記絶縁膜上に形成する工程と、
前記マスク及び前記充填材の一部を除去するとともに前記接続孔の側壁の一部を露出させる第一のエッチング工程と、
露出した前記接続孔の側壁から前記絶縁膜を除去して、配線溝及び接続孔を形成する第二のエッチング工程と、
少なくとも前記接続孔の側壁をバリアメタル膜で覆う工程と、
を含み、
前記第二のエッチング工程において、
前記配線溝の底面から径が異なる複数の孔を下に向けて径が小さくなるように深さ方向に接続させて前記接続孔を形成し、前記複数の孔の接続部に前記接続孔の底面に対してほぼ平行な面を形成させる、半導体装置の製造方法。
Forming an insulating film;
Forming a connection hole penetrating the insulating film;
Filling the connection hole with a filler;
Forming a mask covering the opening of the connection hole on the insulating film;
A first etching step of removing a part of the mask and the filler and exposing a part of a side wall of the connection hole;
Removing the insulating film from the exposed sidewall of the connection hole to form a wiring groove and a connection hole;
Covering at least the side wall of the connection hole with a barrier metal film;
Including
In the second etching step,
A plurality of holes having different diameters from the bottom surface of the wiring groove are connected in the depth direction so that the diameter decreases downward, and the connection hole is formed at a connection portion of the plurality of holes. A method for manufacturing a semiconductor device, wherein a surface substantially parallel to the surface is formed.
前記第二のエッチング工程において、前記接続部に前記接続孔の底面に対して0°〜10°の傾きを有する面を形成する、請求項12に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 12, wherein in the second etching step, a surface having an inclination of 0 ° to 10 ° with respect to a bottom surface of the connection hole is formed in the connection portion. 前記第二のエッチング工程は、前記充填材を除去する工程をさらに含み、
前記第一のエッチング工程において、炭素(C)と水素(H)とフッ素(F)の3元素からなるCHF系ガスを含む第一のエッチングガスを用いて前記マスク及び前記充填材を除去し、
前記第二のエッチング工程において、
酸素ガスを含む第二のエッチングガスを用いて前記絶縁膜を除去し、
酸素原子(O)を含む酸化ガスを用いて前記充填材を除去する、請求項12または13に記載の半導体装置の製造方法。
The second etching step further includes a step of removing the filler,
In the first etching step, the mask and the filler are removed using a first etching gas containing a CHF-based gas composed of three elements of carbon (C), hydrogen (H), and fluorine (F),
In the second etching step,
The insulating film is removed using a second etching gas containing oxygen gas,
14. The method for manufacturing a semiconductor device according to claim 12, wherein the filler is removed using an oxidizing gas containing oxygen atoms (O).
前記第一のエッチングガスが、Ar、N、CF、CH及びOからなる群から選択されるガスを含むことを特徴とする請求項14に記載の半導体装置の製造方法。 The method for manufacturing a semiconductor device according to claim 14, wherein the first etching gas includes a gas selected from the group consisting of Ar, N 2 , CF 4 , CH 2 F 2, and O 2 . 前記第二のエッチングガスがAr、N、CF、CHF及びCHからなる群から選択されるガスを含むことを特徴とする請求項14または15に記載の半導体装置の製造方法。 The method for manufacturing a semiconductor device according to claim 14, wherein the second etching gas includes a gas selected from the group consisting of Ar, N 2 , CF 4 , CHF 3, and CH 2 F 2. . 下部配線を形成する前記工程と前記絶縁膜を形成する前記工程との間に、前記下部配線を覆う、シリコン(Si)と炭素(C)とを主成分とするキャップ絶縁膜を形成する工程をさらに含む、請求項12乃至16いずれかに記載の半導体装置の製造方法。   Forming a cap insulating film composed mainly of silicon (Si) and carbon (C) covering the lower wiring between the step of forming a lower wiring and the step of forming the insulating film; The method for manufacturing a semiconductor device according to claim 12, further comprising: 前記絶縁膜を形成する前記工程において、環状有機シリカ構造を有する、下記一般式(1)で示される原料から前記絶縁膜を形成する、請求項12乃至17いずれかに記載の半導体装置の製造方法。
Figure 2010278330
式(1)中、R、Rは不飽和炭素化合物または飽和炭素化合物(アルキル基)であり、不飽和基はビニル基、プロペニル基、イソプロペニル基、メチルプロペニル基、ジメチルプロペニル基のいずれかであり、アルキル基は、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかである。また、R1、R2は同じでも異なるものでも良い。
18. The method of manufacturing a semiconductor device according to claim 12, wherein in the step of forming the insulating film, the insulating film is formed from a raw material represented by the following general formula (1) having a cyclic organic silica structure. .
Figure 2010278330
In formula (1), R 1 and R 2 are an unsaturated carbon compound or a saturated carbon compound (alkyl group), and the unsaturated group is any of a vinyl group, a propenyl group, an isopropenyl group, a methylpropenyl group, and a dimethylpropenyl group. The alkyl group is any one of a methyl group, an ethyl group, a propyl group, an isopropyl group, and a butyl group. R1 and R2 may be the same or different.
前記環状有機シリカ構造を有する原料が下記式(2)または式(3)に示す構造を有する、請求項18に記載の半導体装置の製造方法。
Figure 2010278330
Figure 2010278330
The method for manufacturing a semiconductor device according to claim 18, wherein the raw material having a cyclic organic silica structure has a structure represented by the following formula (2) or formula (3).
Figure 2010278330
Figure 2010278330
JP2009130906A 2009-05-29 2009-05-29 Semiconductor device and method of manufacturing semiconductor device Pending JP2010278330A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009130906A JP2010278330A (en) 2009-05-29 2009-05-29 Semiconductor device and method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009130906A JP2010278330A (en) 2009-05-29 2009-05-29 Semiconductor device and method of manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JP2010278330A true JP2010278330A (en) 2010-12-09
JP2010278330A5 JP2010278330A5 (en) 2012-06-07

Family

ID=43425003

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009130906A Pending JP2010278330A (en) 2009-05-29 2009-05-29 Semiconductor device and method of manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2010278330A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013139620A (en) * 2011-12-28 2013-07-18 Samsung Display Co Ltd Thin film deposition device and thin film deposition method utilizing the same
WO2017057263A1 (en) * 2015-09-29 2017-04-06 大日本印刷株式会社 Wiring line structure and method of manufacturing same, semiconductor device, multilayer wiring line structure and method of manufacturing same, semiconductor element mounting substrate, method of forming pattern structure, mold for imprinting and method of manufacturing same, imprint mold set, and method of manufacturing multilayer wiring board
US9773699B2 (en) 2015-04-02 2017-09-26 Samsung Electronics Co., Ltd. Methods of forming wiring structures including a plurality of metal layers
KR20180082599A (en) * 2015-12-08 2018-07-18 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. Apparatus and techniques for filling cavities using angled ion beams
US10217705B1 (en) 2017-08-01 2019-02-26 Samsung Electronics Co., Ltd. Semiconductor devices
CN109935683A (en) * 2017-12-15 2019-06-25 三星电子株式会社 Semiconductor devices
CN110880519A (en) * 2018-09-05 2020-03-13 三星电子株式会社 Image sensor with a plurality of pixels
US10825766B2 (en) 2018-08-07 2020-11-03 Samsung Electronics Co., Ltd. Semiconductor device with multi-layered wiring and method for fabricating the same
JP2021048222A (en) * 2019-09-18 2021-03-25 株式会社東芝 Digital isolator
WO2023058728A1 (en) * 2021-10-08 2023-04-13 株式会社村田製作所 Elastic wave device and method for manufacturing elastic wave device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153126A (en) * 2002-10-31 2004-05-27 Fujitsu Ltd Method for manufacturing semiconductor device
JP2004356521A (en) * 2003-05-30 2004-12-16 Nec Electronics Corp Semiconductor device and its manufacturing method
WO2007032563A1 (en) * 2005-09-16 2007-03-22 Nec Corporation Wiring structure and semiconductor device and production methods thereof
JP2007081284A (en) * 2005-09-16 2007-03-29 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2007251105A (en) * 2006-03-20 2007-09-27 Fujitsu Ltd Semiconductor device and its manufacturing method
WO2008010591A1 (en) * 2006-07-21 2008-01-24 Nec Corporation Method for forming porous insulating film
JP2008047582A (en) * 2006-08-11 2008-02-28 Fujitsu Ltd Semiconductor device and method of manufacturing the same
WO2008078649A1 (en) * 2006-12-22 2008-07-03 Nec Corporation Semiconductor device and method for manufacturing the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153126A (en) * 2002-10-31 2004-05-27 Fujitsu Ltd Method for manufacturing semiconductor device
JP2004356521A (en) * 2003-05-30 2004-12-16 Nec Electronics Corp Semiconductor device and its manufacturing method
WO2007032563A1 (en) * 2005-09-16 2007-03-22 Nec Corporation Wiring structure and semiconductor device and production methods thereof
JP2007081284A (en) * 2005-09-16 2007-03-29 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2007251105A (en) * 2006-03-20 2007-09-27 Fujitsu Ltd Semiconductor device and its manufacturing method
WO2008010591A1 (en) * 2006-07-21 2008-01-24 Nec Corporation Method for forming porous insulating film
JP2008047582A (en) * 2006-08-11 2008-02-28 Fujitsu Ltd Semiconductor device and method of manufacturing the same
WO2008078649A1 (en) * 2006-12-22 2008-07-03 Nec Corporation Semiconductor device and method for manufacturing the same

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101930849B1 (en) * 2011-12-28 2018-12-20 삼성디스플레이 주식회사 Thin film depositing apparatus and the thin film depositing method using the same
JP2013139620A (en) * 2011-12-28 2013-07-18 Samsung Display Co Ltd Thin film deposition device and thin film deposition method utilizing the same
US9773699B2 (en) 2015-04-02 2017-09-26 Samsung Electronics Co., Ltd. Methods of forming wiring structures including a plurality of metal layers
TWI708540B (en) * 2015-09-29 2020-10-21 日商大日本印刷股份有限公司 Wiring structure and manufacturing method thereof, semiconductor device, multilayer wiring structure and manufacturing method thereof, semiconductor element mounting substrate, method of forming pattern structure, imprinting mold and manufacturing method thereof, imprinting mold set, and multilayer Manufacturing method of wiring board
WO2017057263A1 (en) * 2015-09-29 2017-04-06 大日本印刷株式会社 Wiring line structure and method of manufacturing same, semiconductor device, multilayer wiring line structure and method of manufacturing same, semiconductor element mounting substrate, method of forming pattern structure, mold for imprinting and method of manufacturing same, imprint mold set, and method of manufacturing multilayer wiring board
TWI788707B (en) * 2015-09-29 2023-01-01 日商大日本印刷股份有限公司 Wiring structure and manufacturing method thereof, semiconductor device, multilayer wiring structure and manufacturing method thereof, substrate for mounting semiconductor element, method of forming pattern structure, imprint mold and manufacturing method thereof, imprint mold set, and multilayer Manufacturing method of wiring board
US11191164B2 (en) 2015-09-29 2021-11-30 Dai Nippon Printing Co., Ltd. Wiring structure and method of manufacturing the same, semiconductor device, multilayer wiring structure and method of manufacturing the same, semiconductor element mounting substrate, method of forming pattern structure, imprint mold and method of manufacturing the same, imprint mold set, and method of manufacturing multilayer wiring board
JP7098522B2 (en) 2015-12-08 2022-07-11 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド Equipment and Techniques for Filling Cavities Using Inclined Ion Beams
KR102639549B1 (en) * 2015-12-08 2024-02-22 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. Devices and techniques for filling cavities using angled ion beams
KR20180082599A (en) * 2015-12-08 2018-07-18 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. Apparatus and techniques for filling cavities using angled ion beams
JP2019501489A (en) * 2015-12-08 2019-01-17 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド Apparatus and technique for filling a cavity using a tilted ion beam
US10217705B1 (en) 2017-08-01 2019-02-26 Samsung Electronics Co., Ltd. Semiconductor devices
US10497645B2 (en) 2017-08-01 2019-12-03 Samsung Electronics Co., Ltd. Semiconductor devices
CN109935683A (en) * 2017-12-15 2019-06-25 三星电子株式会社 Semiconductor devices
US10395979B2 (en) 2017-12-15 2019-08-27 Samsung Electronics Co., Ltd. Semiconductor devices
CN109935683B (en) * 2017-12-15 2023-04-25 三星电子株式会社 Semiconductor device with a semiconductor layer having a plurality of semiconductor layers
US10825766B2 (en) 2018-08-07 2020-11-03 Samsung Electronics Co., Ltd. Semiconductor device with multi-layered wiring and method for fabricating the same
CN110880519A (en) * 2018-09-05 2020-03-13 三星电子株式会社 Image sensor with a plurality of pixels
JP2021048222A (en) * 2019-09-18 2021-03-25 株式会社東芝 Digital isolator
JP7244394B2 (en) 2019-09-18 2023-03-22 株式会社東芝 digital isolator
WO2023058728A1 (en) * 2021-10-08 2023-04-13 株式会社村田製作所 Elastic wave device and method for manufacturing elastic wave device

Similar Documents

Publication Publication Date Title
JP2010278330A (en) Semiconductor device and method of manufacturing semiconductor device
TWI528454B (en) Semiconductor device and method of manufacturing semiconductor device
US7718524B2 (en) Method of manufacturing semiconductor device
JP5554951B2 (en) Manufacturing method of semiconductor device
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
JP5093479B2 (en) Method for forming porous insulating film
US20090093100A1 (en) Method for forming an air gap in multilevel interconnect structure
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
JP2011166106A (en) Semiconductor device manufacturing method, and semiconductor device
JPWO2008078649A1 (en) Semiconductor device and manufacturing method thereof
US9870944B2 (en) Back-end-of-line (BEOL) interconnect structure
JP2009194072A (en) Method of manufacturing semiconductor device
US20100090342A1 (en) Metal Line Formation Through Silicon/Germanium Soaking
JP4492949B2 (en) Manufacturing method of electronic device
JP5823359B2 (en) Manufacturing method of semiconductor device
JP5303568B2 (en) Manufacturing method of semiconductor device
JP2005038999A (en) Method of manufacturing semiconductor device
JP2005129937A (en) Low k integrated circuit interconnection structure
JP2007220934A (en) Manufacturing method of semiconductor device
JP2009094123A (en) Method of manufacturing semiconductor apparatus
KR101158059B1 (en) Method for forming metal line of semiconductor device
JP4643975B2 (en) Manufacturing method of semiconductor device
JP4695842B2 (en) Semiconductor device and manufacturing method thereof
KR20210025498A (en) Amorphous layers for reducing copper diffusion and method forming same
JP2006060011A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A521 Written amendment

Effective date: 20120424

Free format text: JAPANESE INTERMEDIATE CODE: A523

A621 Written request for application examination

Effective date: 20120424

Free format text: JAPANESE INTERMEDIATE CODE: A621

A977 Report on retrieval

Effective date: 20131031

Free format text: JAPANESE INTERMEDIATE CODE: A971007

A131 Notification of reasons for refusal

Effective date: 20131105

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140527

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140930