JP2010272676A - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2010272676A
JP2010272676A JP2009122929A JP2009122929A JP2010272676A JP 2010272676 A JP2010272676 A JP 2010272676A JP 2009122929 A JP2009122929 A JP 2009122929A JP 2009122929 A JP2009122929 A JP 2009122929A JP 2010272676 A JP2010272676 A JP 2010272676A
Authority
JP
Japan
Prior art keywords
wafer
semiconductor device
manufacturing
item
sputtering
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2009122929A
Other languages
Japanese (ja)
Inventor
Kazuya Sekiguchi
和哉 関口
Yoshio Miyama
吉生 深山
Yuji Takahashi
裕治 高橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2009122929A priority Critical patent/JP2010272676A/en
Publication of JP2010272676A publication Critical patent/JP2010272676A/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To solve a problem wherein, although a titanium-based barrier metal film formed of titanium and titanium nitride is widely used as an aluminum diffusion barrier metal film under an aluminum-based source electrode in a power MOSFET, according to an examination, when the titanium-based barrier metal is used, warpage of a wafer is increased, wafer handling becomes difficult, it becomes obvious that problems of a wafer fracture, a wafer chip and the like become inevitable, and this trend is particularly noticeable in a product having a minimum dimension ≤0.35 μm. <P>SOLUTION: When forming, by sputtering film formation, a tungsten-based barrier metal film (an alloy film containing tungsten such as TiW as a main constituent) as a barrier metal layer between an aluminum-based metal layer and a lower-layer silicon-based semiconductor layer, the barometric pressure of a sputtering film formation chamber is set ≤1.2 Pa. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、半導体装置(または半導体集積回路装置)の製造方法におけるメタル成膜技術に適用して有効な技術に関する。   The present invention relates to a technique effective when applied to a metal film forming technique in a method for manufacturing a semiconductor device (or a semiconductor integrated circuit device).

日本特開2000−223708号公報(特許文献1)、日本特開2007−165663号公報(特許文献2)、日本特開2001−267569号公報(特許文献3)、または日本特開2006−32598号公報(特許文献4)には、トレンチ・ゲート型のパワーMOSFET(Metal Oxide Semiconductor Field Effect Transistor)のアルミニウム・ソース電極のバリア・メタルとしてTiWを用いる技術が開示されている。   Japanese Unexamined Patent Publication No. 2000-223708 (Patent Literature 1), Japanese Unexamined Patent Publication No. 2007-165663 (Patent Literature 2), Japanese Unexamined Patent Publication No. 2001-267469 (Patent Literature 3), or Japanese Unexamined Patent Publication No. 2006-32598. The gazette (Patent Document 4) discloses a technique of using TiW as a barrier metal of an aluminum source electrode of a trench gate type power MOSFET (Metal Oxide Field Effect Transistor).

日本特開2003−318395号公報(特許文献5)、米国特許公開2003−0199156号公報(特許文献6)、または米国特許公開2005−0145899号公報(特許文献7)には、パワーMOSFETのアルミニウム・ソース電極のバリア・メタルとしてTiWを用い、その上にアルミニウム・ソース電極をリフローして形成する技術が開示されている。   Japanese Patent Application Laid-Open No. 2003-318395 (Patent Document 5), US Patent Publication No. 2003-0199156 (Patent Document 6), or US Patent Publication No. 2005-0145899 (Patent Document 7) discloses an aluminum power MOSFET. A technique is disclosed in which TiW is used as a barrier metal for a source electrode, and an aluminum source electrode is formed thereon by reflowing.

特開2000−223708号公報JP 2000-223708 A 特開2007−165663号公報JP 2007-165663 A 特開2001−267569号公報JP 2001-267469 A 特開2006−32598号公報JP 2006-32598 A 特開2003−318395号公報JP 2003-318395 A 米国特許公開2003−0199156号公報US Patent Publication No. 2003-0199156 米国特許公開2005−0145899号公報US Patent Publication No. 2005-0145899

パワーMOSFETにおいては、アルミニウム系ソース電極下のアルミニウム拡散バリア・メタル膜として、チタンおよび窒化チタンからなるチタン系バリア・メタル膜が広く使用されている。しかし、本願発明者らが検討したところによると、チタン系バリア・メタル膜を使用すると、ウエハの反りが増大して、ウエハ・ハンドリングが困難となり、ウエハ割れやウエハ欠け等の問題が不可避となることが明らかとなった。この傾向は、最小寸法が0.35マイクロ・メートル以下の製品において特に顕著である。   In power MOSFETs, a titanium-based barrier metal film made of titanium and titanium nitride is widely used as an aluminum diffusion barrier metal film under an aluminum-based source electrode. However, according to a study by the present inventors, when a titanium-based barrier metal film is used, the warpage of the wafer increases and wafer handling becomes difficult, and problems such as wafer cracking and wafer chipping are unavoidable. It became clear. This tendency is particularly prominent in products having a minimum dimension of 0.35 micrometer or less.

本願発明は、これらの課題を解決するためになされたものである。   The present invention has been made to solve these problems.

本発明の目的は、信頼性の高い半導体装置の製造プロセスを提供することにある。   An object of the present invention is to provide a manufacturing process of a highly reliable semiconductor device.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願の一つの発明は、アルミニウム系メタル層と下層のシリコン系半導体層の間のバリア・メタル層として、タングステン系バリア・メタル膜(TiW等のタングステンを主要な成分とする合金膜)をスパッタリング成膜によって形成する際、スパッタリング成膜チャンバの気圧を1.2パスカル以下とするものである。   That is, in one invention of the present application, a tungsten-based barrier metal film (alloy film containing tungsten as a main component such as TiW) is used as a barrier metal layer between an aluminum-based metal layer and a lower silicon-based semiconductor layer. When forming by sputtering film formation, the atmospheric pressure of the sputtering film formation chamber is set to 1.2 Pascal or less.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、アルミニウム系メタル層と下層のシリコン系半導体層の間のバリア・メタル層として、タングステン系バリア・メタル膜(TiW等のタングステンを主要な成分とする合金膜)をスパッタリング成膜によって形成する際、スパッタリング成膜チャンバの気圧を1.2パスカル以下とすることによって、アルミニウム系メタル層の有する応力を打ち消す応力を有するタングステン系バリア・メタル膜を形成することができるので、同一方向の応力の蓄積によるウエハの反りの増大を防止することができる。   That is, as a barrier metal layer between the aluminum metal layer and the underlying silicon semiconductor layer, a tungsten barrier metal film (an alloy film containing tungsten such as TiW as a main component) is formed by sputtering film formation. By setting the atmospheric pressure of the sputtering film formation chamber to 1.2 Pascal or less, a tungsten-based barrier metal film having a stress that counteracts the stress of the aluminum-based metal layer can be formed. It is possible to prevent an increase in warpage of the wafer due to.

本願の一実施の形態の半導体装置の製造方法に使用するマルチ・チャンバ型のウエハ処理装置の平面構成図である。It is a plane block diagram of the multi-chamber type wafer processing apparatus used for the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるタングステン系バリア・メタル膜成膜工程に使用するスパッタリング成膜チャンバの模式断面図である。1 is a schematic cross-sectional view of a sputtering film forming chamber used in a tungsten-based barrier metal film forming process in a method for manufacturing a semiconductor device according to an embodiment of the present application. 本願の一実施の形態の半導体装置の製造方法により製造されたパワーMOSFETの一例を示すデバイス上面図である。It is a device top view which shows an example of power MOSFET manufactured by the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス断面フロー図(ソース・コンタクト溝形成用レジスト・パターン形成工程)である。FIG. 5 is a device cross-sectional flow diagram (source / contact groove forming resist pattern forming step) of a trench / gate / cell portion in the method of manufacturing a semiconductor device according to an embodiment of the present application; 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス断面フロー図(ソース・コンタクト溝形成工程)である。FIG. 5 is a device cross-sectional flow diagram (source contact groove forming step) of a trench gate cell portion in a method for manufacturing a semiconductor device according to an embodiment of the present application; 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス断面フロー図(ソース・コンタクト溝形成用レジスト・パターン除去工程)である。FIG. 5 is a device cross-sectional flow diagram (source / contact trench forming resist pattern removal step) of a trench / gate / cell portion in the method of manufacturing a semiconductor device according to an embodiment of the present application; 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス断面フロー図(ソース・コンタクト溝延長工程)である。FIG. 6 is a device cross-sectional flow diagram (source contact groove extending step) of a trench gate cell portion in a method for manufacturing a semiconductor device according to an embodiment of the present application; 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス上面図(p+ボディ・コンタクト領域導入工程)である。It is a device top view (p + body contact region introduction | transduction process) of the trench gate cell part in the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部(図8のX−X’断面に対応する)のデバイス断面フロー図(p+ボディ・コンタクト領域導入工程)である。FIG. 10 is a device cross-sectional flow diagram (p + body contact region introducing step) of a trench gate cell portion (corresponding to the X-X ′ cross section of FIG. 8) in the method for manufacturing a semiconductor device of one embodiment of the present application; 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス上面図(コンタクト溝2段構造形成工程)である。It is a device top view (contact groove 2 step structure formation process) of a trench gate gate cell part in a manufacturing method of a semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部(図10のX−X’断面に対応する)のデバイス断面フロー図(コンタクト溝2段構造形成工程)である。FIG. 11 is a device cross-sectional flow diagram (contact groove two-stage structure forming step) of a trench gate cell portion (corresponding to the X-X ′ cross section of FIG. 10) in the method for manufacturing a semiconductor device of one embodiment of the present application; 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス断面フロー図(バリア・メタル膜成膜工程)である。FIG. 6 is a device cross-sectional flow diagram (barrier metal film forming step) of a trench, gate, and cell portion in the method for manufacturing a semiconductor device according to an embodiment of the present application. 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス断面フロー図(アルミニウム系メタル膜成膜工程)である。FIG. 5 is a device cross-sectional flow diagram (aluminum-based metal film forming step) of a trench, a gate, and a cell portion in the method for manufacturing a semiconductor device according to an embodiment of the present application. 本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス断面フロー図(裏面メタル膜成膜工程)である。FIG. 5 is a device cross-sectional flow diagram (rear surface metal film forming step) of a trench, a gate, and a cell portion in the method for manufacturing a semiconductor device of one embodiment of the present application. 図12に対応するバリア・メタル膜成膜工程後におけるTiWスパッタ圧力とウエハ反りの関係を示すデータプロット図である。FIG. 13 is a data plot diagram showing the relationship between TiW sputtering pressure and wafer warpage after the barrier metal film forming step corresponding to FIG. 12. 図14に対応する裏面メタル膜成膜工程後における前記実施の形態及び比較例についてのウエハ厚さとウエハ反りの関係を示すデータプロット図である。FIG. 15 is a data plot diagram showing the relationship between wafer thickness and wafer warpage for the embodiment and the comparative example after the back surface metal film forming step corresponding to FIG. 14.

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下の工程を含む半導体装置の製造方法:
(a)半導体ウエハの第1の主面上の第1の絶縁膜の上面から下方に向けて、凹部を形成する工程;
(b)前記凹部の内面及び前記第1の絶縁膜の前記上面に、スパッタリング成膜により、タングステン系バリア・メタル膜を形成する工程;
(c)前記工程(b)の後、前記凹部の内面および前記第1の絶縁膜の前記上面の前記タングステン系バリア・メタル膜を覆うように、アルミニウム系メタル層を形成する工程、
ここで、前記工程(b)は、気圧が1.2パスカル以下のスパッタリング成膜チャンバ内において行われる。
1. A semiconductor device manufacturing method including the following steps:
(A) forming a recess from the upper surface of the first insulating film on the first main surface of the semiconductor wafer downward;
(B) forming a tungsten-based barrier metal film by sputtering film formation on the inner surface of the recess and the upper surface of the first insulating film;
(C) after the step (b), forming an aluminum-based metal layer so as to cover the tungsten-based barrier metal film on the inner surface of the recess and the upper surface of the first insulating film;
Here, the step (b) is performed in a sputtering film forming chamber having an atmospheric pressure of 1.2 Pa or less.

2.前記1項の半導体装置の製造方法において、前記工程(b)において、前記タングステン系バリア・メタル膜は、タングステンを主要な成分として含み、チタンを副次的な成分として含む。   2. In the method of manufacturing a semiconductor device according to the item 1, in the step (b), the tungsten-based barrier metal film contains tungsten as a main component and titanium as a secondary component.

3.前記2項の半導体装置の製造方法において、前記工程(b)に使用するターゲットの組成重量比は、ほぼTi:W=1:9である。   3. In the method for manufacturing a semiconductor device according to the item 2, the composition weight ratio of the target used in the step (b) is approximately Ti: W = 1: 9.

4.前記1から3項のいずれか一つの半導体装置の製造方法において、前記工程(b)は、通常スパッタリングにより、実行される。   4). 4. In the method for manufacturing a semiconductor device according to any one of items 1 to 3, the step (b) is usually performed by sputtering.

5.前記1から4項のいずれか一つの半導体装置の製造方法において、タングステン系バリア・メタル膜の膜厚は、100nm以上、300nm以下である。   5. In the method for manufacturing a semiconductor device according to any one of 1 to 4, the film thickness of the tungsten-based barrier metal film is 100 nm or more and 300 nm or less.

6.前記1から5項のいずれか一つの半導体装置の製造方法において、前記アルミニウム系メタル層の厚さは、3マイクロ・メートル以上、7マイクロ・メートル以下である。   6). 6. In the method for manufacturing a semiconductor device according to any one of 1 to 5, the thickness of the aluminum-based metal layer is not less than 3 micrometers and not more than 7 micrometers.

7.前記1から6項のいずれか一つの半導体装置の製造方法において、前記気圧は、0.3パスカル以上である。   7). In the method for manufacturing a semiconductor device according to any one of 1 to 6, the atmospheric pressure is 0.3 Pascal or more.

8.前記1から6項のいずれか一つの半導体装置の製造方法において、前記気圧は、1.0パスカル以下である。   8). 7. In the method for manufacturing a semiconductor device according to any one of 1 to 6, the atmospheric pressure is 1.0 Pascal or less.

9.前記1から6項のいずれか一つの半導体装置の製造方法において、前記気圧は、0.4パスカル以上である。   9. In the method for manufacturing a semiconductor device according to any one of 1 to 6, the atmospheric pressure is 0.4 Pascal or more.

10.前記1から6項のいずれか一つの半導体装置の製造方法において、前記気圧は、0.3パスカル以上、1.0パスカル以下である。   10. In the method for manufacturing a semiconductor device according to any one of 1 to 6, the atmospheric pressure is 0.3 Pascal or more and 1.0 Pascal or less.

11.前記1から6項のいずれか一つの半導体装置の製造方法において、前記気圧は、0.4パスカル以上、1.0パスカル以下である。   11. In the method for manufacturing a semiconductor device according to any one of 1 to 6, the atmospheric pressure is 0.4 Pascal or more and 1.0 Pascal or less.

12.前記1から11項のいずれか一つの半導体装置の製造方法において、前記半導体ウエハの最終厚さは、80マイクロ・メートル以上、160マイクロ・メートル以下である。   12 12. In the method for manufacturing a semiconductor device as described above in any one of 1 to 11, the final thickness of the semiconductor wafer is not less than 80 micrometers and not more than 160 micrometers.

13.前記1から12項のいずれか一つの半導体装置の製造方法において、前記半導体ウエハは、200φシリコン系ウエハである。   13. 13. The method for manufacturing a semiconductor device according to any one of items 1 to 12, wherein the semiconductor wafer is a 200φ silicon-based wafer.

14.前記1から13項のいずれか一つの半導体装置の製造方法において、前記半導体装置は、パワーMOSFETを含む。   14 14. The method for manufacturing a semiconductor device according to any one of 1 to 13, wherein the semiconductor device includes a power MOSFET.

15.前記1から14項のいずれか一つの半導体装置の製造方法において、前記凹部は、2段構造を呈している。   15. 15. In the method for manufacturing a semiconductor device as described above in any one of 1 to 14, the recess has a two-stage structure.

〔本願における記載形式・基本的用語・用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of sections for convenience, if necessary, but these are not independent from each other unless otherwise specified. Each part of a single example, one part is the other part of the details, or part or all of the modifications. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

更に、本願において、「半導体装置」というときは、主に、各種トランジスタ(能動素子)などの単体デバイスや、これらを中心に、抵抗、コンデンサ等を半導体チップ等(たとえば単結晶シリコン基板)上に集積したものをいう。なお、単体といっても、実際は、微小な素子を複数集積したものもある。ここで、各種トランジスタの代表的なものとしては、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)に代表されるMISFET(Metal Insulator Semiconductor Field Effect Transistor)や、IGBT(Insulated gate Bipolar Transistor)を例示することができる。また、「MOS」といっても、絶縁膜を酸化物に限定しているわけではない。   Furthermore, in the present application, the term “semiconductor device” mainly refers to a single device such as various transistors (active elements), and mainly a resistor, a capacitor, etc. on a semiconductor chip or the like (for example, a single crystal silicon substrate). It is a collection. In addition, even if it is called a single unit, there are actually a plurality of small elements integrated. Here, as a typical example of various transistors, a MISFET (Metal Insulator Semiconductor Transistor which can be a MISFET represented by a MOSFET (Metal Oxide Semiconductor Field Effect Transistor) or a transistor, an IGB transistor which can be an IGBT transistor, an IBB transistor, an IGB transistor, an IBB transistor, an IGB transistor, an IGB transistor, an IB transistor, an IGB transistor, an IGBT, and an IGBT. . Also, “MOS” does not limit the insulating film to oxide.

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかに、そうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」、「酸化シリコン系絶縁膜」等と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノ・クラスタリング・シリカ(Nano-Clustering Silica:NSC)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。   2. Similarly, in the description of the embodiment and the like, the material, composition, etc. may be referred to as “X consisting of A”, etc., except when clearly stated otherwise and clearly from the context, except for A It does not exclude what makes an element one of the main components. For example, as for the component, it means “X containing A as a main component”. For example, “silicon member” is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and members containing other additives. Needless to say. Similarly, “silicon oxide film”, “silicon oxide insulating film”, etc. are not only relatively pure undoped silicon oxide (FS), but also FSG (Fluorosilicate Glass), TEOS-based silicon oxide ( Thermal oxide films such as TEOS-based silicon oxide), SiOC (Silicon Oxicarbide) or Carbon-doped Silicon oxide or OSG (Organosilicate glass), PSG (Phosphorus Silicate Glass), BPSG (Borophosphosilicate Glass), CVD Oxide film, SOG (Spin ON Glass), nano-clustering silica (Nano-Clustering Silica: NSC), etc., coated silicon oxide, silica-based low-k insulating film (porous) with pores introduced in the same materials Needless to say, it includes a composite insulating film and other silicon-based insulating films having these as main components.

また、酸化シリコン系絶縁膜と並んで、半導体分野で常用されているシリコン系絶縁膜としては、窒化シリコン系絶縁膜がある。この系統の属する材料としては、SiN,SiCN,SiNH,SiCNH等がある。   In addition to silicon oxide insulating films, silicon nitride insulating films that are commonly used in the semiconductor field include silicon nitride insulating films. Materials belonging to this system include SiN, SiCN, SiNH, SiCNH, and the like.

3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   3. Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   4). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

5.「ウエハ」というときは、通常は半導体装置(半導体集積回路装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャル・ウエハ、SOI基板、LCDガラス基板等の絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。   5. "Wafer" usually refers to a single crystal silicon wafer on which a semiconductor device (same as a semiconductor integrated circuit device and an electronic device) is formed, but is an insulating substrate such as an epitaxial wafer, an SOI substrate, or an LCD glass substrate. Needless to say, a composite wafer such as a semiconductor layer is also included.

6.本願においては、バリア・メタルについては、主に通常スパッタリング(指向性スパッタリングでないもの)により、成膜する例を説明しており、アルミニウム系メタル層については、主にイオン化スパッタリングにより、成膜する例を説明している。しかし、本願発明は、バリア・メタル成膜にイオン化スパッタリングやロング・スロー・スパッタリング(Long Throw Sputtering)を用いてもよいし、アルミニウム系メタル層の成膜に通常スパッタリングやロング・スロー・スパッタリングを用いてもよい。   6). In the present application, an example is described in which barrier metal is formed mainly by normal sputtering (not directional sputtering), and an aluminum-based metal layer is formed mainly by ionized sputtering. Is explained. However, in the present invention, ionization sputtering or long throw sputtering may be used for barrier metal film formation, and normal sputtering or long throw sputtering is used for film formation of an aluminum-based metal layer. May be.

ここで、「イオン化スパッタリング」は、指向性スパッタリング(ロング・スロー・スパッタリングまたはLTスパッタは、指向性スパッタリングであるが、一般には、イオン化スパッタリングではない)の一種であるが、通常のメタル・スパッタ成膜(たとえば通常スパッタリング成膜)が主に電気的に中性のスパッタ原子、分子、又は、これらのクラスタによっているのに対して、イオン化されたメタル・イオン等がシース電圧(更に付加的なバイアスを印加することもある)により、ウエハ面に比較的大きな垂直速度成分を持って入射することを利用して、カバレッジが良好なスパッタ成膜を実現したものである。このイオン化スパッタリング方式には、種々の形式があるが、ここでは、PCM方式について具体的に説明するが、この方式に限定されないことはいうまでもない。従って、「イオン化スパッタリング」は、成膜にイオン化された成膜目的メタル原子が実質的に寄与している方式であれば、その名称を問わない。本実施の形態では、通常スパッタリング装置として、キヤノン・アネルバ(Canon Anelva)社製のILC1060を使用した例について具体的に説明したが、その他の通常スパッタリング装置でもよいことは言うまでもない。   Here, “ionized sputtering” is a type of directional sputtering (long throw sputtering or LT sputtering is directional sputtering, but is generally not ionized sputtering). Whereas the film (for example, usually sputter deposition) is mainly due to electrically neutral sputtered atoms, molecules, or clusters thereof, ionized metal ions, etc. have sheath voltage (and additional bias). Thus, sputtering film formation with good coverage is realized by utilizing the fact that it is incident on the wafer surface with a relatively large vertical velocity component. Although there are various types of ionization sputtering methods, the PCM method will be specifically described here, but it is needless to say that the ionization sputtering method is not limited to this method. Therefore, the name of “ionizing sputtering” is not limited as long as it is a method in which the ionization-target metal atoms substantially contribute to film formation. In the present embodiment, an example in which ILC1060 manufactured by Canon Anelva is used as a normal sputtering apparatus has been specifically described, but it is needless to say that other normal sputtering apparatuses may be used.

また、イオン化スパッタリング装置として、PCM方式のキャノン・アネルバ社製のI−1080 PCMを使用した例について具体的に説明したが、その他のイオン化スパッタリング装置としては、アプライド・マテリアルズ(Applied Materials)のSIP−PVD(Self−Ionized Plasma Physical Vapor Deposition)装置等がある。また、アルバック(Ulvac)社も類似の装置を提供している。   Moreover, although the example which used I-1080 PCM by Canon Anelva company of a PCM system as an ionization sputtering apparatus was demonstrated concretely, as other ionization sputtering apparatuses, SIP of Applied Materials (Applied Materials) is mentioned. -There is a PVD (Self-Ionized Plasma Physical Vapor Deposition) device. ULVAC also provides a similar device.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle.

また、添付図面においては、却って、煩雑になる場合または空隙との区別が明確である場合には、断面であってもハッチング等を省略する場合がある。これに関連して、説明等から明らかである場合等には、平面的に閉じた孔であっても、背景の輪郭線を省略する場合がある。更に、断面でなくとも、空隙でないことを明示するために、ハッチングを付すことがある。   In the accompanying drawings, hatching or the like may be omitted even in a cross section when it becomes complicated or when the distinction from the gap is clear. In relation to this, when it is clear from the description etc., the contour line of the background may be omitted even if the hole is planarly closed. Furthermore, even if it is not a cross section, it may be hatched to clearly indicate that it is not a void.

なお、パワーMOSFET等に関して、各種のスパッタ成膜を用いたアルミニウム系メタル電極の形成技術の詳細については、日本特願第2008−002993号(日本出願日2008年1月10日)または日本特願第2009−092973号(日本出願日2009年4月7日)に詳しく記載されているので、本願では原則として、それらの部分の説明は繰り返さない。   Regarding power MOSFETs and the like, for details of the technology for forming aluminum-based metal electrodes using various sputter depositions, see Japanese Patent Application No. 2008-002993 (Japan filing date: January 10, 2008) or Japanese Patent Application. Since it is described in detail in No. 2009-092973 (Japan filing date: April 7, 2009), the description thereof will not be repeated in principle in the present application.

1.本願の一実施の形態の半導体装置の製造方法に使用するメタル成膜装置等の説明(主に図1および図2)
まず、本願の一実施の形態の半導体装置の製造方法に使用するメタル成膜装置等について、簡単に説明する。図1は、本願の一実施の形態の半導体装置の製造方法に使用するマルチ・チャンバ型(クラスタ型)のウエハ処理装置の平面構成図である。
1. Description of a metal film forming apparatus used in the method of manufacturing a semiconductor device according to an embodiment of the present application (mainly FIGS. 1 and 2)
First, a metal film forming apparatus and the like used in the method for manufacturing a semiconductor device according to an embodiment of the present application will be briefly described. FIG. 1 is a plan configuration diagram of a multi-chamber type (cluster type) wafer processing apparatus used in a method of manufacturing a semiconductor device according to an embodiment of the present application.

図1に示すように、前記製造プロセスに使用するスパッタリング装置(予備チャンバ58、AlSiスパッタリング・チャンバ61、TiW用通常スパッタ成膜チャンバ59)、熱処理装置(プリヒート処理チャンバ56)、エッチング装置(スパッタ・エッチング・チャンバ57)等は、クラスタ装置51に集積されている。このクラスタ装置51には4個のウエハ・カセット53を常圧下で収容するロードポート52(または前室)がある。ロードポート52に収容されたウエハは二つのロードロック室54のいずれかを介して、真空に変換されて真空搬送室55を通して各処理チャンバに供給される。排出時はその逆である。   As shown in FIG. 1, a sputtering apparatus (preliminary chamber 58, AlSi sputtering chamber 61, TiW normal sputtering film forming chamber 59), a heat treatment apparatus (preheat processing chamber 56), and an etching apparatus (sputtering / sputtering chamber) used in the manufacturing process are used. The etching chamber 57) and the like are integrated in the cluster apparatus 51. This cluster apparatus 51 has a load port 52 (or front chamber) for accommodating four wafer cassettes 53 under normal pressure. The wafer accommodated in the load port 52 is converted into a vacuum via one of the two load lock chambers 54 and supplied to each processing chamber through the vacuum transfer chamber 55. The opposite is true when discharging.

なお、この実施の形態では、TiW膜成膜後のシリサイデーション・アニール工程は、マルチ・チャンバ型ウエハ処理装置51とは異なる外部のバッチ処理炉により処理する例を示すが、たとえば、予備チャンバ58を枚葉式のRTA(Rapid Thermal Annealing)チャンバとすることで、一連のプロセスにおいて、ウエハ1を大気に触れさせることなく実行するようにしてもよい。   In this embodiment, the silicidation / annealing step after forming the TiW film is performed by an external batch processing furnace different from the multi-chamber type wafer processing apparatus 51. By using 58 as a single wafer RTA (Rapid Thermal Annealing) chamber, the wafer 1 may be executed without exposing it to the atmosphere in a series of processes.

図2は、本願の一実施の形態の半導体装置の製造方法におけるタングステン系バリア・メタル膜成膜工程に使用するスパッタリング・チャンバ59(通常の高指向性ではないスパッタ・チャンバ)の模式断面図である。このスパッタ・チャンバ(スパッタ装置)も他の汎用のメタル・スパッタ装置と同様に、マグネトロン・スパッタ(Magnetron Sputter)方式に含まれる。図2に示すように、チャンバ59の下部には、下部電極(ウエハ・ステージ)62が設けられており、成膜時には、このウエハ・ステージ62上にデバイス面1a(裏面1bの反対の面)を上に向けて、ウエハ1がセットされている。下部電極62は、通常、接地されている。また、ウエハ・ステージ62内には、静電チャック電極が設けられており、静電チャック制御系により、オン・オフ可能とされている。   FIG. 2 is a schematic cross-sectional view of a sputtering chamber 59 (ordinary non-directivity sputtering chamber) used in the tungsten-based barrier metal film forming step in the semiconductor device manufacturing method according to the embodiment of the present application. is there. This sputtering chamber (sputtering apparatus) is also included in the magnetron sputtering system, as is the case with other general-purpose metal sputtering apparatuses. As shown in FIG. 2, a lower electrode (wafer stage) 62 is provided at the lower portion of the chamber 59, and a device surface 1a (a surface opposite to the back surface 1b) is formed on the wafer stage 62 during film formation. The wafer 1 is set facing up. The lower electrode 62 is normally grounded. An electrostatic chuck electrode is provided in the wafer stage 62 and can be turned on and off by an electrostatic chuck control system.

このウエハ・ステージ62に対向して、チャンバ59の上部には、上部電極(ターゲット・バッキング・プレート)66が設けられており、その下面にはタングステン系バリア・メタルのターゲット67(ここでは、たとえば、10重量%程度のチタンを含有するTiWターゲットである)がセットされている。この上部電極66には、通常、上部電極直流バイアス電源74によって、直流電力(直流バイアス)が印加されるようになっている。   An upper electrode (target backing plate) 66 is provided on the upper portion of the chamber 59 so as to face the wafer stage 62, and a tungsten-based barrier metal target 67 (here, for example, TiW target containing about 10 wt% titanium) is set. A DC power (DC bias) is normally applied to the upper electrode 66 by an upper electrode DC bias power source 74.

チャンバ59の外には、ガス供給制御系が設けられており、ガス供給経路78を通して、チャンバ61内にアルゴン・ガスその他のガスを供給できるようになっている。また、チャンバ59内は、下方に設けられた排気口81を通して、真空排気系により真空排気され、スパッタリングに必要な高真空を保持可能とされている。   A gas supply control system is provided outside the chamber 59 so that argon gas and other gases can be supplied into the chamber 61 through the gas supply path 78. Further, the inside of the chamber 59 is evacuated by an evacuation system through an exhaust port 81 provided below, and can maintain a high vacuum necessary for sputtering.

2.本願の一実施の形態の半導体装置の製造方法により製造したパワーMOSFETのデバイス上面構造の一例の説明(主に図3)
図3は、本願の一実施の形態の半導体装置の製造方法により製造されたパワーMOSFETの一例を示すデバイス上面図である。図3に示すように、正方形又は長方形の板状のシリコン系半導体基板(個々のチップに分割する前はウエハである)上に素子を形成したパワーMOSFET素子チップ8(トレンチ・ゲート・パワーMOS型半導体装置)は中央部にあるソースパッド領域11(アルミニウム系パッド)が主要な面積を占めている。その下には、それらの幅(またはピッチ)よりも十分長く延びる帯状ゲート電極(柱状トレンチ・ゲート電極に対応)と帯状ソース・コンタクト領域が交互に多数形成された帯状繰り返しデバイス・パターン領域R(リニア・セル領域)がある。より正確には、リニア・セル領域Rは、ソースパッド領域11の下方のほぼ全体に広がっており、破線で囲った部分はその一部である。このリニア・セル領域Rの周辺には、ゲート電極を周辺から外部に引き出すゲートパッド領域13がある。更にその周りには、アルミニウム・ガードリング19が設けられている。そして、チップ8の最外周部はウエハをダイシング等により分割する際の領域、すなわち、スクライブ領域14である。
2. Description of an example of a device upper surface structure of a power MOSFET manufactured by a method of manufacturing a semiconductor device according to an embodiment of the present application (mainly FIG. 3)
FIG. 3 is a device top view showing an example of a power MOSFET manufactured by the method of manufacturing a semiconductor device according to the embodiment of the present application. As shown in FIG. 3, a power MOSFET element chip 8 (trench gate power MOS type) in which elements are formed on a square or rectangular plate-like silicon-based semiconductor substrate (wafer before being divided into individual chips). In the semiconductor device, the source pad region 11 (aluminum-based pad) in the center occupies the main area. Below that, a strip-like repetitive device pattern region R (a plurality of strip-like source contact regions and strip-like gate electrodes (corresponding to columnar trench gate electrodes) extending sufficiently longer than their width (or pitch) and strip-like source contact regions are formed. Linear cell region). More precisely, the linear cell region R extends almost entirely below the source pad region 11, and a portion surrounded by a broken line is a part thereof. In the periphery of the linear cell region R, there is a gate pad region 13 for leading the gate electrode from the periphery to the outside. Further, an aluminum guard ring 19 is provided therearound. The outermost peripheral portion of the chip 8 is an area when the wafer is divided by dicing or the like, that is, a scribe area 14.

3.本願の一実施の形態の半導体装置の製造方法におけるデバイス断面プロセス・フローの概要説明(主に図4から図14)
このセクションでは、0.15マイクロ・メートル・プロセスのリニア・トレンチ・ゲート型パワーMOSFETの例について、図4から図14に基づいて、セクション2における図3の帯状繰り返しデバイス・パターン領域切り出し部分Rのトレンチ・ゲート・セル部12に対応するデバイス断面(図3、図8または図10のX−X’断面)等について、プロセス・フローを説明する。
3. Outline of device cross-section process flow in manufacturing method of semiconductor device of one embodiment of the present application (mainly FIGS. 4 to 14)
In this section, an example of a linear trench gate type power MOSFET of 0.15 micrometer process is shown in FIG. 4 to FIG. A process flow will be described for a device cross section (XX ′ cross section of FIG. 3, FIG. 8, or FIG. 10) corresponding to the trench gate cell section 12 and the like.

図4は、本願の一実施の形態の半導体装置の製造方法におけるトレンチ・ゲート・セル部のデバイス断面フロー図(ソース・コンタクト溝形成用レジスト・パターン形成工程)である。ここでは、200ファイのn+型シリコン単結晶ウエハ(シリコン系ウエハ)にn型エピタキシャル層(たとえばエピタキシャル層の厚さは、4マイクロ・メートル程度)を形成したn型エピタキシャル・ウエハ1を原材料ウエハとして使用する例を説明するが、ウエハの径は300ファイでも450ファイでも、その他でもよい。また、ウエハの導電型はp型等でもよい。更に、ウエハの形式はエピタキシャル・ウエハに限らず、他の半導体基板や絶縁性基板等であってもよい。また、必要があれば、シリコン系以外の半導体ウエハ又は基板であってもよい。   FIG. 4 is a device cross-sectional flow diagram (source / contact trench forming resist pattern forming step) of the trench gate cell portion in the method of manufacturing a semiconductor device according to the embodiment of the present application. Here, an n-type epitaxial wafer 1 in which an n-type epitaxial layer (for example, the thickness of the epitaxial layer is about 4 micrometers) is formed on a 200 phi n + -type silicon single crystal wafer (silicon-based wafer) is used as a raw material wafer. An example of use will be described, but the diameter of the wafer may be 300 phi, 450 phi, or the like. Further, the conductivity type of the wafer may be p-type. Further, the type of wafer is not limited to an epitaxial wafer, and may be another semiconductor substrate, an insulating substrate, or the like. Further, if necessary, it may be a semiconductor wafer or substrate other than silicon.

図4に示すように、半導体ウエハ1は、主にn+シリコン基板部1sとエピタキシャル層1eからなり、エピタキシャル層1e内には、もともとのn型エピタキシャル層であるn型ドリフト領域2があり、その上部には、p型チャネル領域(p型ベース領域)3、n+ソース領域4等が形成されている。エピタキシャル層1eから上部が突出するように、複数のトレンチ・ゲート電極(ポリシリコン電極)6が周期的に設けられており、各トレンチ・ゲート電極6の中下部周辺には、ゲート絶縁膜7が設けられている。半導体ウエハ1のデバイス面側1aには、層間絶縁膜21が形成されており、各トレンチ・ゲート電極6を完全にカバーしている。この層間絶縁膜21としては、下層から、たとえば60nm程度の厚さを有する窒化シリコン膜(窒化シリコン系絶縁膜)、300nm程度の厚さを有するPSG膜(酸化シリコン系絶縁膜)、95nm程度の厚さを有するSOG膜(酸化シリコン系絶縁膜)等からなる多層絶縁膜を例示することができる。   As shown in FIG. 4, the semiconductor wafer 1 is mainly composed of an n + silicon substrate portion 1s and an epitaxial layer 1e. In the epitaxial layer 1e, there is an n-type drift region 2 which is an original n-type epitaxial layer. In the upper part, a p-type channel region (p-type base region) 3, an n + source region 4 and the like are formed. A plurality of trench gate electrodes (polysilicon electrodes) 6 are periodically provided so that the upper portion protrudes from the epitaxial layer 1 e, and a gate insulating film 7 is formed around the middle and lower portions of each trench gate electrode 6. Is provided. An interlayer insulating film 21 is formed on the device surface side 1 a of the semiconductor wafer 1 to completely cover each trench / gate electrode 6. As the interlayer insulating film 21, from the lower layer, for example, a silicon nitride film (silicon nitride insulating film) having a thickness of approximately 60 nm, a PSG film (silicon oxide insulating film) having a thickness of approximately 300 nm, A multilayer insulating film composed of a thick SOG film (silicon oxide insulating film) or the like can be exemplified.

層間絶縁膜21上には、加工のためのレジスト膜9が形成されている。このレジスト膜9をエッチング・マスクとして、ドライ・エッチングを実行すると、図5に示すように、凹部(ソース・コンタクト溝)22が形成される。次に、不要になったレジスト膜9を除去すると図6に示すような状態となる。   A resist film 9 for processing is formed on the interlayer insulating film 21. When dry etching is performed using the resist film 9 as an etching mask, a recess (source contact groove) 22 is formed as shown in FIG. Next, when the resist film 9 that has become unnecessary is removed, the state shown in FIG. 6 is obtained.

次に、パターニングされた層間絶縁膜21をエッチング・マスクとして、更にドライ・エッチングを実行すると、図7に示すように、凹部(ソース・コンタクト溝)22がp型チャネル領域3の上端まで延長される。   Next, when dry etching is further performed using the patterned interlayer insulating film 21 as an etching mask, the recess (source contact groove) 22 is extended to the upper end of the p-type channel region 3 as shown in FIG. The

この時点の図7に対応する(図9にも対応している)デバイス上面(ウエハ上面)を図8に示す。図8において、セル繰り返し単位領域Gを図9にも対応して示す。   A device upper surface (wafer upper surface) corresponding to FIG. 7 (corresponding to FIG. 9) at this time is shown in FIG. In FIG. 8, the cell repetition unit region G is also shown corresponding to FIG.

図7に続き、図9に示すように、ソース・コンタクト溝22(たとえば溝底幅300nm程度、深さ850nm程度、アスペクト比2以上、5以下程度であり、平均的には、2.8程度である)を通して、イオン注入により、p型チャネル領域3の表面領域に、p+ボディ・コンタクト領域5を導入する。   Following FIG. 7, as shown in FIG. 9, the source contact groove 22 (for example, the groove bottom width is about 300 nm, the depth is about 850 nm, the aspect ratio is about 2 or more and about 5 or less, and the average is about 2.8. P + body contact region 5 is introduced into the surface region of p-type channel region 3 by ion implantation.

次に、図11に示すように、ウエハ1の表側1aに対して、等方性酸化膜エッチングを実行することにより、層間絶縁膜21の幅を減少させる。これにより、凹部底面上段25および凹部底面下段26から構成された2段構造を有する凹部(ソース・コンタクト溝)22が完成する。この時点の図11に対応するデバイス上面(ウエハ上面)を図10に示す。   Next, as shown in FIG. 11, the width of the interlayer insulating film 21 is reduced by performing isotropic oxide film etching on the front side 1 a of the wafer 1. As a result, a recess (source contact groove) 22 having a two-stage structure constituted by the recess bottom upper stage 25 and the recess bottom lower stage 26 is completed. FIG. 10 shows a device upper surface (wafer upper surface) corresponding to FIG. 11 at this time.

図11の状態で、図12に示すように、半導体ウエハ1のデバイス面側1aのほぼ全面に、バリア・メタル膜23としてのTiW膜を、スパッタ成膜(図2)により、形成する。   In the state of FIG. 11, as shown in FIG. 12, a TiW film as a barrier metal film 23 is formed on almost the entire device surface side 1 a of the semiconductor wafer 1 by sputtering (FIG. 2).

このTiW膜23のスパッタ成膜は、たとえば、以下のような手順で実施する。すなわち、図1のウエハ搬送容器(ウエハ・カセット)53にウエハ1を収容して、マルチ・チャンバ型ウエハ処理装置51のロード・ポート52にセットする。そこから、ウエハ1は、まず、脱ガス・チャンバ56内のウエハ・ステージにセットされ、表面の水分等を除去するためのプレ・ヒート処理が実行される。プレ・ヒート処理の条件としては、たとえば、ステージ温度設定摂氏250度程度、圧力266パスカル程度、アルゴン流量200sccm程度、処理時間45秒程度を例示することができる。   The TiW film 23 is formed by sputtering in the following procedure, for example. That is, the wafer 1 is accommodated in the wafer transfer container (wafer cassette) 53 of FIG. 1 and set in the load port 52 of the multi-chamber type wafer processing apparatus 51. From there, the wafer 1 is first set on the wafer stage in the degas chamber 56, and a pre-heating process for removing moisture on the surface is executed. Examples of conditions for the pre-heat treatment include a stage temperature setting of about 250 degrees Celsius, a pressure of about 266 Pascal, an argon flow rate of about 200 sccm, and a processing time of about 45 seconds.

次に、ウエハ1は図1のスパッタ・エッチ・チャンバ57のウエハ・ステージにセットされ、表面の酸化膜を除去するためのスパッタ・エッチ処理が必要に応じて実行される。スパッタ・エッチ処理の条件としては、たとえば、ステージ温度無制御、圧力0.5パスカル程度、アルゴン流量37.5sccm程度、プラズマ励起方法は、たとえばCCP(Capacitively Coupled Plasma)方式、高周波パワー400W(たとえば60MHz)、処理時間25秒程度、エッチング量は10nm程度を例示することができる。   Next, the wafer 1 is set on the wafer stage of the sputter etch chamber 57 of FIG. 1, and a sputter etch process for removing the oxide film on the surface is performed as necessary. As the conditions of the sputter etching process, for example, the stage temperature is not controlled, the pressure is about 0.5 Pascal, the argon flow rate is about 37.5 sccm, and the plasma excitation method is, for example, the CCP (Capacitively Coupled Plasma) method, high frequency power 400 W (for example, 60 MHz). ), A processing time of about 25 seconds, and an etching amount of about 10 nm can be exemplified.

次に、ウエハ1は図1及び図2のTiW用スパッタ成膜チャンバ59のウエハ・ステージにセットされ、たとえば、通常スパッタリング方式によりTiWスパッタ成膜処理が実行される。ここでは、TiW用スパッタ成膜装置として、通常スパッタリング装置であるキャノン・アネルバ(Canon Anelva)社製のILC1060を使用した。成膜条件は、たとえば、膜厚200nm程度(好適な範囲としては、たとえば、100nm以上、300nm以下程度)、処理時間60秒程度、真空度0.5パスカル程度、アルゴン流量40sccm程度、ステージ温度は摂氏100度程度(ウエハは静電チャックをオフ)、ターゲット側直流電力3000ワット程度、ウエハ側接地、ターゲット組成チタン10%タングステン90%(重量%)である。なお、この工程はPCM方式等のイオン化スパッタ方式でも実施可能である。   Next, the wafer 1 is set on the wafer stage of the TiW sputter deposition chamber 59 shown in FIGS. 1 and 2, and a TiW sputter deposition process is performed by, for example, a normal sputtering method. Here, ILC1060 manufactured by Canon Anelva, which is a normal sputtering apparatus, was used as the sputtering film forming apparatus for TiW. The film forming conditions are, for example, a film thickness of about 200 nm (preferably, for example, about 100 nm or more and 300 nm or less), a processing time of about 60 seconds, a vacuum degree of about 0.5 Pascal, an argon flow rate of about 40 sccm, and a stage temperature About 100 degrees Celsius (wafer is off electrostatic chuck), target side DC power is about 3000 watts, wafer side ground, target composition titanium 10% tungsten 90% (% by weight). This step can also be performed by an ionization sputtering method such as a PCM method.

次に、シリサイデーション・アニールを実施すると、図12において、TiW膜23部分と接しているシリコン部材表面が、TiW膜23の下面及び内部から供給されたチタンにより、薄いチタン・シリサイドとなるが、図示が煩雑になるので、図12から図14においては、これらの変化は表示しない。   Next, when silicidation annealing is performed, in FIG. 12, the surface of the silicon member in contact with the TiW film 23 becomes thin titanium silicide due to titanium supplied from the lower surface and inside of the TiW film 23. Since the illustration becomes complicated, these changes are not displayed in FIGS.

このシリサイデーション・アニールは、たとえば、以下のような手順で実施する。すなわち、ウエハ1は図1のマルチ・チャンバ型ウエハ処理装置の外部へ搬出される。そして、ウエハ容器53に収容されて、たとえば、バッチ式のアニール装置に移送され、シリサイデーション・アニール処理が実行される。このシリサイデーション・アニール処理の条件としては、たとえば、温度摂氏650度程度、雰囲気圧力は、たとえば常圧、窒素ガス流量15リットル/分程度、処理時間10分程度を例示することができる。なお、この工程はマルチ・チャンバ型ウエハ処理装置51内またはその他の場所に設けられた枚葉式のRTA装置によっても実施可能である。   This silicidation annealing is performed by the following procedure, for example. That is, the wafer 1 is unloaded from the multi-chamber type wafer processing apparatus of FIG. And it accommodates in the wafer container 53, for example, is transferred to a batch-type annealing apparatus, and a silicidation annealing process is performed. As conditions for this silicidation annealing treatment, for example, a temperature of about 650 degrees Celsius, an atmospheric pressure, for example, a normal pressure, a nitrogen gas flow rate of about 15 liters / minute, and a processing time of about 10 minutes can be exemplified. This step can also be performed by a single wafer RTA apparatus provided in the multi-chamber type wafer processing apparatus 51 or at another place.

シリサイデーション・アニールが完了すると、図13に示すように、たとえば、PCMスパッタ成膜により、TiW膜23b上のほぼ全面に、シード・アルミニウム系メタル膜24sを形成する。なお、シード・アルミニウム系メタル膜部24sおよび本体のアルミニウム系メタル膜24は、その他のイオン化スパッタ成膜装置を用いて実行することもできる。また、埋め込み特性に関してあまり厳しくない状況では、通常スパッタリング成膜チャンバ59(図1)と同様な、通常の非イオン化スパッタ成膜装置を用いることもできる。   When the silicidation annealing is completed, as shown in FIG. 13, a seed aluminum-based metal film 24s is formed on almost the entire surface of the TiW film 23b by, for example, PCM sputtering film formation. The seed / aluminum-based metal film portion 24s and the main body-based aluminum-based metal film 24 can also be executed using other ionized sputtering film forming apparatuses. Further, in a situation where the embedding characteristics are not so severe, a normal non-ionized sputtering film forming apparatus similar to the normal sputtering film forming chamber 59 (FIG. 1) can also be used.

このシード・アルミニウム系メタル膜24sのスパッタ成膜は、たとえば、以下のような手順で実施する。すなわち、ウエハ1は、バッチ式のアニール装置から排出され、図1のウエハ搬送容器(ウエハ・カセット)53に収容されて、マルチ・チャンバ型ウエハ処理装置51のロード・ポート52にセットされる。そこから、ウエハ1は、再び、脱ガス・チャンバ56内のウエハ・ステージにセットされ、表面の水分等を除去するためのプレ・ヒート処理が実行される。プレ・ヒート処理の条件としては、たとえば、ステージ温度設定摂氏375度程度、圧力266パスカル程度、アルゴン流量200sccm程度、処理時間50秒程度を例示することができる。   The seed aluminum film 24s is formed by the following procedure, for example. That is, the wafer 1 is discharged from the batch type annealing apparatus, is accommodated in the wafer transfer container (wafer cassette) 53 of FIG. 1, and is set in the load port 52 of the multi-chamber type wafer processing apparatus 51. From there, the wafer 1 is set again on the wafer stage in the degas chamber 56, and a pre-heating process for removing moisture and the like on the surface is executed. Examples of conditions for the pre-heat treatment include a stage temperature setting of about 375 degrees Celsius, a pressure of about 266 Pascal, an argon flow rate of about 200 sccm, and a processing time of about 50 seconds.

その後、ウエハ1は、図1及び図2に示すアルミニウム系メタル膜スパッタリング・チャンバ61内のウエハ・ステージ62上にセットされ、シード・アルミニウム系メタル膜24sのスパッタ成膜処理が実行される。このシード・アルミニウム系メタル膜成膜処理の条件としては、たとえば、ステージ温度設定摂氏420度程度(静電チャックはオフ)、圧力5パスカル程度、アルゴン流量20sccm程度、上部電極高周波パワー4kW(たとえば60MHz)、上部電極直流パワー1kW、下部電極高周波パワー200W(たとえば13.56MHz)、処理時間14分程度、成膜量は2750nm程度を例示することができる。なお、ステージ温度設定の好適な範囲としては、摂氏400度から摂氏440度程度である。ここで、静電チャックをオフとすることで、シード・アルミニウム系メタル膜成膜処理時に、ウエハ温度が上がりすぎ、堆積したアルミニウム系メタル部材のリフローが過剰に進行して、ソース・コンタクト溝22の上部を閉鎖することを回避することができる。すなわち、アルミニウム系メタル部材膜形成の前半部分では、リフローによる平坦化よりも、ソース・コンタクト溝22の底面部に十分厚いアルミニウム系メタル部材膜を形成することの方が、最終的な埋め込み特性への寄与が大きい。従って、下部電極のバイアスは、メタル・イオンをウエハ上に、より垂直に行きこむ点で、この前半部分においては、特に有効である。   Thereafter, the wafer 1 is set on the wafer stage 62 in the aluminum-based metal film sputtering chamber 61 shown in FIGS. 1 and 2, and the sputter film forming process of the seed aluminum-based metal film 24s is executed. The conditions of the seed / aluminum-based metal film formation process include, for example, a stage temperature setting of about 420 degrees Celsius (the electrostatic chuck is off), a pressure of about 5 Pascal, an argon flow rate of about 20 sccm, and an upper electrode high frequency power of 4 kW (for example, 60 MHz). ), The upper electrode DC power 1 kW, the lower electrode high frequency power 200 W (for example, 13.56 MHz), the processing time is about 14 minutes, and the film formation amount is about 2750 nm. A suitable range for setting the stage temperature is about 400 degrees Celsius to 440 degrees Celsius. Here, by turning off the electrostatic chuck, the wafer temperature rises excessively during the seed / aluminum-based metal film forming process, and the reflow of the deposited aluminum-based metal member proceeds excessively, and the source contact groove 22 It is possible to avoid closing the top of the. That is, in the first half of the formation of the aluminum-based metal member film, the final embedding characteristic is achieved by forming a sufficiently thick aluminum-based metal member film on the bottom surface portion of the source contact groove 22 rather than flattening by reflow. The contribution of is large. Therefore, the bias of the lower electrode is particularly effective in this first half portion in that metal ions penetrate more vertically onto the wafer.

次に、図13に示すように、シード・アルミニウム系メタル膜24s上のほぼ全面に、PCMスパッタ成膜により、シード・アルミニウム系メタル膜24sと一体と成って、凹部(ソース・コンタクト溝)22の内部を満たし、更に凹部(ソース・コンタクト溝)22外のTiW膜23b上を覆うように、アルミニウム系メタル膜24を形成する。すなわち、この処理により、ソース電極24(IGBTにあってはエミッタ電極)となるべきアルミニウム系メタル膜24は形成される。   Next, as shown in FIG. 13, a concave portion (source contact groove) 22 is formed integrally with the seed / aluminum-based metal film 24s by PCM sputtering deposition on almost the entire surface of the seed / aluminum-based metal film 24s. Then, an aluminum-based metal film 24 is formed so as to fill the inside and further cover the TiW film 23 b outside the recess (source contact groove) 22. That is, by this process, the aluminum-based metal film 24 to be the source electrode 24 (emitter electrode in the case of IGBT) is formed.

この後者のアルミニウム系メタル膜24のスパッタ成膜処理(後半部分)は、たとえば、以下のような手順で実施する。すなわち、ウエハ1は、シード・アルミニウム系メタル膜24sの成膜の際の成膜室61のウエハ・ステージ62上にセットされた状態で(諸条件もほぼそのままの状態で)、連続的に、以下の処理条件に移行する。すなわち、後者のアルミニウム系メタル膜24のスパッタ成膜処理の条件としては、たとえば、ステージ温度設定摂氏420度程度(静電チャックはオン)、圧力5パスカル程度、アルゴン流量20sccm程度、上部電極高周波パワー4kW(たとえば60MHz)、上部電極直流パワー1kW、下部電極高周波パワーはオフ、処理時間14分程度、成膜量は2750nm程度を例示することができる。なお、ステージ温度設定の好適な範囲としては、摂氏400度から摂氏440度程度である。アルミニウム系メタル膜24全体の好適な厚さの範囲は、たとえば、3マイクロ・メートル以上、7マイクロ・メートル以下程度である。   The latter sputter deposition process (second half) of the aluminum-based metal film 24 is performed, for example, in the following procedure. That is, the wafer 1 is continuously set on the wafer stage 62 in the film forming chamber 61 when the seed / aluminum-based metal film 24s is formed (with various conditions substantially unchanged), Shift to the following processing conditions. That is, as the conditions for the sputtering process of the latter aluminum-based metal film 24, for example, the stage temperature setting is about 420 degrees Celsius (electrostatic chuck is on), the pressure is about 5 Pascal, the argon flow rate is about 20 sccm, the upper electrode high frequency power For example, 4 kW (for example, 60 MHz), upper electrode DC power 1 kW, lower electrode high-frequency power is off, processing time is about 14 minutes, and the amount of film formation is about 2750 nm. A suitable range for setting the stage temperature is about 400 degrees Celsius to 440 degrees Celsius. A preferable thickness range of the entire aluminum-based metal film 24 is, for example, about 3 μm or more and 7 μm or less.

なお、スパッタ成膜処理(前半部分および後半部)の際のステージ温度設定は、摂氏400度未満では、十分にリフローが進まず、摂氏440度を越えると不所望なメタルの凝集現象がおきやすくなる。また、スパッタ成膜処理(後半部)において、下部電極高周波パワーはオンにしておくと、ウエハ温度の不所望な上昇により、同様な凝集現象が起こる傾向がある。
なお、ソース電極材料としては、ここで説明するシリコン添加アルミニウム系メタル(AlSi)のほか、AlCu,純Al、銅系メタル部材等が使用可能である。
In addition, if the stage temperature setting during the sputter deposition process (first half and second half) is less than 400 degrees Celsius, the reflow does not proceed sufficiently, and if it exceeds 440 degrees Celsius, undesired metal agglomeration tends to occur. Become. Further, if the lower electrode high-frequency power is turned on in the sputter film formation process (second half), a similar aggregation phenomenon tends to occur due to an undesired rise in wafer temperature.
As a source electrode material, AlCu, pure Al, a copper metal member, etc. can be used in addition to the silicon-added aluminum metal (AlSi) described here.

その後、アルミニウム系メタル膜24をパターニングし、その上に、ファイナル・パッシベーション絶縁膜(たとえば2マイクロ・メートル程度の厚さを有する塗布系ポリイミド樹脂膜等の有機系絶縁膜)を形成して、必要な開口を形成する。その後、グラインディングやウエット・エッチングにより、ウエハ1の裏面を処理することによって、ウエハの厚さを所望の最終厚さ(たとえば、80マイクロ・メートル以上、160マイクロ・メートル以下程度)とする。すなわち、ウエハ1の薄膜化処理である。   Thereafter, the aluminum-based metal film 24 is patterned, and a final passivation insulating film (for example, an organic insulating film such as a coating-based polyimide resin film having a thickness of about 2 micrometers) is formed thereon. A clear opening. Thereafter, the back surface of the wafer 1 is processed by grinding or wet etching, so that the wafer has a desired final thickness (for example, about 80 μm or more and 160 μm or less). That is, the wafer 1 is thinned.

次に、図14に示すように、ウエハ1の裏面にドレイン電極メタル層20をスパッタ成膜により形成して、個々のチップに分割すると、図3に示すようなデバイスとなる。   Next, as shown in FIG. 14, when the drain electrode metal layer 20 is formed on the back surface of the wafer 1 by sputtering and divided into individual chips, the device shown in FIG. 3 is obtained.

なお、この例においては、図11に示すように、トレンチ・ゲート電極6の頭部は、比較的厚い層間絶縁膜21で被覆されており、隣接する層間絶縁膜21の間は、2段構造の凹部(ソース・コンタクト溝)22となっている。なお、このような構造にすると、コンタクト部分の面積が大きくなり、コンタクト特性を向上させることができるメリットがある。一方、2段構造の凹部(ソース・コンタクト溝)22とすることで、最下段(凹部底面下段26)と上段(凹部底面上段25)の境界部において、構造が複雑になるデメリットがある。従って、そのようなデメリットを回避したい場合は、平坦な底面を有する構造とすることもできる。   In this example, as shown in FIG. 11, the head portion of the trench gate electrode 6 is covered with a relatively thick interlayer insulating film 21, and a space between adjacent interlayer insulating films 21 is a two-stage structure. The concave portion (source contact groove) 22 is formed. Such a structure has an advantage that the area of the contact portion is increased and the contact characteristics can be improved. On the other hand, there is a demerit that the structure becomes complicated at the boundary between the lowermost stage (the concave bottom face 26) and the upper part (the concave bottom face upper stage 25) by using the concave portion (source contact groove) 22 having a two-stage structure. Therefore, when it is desired to avoid such disadvantages, a structure having a flat bottom surface can be used.

4.本願の一実施の形態の半導体装置の製造方法により製造したウエハの反りを示すデータの説明及び本願全体に対する考察等(主に図15および図16)
このセクションでは、前記実施の形態によって、ウエハの反りが低減する仕組み等を説明する。
4). Explanation of data showing warpage of wafer manufactured by manufacturing method of semiconductor device of one embodiment of the present application, consideration on the entire present application, etc. (mainly FIG. 15 and FIG. 16)
In this section, a mechanism for reducing the warpage of the wafer according to the above embodiment will be described.

図15は図12に対応するバリア・メタル膜成膜工程後におけるTiWスパッタ圧力とウエハ反りの関係を示すデータプロット図である。図16は図14に対応する裏面メタル膜成膜工程後における前記実施の形態及び比較例についてのウエハ厚さとウエハ反りの関係を示すデータプロット図である。ここで、反り量は、幾何学的には、ウエハの外周を含む平面からウエハの中心に向けて立てた法線の長さに、ほぼ対応している。反り量の符号は、デバイス面1aを上として、下に凸を正としている。   FIG. 15 is a data plot diagram showing the relationship between the TiW sputtering pressure and the wafer warp after the barrier metal film forming step corresponding to FIG. FIG. 16 is a data plot diagram showing the relationship between the wafer thickness and the wafer warpage in the embodiment and the comparative example after the back surface metal film forming step corresponding to FIG. Here, geometrically, the amount of warpage substantially corresponds to the length of a normal line standing from the plane including the outer periphery of the wafer toward the center of the wafer. The sign of the amount of warpage is such that the device surface 1a is up and the down is convex.

図15に示すように、スパッタ成膜時のチャンバ内の圧力が汎用圧力領域Aにあるときは、TiWスパッタ成膜完了時のウエハの反り量は、正又は負の比較的小さい値を示す。一方、スパッタ成膜時のチャンバ内の圧力が、前記実施の形態に対応する反り低減に有効な圧力領域Bにあるときは、TiWスパッタ成膜完了時のウエハの反り量は、比較的大きな負の値を示すことがわかる。このように、TiWスパッタ成膜時のチャンバ内圧力に依存して、反り応力が比較的大きく変化するのは、雰囲気を構成するアルゴンが、成膜中に取り込まれ、後に抜けるときに空孔を形成するからであると推定される。   As shown in FIG. 15, when the pressure in the chamber at the time of sputter deposition is in the general-purpose pressure region A, the amount of warpage of the wafer at the completion of TiW sputter deposition is a relatively small positive or negative value. On the other hand, when the pressure in the chamber at the time of sputter deposition is in the pressure region B effective for warpage reduction corresponding to the above-described embodiment, the amount of warpage of the wafer at the completion of TiW sputter deposition is relatively large. It turns out that the value of is shown. As described above, the warping stress changes relatively greatly depending on the pressure in the chamber during TiW sputtering film formation. Argon constituting the atmosphere is taken in during film formation and voids are removed when it later escapes. It is presumed that it is formed.

これに対応する裏面メタル成膜後(最終厚さ)のウエハの反り量(ウエハの最終厚さにおける反り量)は、図16に示すように、比較例では、ウエハの最終厚さが薄くなるに従って、急速にウエハの反り量が増加していることがわかる。一方、前記実施の形態に対応する成膜圧力におけるものでは、いずれの最終厚さにおいても、正負0.5ミリメートルの範囲に収まっている。これは、ソース電極金属であるアルミニウム系合金膜による反りは、正の比較的大きな値を示すが、この正方向の反り応力と、TiW膜の負方向の比較的大きな反り応力が均衡を保っているためと考えられる。それが大きな問題となるのは、薄膜化処理後の搬送等のハンドリングであるから、このように薄膜化処理後の時点で反りが少ないことは、量産上、非常に有利である。   As shown in FIG. 16, the warp amount of the wafer after the backside metal film formation (final thickness) corresponding to this (the warp amount in the final thickness of the wafer) is reduced in the comparative example as shown in FIG. It can be seen that the amount of warpage of the wafer rapidly increases. On the other hand, at the film forming pressure corresponding to the above-described embodiment, the final thickness is within the range of 0.5 mm. This is because the warp due to the aluminum-based alloy film as the source electrode metal shows a relatively large positive value, but the warp stress in the positive direction and the relatively large warp stress in the negative direction of the TiW film are balanced. It is thought that it is because. Since this is a major problem in handling such as conveyance after the thinning process, it is very advantageous in mass production that there is little warpage at the time after the thinning process.

スパッタ成膜時のチャンバ内の圧力(真空度)は、図15等から一般に1.2パスカル以下が好適である。しかし、プロセスの安定性を考慮すると、1.0パスカル以下が更に好適である。好適な気圧範囲の下限は、必要に応じて下げればよいので(ウエハの最終厚さに応じて)、特にないが、最終厚さが80マイクロ・メートル程度までなら、0.3パスカル(または0.4パスカル)以上としてもよい。従って、好適な範囲として、0.3パスカル以上、1.2パスカル以下(または、0.4パスカル以上、1.0パスカル以下)あるいは、これらの上限下限の各組み合わせに対応する範囲を例示することができる。   The pressure (degree of vacuum) in the chamber at the time of sputtering film formation is generally preferably 1.2 Pascal or less from FIG. However, considering process stability, 1.0 Pa or less is more preferable. The lower limit of the preferable atmospheric pressure range may be lowered as necessary (depending on the final thickness of the wafer), and although there is no particular limit, if the final thickness is up to about 80 micrometers, 0.3 Pascal (or 0 .4 Pascal) or higher. Therefore, as a preferable range, 0.3 Pascal or more, 1.2 Pascal or less (or 0.4 Pascal or more, 1.0 Pascal or less), or a range corresponding to each combination of these upper and lower limits Can do.

なお、バリア・メタルとして汎用されているチタン系材料は、アルミニウム系合金膜と同一方向の反り応力を持つため、TiW膜と同じ効果を得ることは困難である。また、SBD(Schottky Barrier Diode)内臓のデバイスでは、ダイオード特性確保の観点から、TiW膜等のタングステン系バリア・メタル膜が有効であるという付加的なメリットもある。   Note that titanium-based materials that are widely used as barrier metals have a warping stress in the same direction as that of the aluminum-based alloy film, and thus it is difficult to obtain the same effect as the TiW film. In addition, in a device with a built-in SBD (Schottky Barrier Diode), there is an additional advantage that a tungsten-based barrier metal film such as a TiW film is effective from the viewpoint of securing diode characteristics.

5.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本願の発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
5). Summary The invention made by the present inventor has been specifically described based on the embodiments. However, the invention of the present application is not limited thereto, and it goes without saying that various modifications can be made without departing from the scope of the invention. .

例えば、前記実施の形態では、パワーMOSFETを例にとり具体的に説明したが、本願の発明はそれに限定されるものではなく、IGBT等のその他の単体や、それらを含む集積回路素子その他に広く適用できることは言うまでもない。   For example, in the above-described embodiment, the power MOSFET has been specifically described as an example. However, the invention of the present application is not limited thereto, and is widely applied to other single units such as IGBTs, integrated circuit elements including them, and the like. Needless to say, you can.

また、前記実施の形態では、Nチャネル型パワーMOSFET等のNチャネル型デバイスについて具体的に説明したが、本願の発明はそれに限定されるものではなく、Pチャネル型パワーMOSFET等のPチャネル型デバイスにも適用できることは言うまでもない。その場合には、前記実施の形態において、PとNを総入れ替えするPN反転操作を実行すればよい。   In the above-described embodiment, the N-channel type device such as the N-channel type power MOSFET has been specifically described. However, the invention of the present application is not limited thereto, and the P-channel type device such as the P-channel type power MOSFET is used. Needless to say, it can also be applied. In that case, what is necessary is just to perform PN inversion operation which carries out total exchange of P and N in the said embodiment.

また、前記実施の形態では、メタル部材膜の形成方法として、主にスパッタ成膜法を中心に説明したが、本願の発明はそれに限定されるものではなく、必要に応じて、CVD法、メッキ法等の適用できることは言うまでもない。   Further, in the above-described embodiment, the description has mainly focused on the sputtering film forming method as the metal member film forming method. However, the invention of the present application is not limited thereto, and the CVD method, plating may be performed as necessary. Needless to say, law can be applied.

1 半導体ウエハ(エピタキシャル・ウエハ)
1a ウエハのデバイス面(第1の主面)
1b ウエハの裏面
1e エピタキシャル層(n型エピタキシャル層)
1s n+シリコン基板部
2 n型ドリフト領域
3 p型チャネル領域(p型ベース領域)
4 n+ソース領域
5 p+ボディ・コンタクト領域
6 トレンチ・ゲート電極(ポリシリコン電極)
7 ゲート絶縁膜
8 チップ又はチップ領域
9 レジスト膜
11 ソース・パッド
12 セル領域(トレンチ・ゲート・セル部)
13 ゲート・パッド
14 スクライブ領域(ダイシング領域)
19 ガード・リング
20 ドレイン電極
21 層間絶縁膜
22 凹部(ソース・コンタクト溝)
23 バリア・メタル膜
24 アルミニウム系メタル膜(ソース電極)
24s シード・アルミニウム系メタル膜部
25 凹部底面上段
26 凹部底面下段
51 マルチ・チャンバ型ウエハ処理装置
52 ロード・ポート(または前室)
53 ウエハ搬送容器(ウエハ・カセット)
54 ロード・ロック室
55 真空搬送室
56 脱ガス・チャンバ
57 スパッタ・エッチング・チャンバ
58 予備チャンバ
59 TiW用通常スパッタリング成膜チャンバ
61 アルミニウム系メタル膜スパッタリング・チャンバ
62 下部電極(ウエハ・ステージ)
66 上部電極(ターゲット・バッキング・プレート)
67 ターゲット
78 ガス供給経路
81 排気口
A 汎用圧力領域
B 反り低減に有効な圧力領域
G セル繰り返し単位領域
R 帯状繰り返しデバイス・パターン領域切り出し部分
1 Semiconductor wafer (epitaxial wafer)
1a Device surface of the wafer (first main surface)
1b Wafer backside 1e Epitaxial layer (n-type epitaxial layer)
1s n + silicon substrate part 2 n-type drift region 3 p-type channel region (p-type base region)
4 n + source region 5 p + body contact region 6 trench gate electrode (polysilicon electrode)
7 Gate insulating film 8 Chip or chip region 9 Resist film 11 Source pad 12 Cell region (trench gate gate cell part)
13 Gate pad 14 Scribe area (dicing area)
19 Guard ring 20 Drain electrode 21 Interlayer insulating film 22 Recessed portion (source contact groove)
23 Barrier metal film 24 Aluminum metal film (source electrode)
24 s Seed aluminum-based metal film part 25 Upper part of recess bottom part 26 Lower part of recess bottom part 51 Multi-chamber type wafer processing apparatus 52 Load port (or front chamber)
53 Wafer transfer container (wafer cassette)
54 Load lock chamber 55 Vacuum transfer chamber 56 Degassing chamber 57 Sputtering / etching chamber 58 Preliminary chamber 59 Conventional sputtering film forming chamber for TiW 61 Aluminum-based metal film sputtering chamber 62 Lower electrode (wafer stage)
66 Upper electrode (target backing plate)
67 Target 78 Gas supply path 81 Exhaust port A General-purpose pressure area B Pressure area effective for warping reduction G Cell repeat unit area R Strip-like repeat device / pattern area cut-out portion

Claims (15)

以下の工程を含む半導体装置の製造方法:
(a)半導体ウエハの第1の主面上の第1の絶縁膜の上面から下方に向けて、凹部を形成する工程;
(b)前記凹部の内面及び前記第1の絶縁膜の前記上面に、スパッタリング成膜により、タングステン系バリア・メタル膜を形成する工程;
(c)前記工程(b)の後、前記凹部の内面および前記第1の絶縁膜の前記上面の前記タングステン系バリア・メタル膜を覆うように、アルミニウム系メタル層を形成する工程、
ここで、前記工程(b)は、気圧が1.2パスカル以下のスパッタリング成膜チャンバ内において行われる。
A semiconductor device manufacturing method including the following steps:
(A) forming a recess from the upper surface of the first insulating film on the first main surface of the semiconductor wafer downward;
(B) forming a tungsten-based barrier metal film by sputtering film formation on the inner surface of the recess and the upper surface of the first insulating film;
(C) after the step (b), forming an aluminum-based metal layer so as to cover the tungsten-based barrier metal film on the inner surface of the recess and the upper surface of the first insulating film;
Here, the step (b) is performed in a sputtering film forming chamber having an atmospheric pressure of 1.2 Pa or less.
前記1項の半導体装置の製造方法において、前記工程(b)において、前記タングステン系バリア・メタル膜は、タングステンを主要な成分として含み、チタンを副次的な成分として含む。     In the method of manufacturing a semiconductor device according to the item 1, in the step (b), the tungsten-based barrier metal film contains tungsten as a main component and titanium as a secondary component. 前記2項の半導体装置の製造方法において、前記工程(b)に使用するターゲットの組成重量比は、ほぼTi:W=1:9である。     In the method for manufacturing a semiconductor device according to the item 2, the composition weight ratio of the target used in the step (b) is approximately Ti: W = 1: 9. 前記1項の半導体装置の製造方法において、前記工程(b)は、通常スパッタリングにより、実行される。     In the method for manufacturing a semiconductor device according to the item 1, the step (b) is usually performed by sputtering. 前記1項の半導体装置の製造方法において、タングステン系バリア・メタル膜の膜厚は、100nm以上、300nm以下である。     In the method for manufacturing a semiconductor device according to the item 1, the tungsten-based barrier metal film has a thickness of 100 nm or more and 300 nm or less. 前記1項の半導体装置の製造方法において、前記アルミニウム系メタル層の厚さは、3マイクロ・メートル以上、7マイクロ・メートル以下である。     In the method of manufacturing a semiconductor device according to the item 1, the thickness of the aluminum-based metal layer is not less than 3 micrometers and not more than 7 micrometers. 前記1項の半導体装置の製造方法において、前記気圧は、0.3パスカル以上である。     In the method for manufacturing a semiconductor device according to the item 1, the atmospheric pressure is 0.3 Pascal or more. 前記1項の半導体装置の製造方法において、前記気圧は、1.0パスカル以下である。     In the method for manufacturing a semiconductor device according to the item 1, the atmospheric pressure is 1.0 Pascal or less. 前記1項の半導体装置の製造方法において、前記気圧は、0.4パスカル以上である。     In the method for manufacturing a semiconductor device according to the item 1, the atmospheric pressure is 0.4 Pascal or more. 前記1項の半導体装置の製造方法において、前記気圧は、0.3パスカル以上、1.0パスカル以下である。     In the method for manufacturing a semiconductor device according to the item 1, the atmospheric pressure is 0.3 Pascal or more and 1.0 Pascal or less. 前記1項の半導体装置の製造方法において、前記気圧は、0.4パスカル以上、1.0パスカル以下である。     In the method for manufacturing a semiconductor device according to the item 1, the atmospheric pressure is 0.4 Pascal or more and 1.0 Pascal or less. 前記1項の半導体装置の製造方法において、前記半導体ウエハの最終厚さは、80マイクロ・メートル以上、160マイクロ・メートル以下である。     In the method for manufacturing a semiconductor device according to the item 1, the final thickness of the semiconductor wafer is not less than 80 micrometers and not more than 160 micrometers. 前記1項の半導体装置の製造方法において、前記半導体ウエハは、200φシリコン系ウエハである。     In the method for manufacturing a semiconductor device according to the item 1, the semiconductor wafer is a 200φ silicon-based wafer. 前記1項の半導体装置の製造方法において、前記半導体装置は、パワーMOSFETを含む。     In the method for manufacturing a semiconductor device according to the item 1, the semiconductor device includes a power MOSFET. 前記1項の半導体装置の製造方法において、前記凹部は、2段構造を呈している。     In the method for manufacturing a semiconductor device according to the item 1, the concave portion has a two-stage structure.
JP2009122929A 2009-05-21 2009-05-21 Method of manufacturing semiconductor device Withdrawn JP2010272676A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009122929A JP2010272676A (en) 2009-05-21 2009-05-21 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009122929A JP2010272676A (en) 2009-05-21 2009-05-21 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2010272676A true JP2010272676A (en) 2010-12-02

Family

ID=43420475

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009122929A Withdrawn JP2010272676A (en) 2009-05-21 2009-05-21 Method of manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2010272676A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020202313A (en) * 2019-06-11 2020-12-17 ローム株式会社 Semiconductor device and manufacturing method of the same
US11264462B2 (en) 2019-10-11 2022-03-01 Fuji Electric Co., Ltd. Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020202313A (en) * 2019-06-11 2020-12-17 ローム株式会社 Semiconductor device and manufacturing method of the same
US11264462B2 (en) 2019-10-11 2022-03-01 Fuji Electric Co., Ltd. Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Similar Documents

Publication Publication Date Title
JP5612830B2 (en) Manufacturing method of semiconductor device
JP2010245334A (en) Method of manufacturing semiconductor device
JP5431777B2 (en) Manufacturing method of semiconductor device
JP6867429B2 (en) Method of forming a metal-silicon compound layer and a metal-silicon compound layer formed from the method
US7790616B2 (en) Encapsulated silicidation for improved SiC processing and device yield
US8513094B2 (en) Method of manufacturing semiconductor device
US20070111522A1 (en) Formation of metal silicide layer over copper interconnect for reliability enhancement
US8569132B2 (en) Semiconductor device and manufacturing method thereof
US20110221063A1 (en) Manufacturing Method of Semiconductor Device
TWI717554B (en) Methods and devices using pvd ruthenium
US7088001B2 (en) Semiconductor integrated circuit device with a metallization structure
JP2010272676A (en) Method of manufacturing semiconductor device
JP2007273490A (en) Method of manufacturing semiconductor integrated circuit device
TW202347612A (en) Integrated chip and forming method thereof
JP2002334927A (en) Method for manufacturing semiconductor device
CN105244338B (en) Contact for semiconductor device and method of forming the same
JP5620096B2 (en) Manufacturing method of semiconductor device
TWI843148B (en) Systems and methods for singulation of gan-on-silicon wafers
US5994219A (en) Add one process step to control the SI distribution of Alsicu to improved metal residue process window
TWI843902B (en) Methods and devices for subtractive self-alignment
JPS6384154A (en) Manufacture of semiconductor device
TW202237873A (en) Apparatus and method of manufacturing semiconductor device
EP0570069A2 (en) Semiconductor device with a semiconductor body of which a surface is provided with a conductor pattern formed in a layer package comprising a TiW layer and an Al layer

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20120807