JP2010141349A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2010141349A
JP2010141349A JP2010027575A JP2010027575A JP2010141349A JP 2010141349 A JP2010141349 A JP 2010141349A JP 2010027575 A JP2010027575 A JP 2010027575A JP 2010027575 A JP2010027575 A JP 2010027575A JP 2010141349 A JP2010141349 A JP 2010141349A
Authority
JP
Japan
Prior art keywords
layer
strained
strain
region
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010027575A
Other languages
Japanese (ja)
Inventor
Nobuyuki Sugii
信之 杉井
Kiyokazu Nakagawa
清和 中川
Shinya Yamaguchi
伸也 山口
Masanobu Miyao
正信 宮尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2010027575A priority Critical patent/JP2010141349A/en
Publication of JP2010141349A publication Critical patent/JP2010141349A/en
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for manufacturing a semiconductor device having a low-power consumption and high-speed MOSFET, by using the combination of Si and an element belonging to the same group as Si, like Ge or C. <P>SOLUTION: In the method for manufacturing a semiconductor device including an Si layer 1, a gate electrode 16 of the MOSFET formed over the Si layer 1, a source region 14 and a drain region 15 formed in the Si layer 1, and a channel region formed in an area between them, the Si layer in an area where the source region 14 or the drain region 15 is formed is selectively etched, and SiGe is selectively grown in a groove formed by the selective etching. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は半導体装置およびその製造方法に関し、特に電界効果トランジスタを含む半導体装置に関する。   The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to a semiconductor device including a field effect transistor.

SiMOS型電界効果トランジスタ(Si−MOSFET)を用いた集積回路では、いわゆるスケーリング則にのっとって、デバイス寸法の縮小や動作電圧の低減などを行うことにより、消費電力の低減と、高速化を両立してきた。   In integrated circuits using SiMOS-type field effect transistors (Si-MOSFETs), reductions in power consumption and speed have been achieved by reducing device dimensions and operating voltage according to the so-called scaling law. It was.

しかしながら、寸法縮小に伴い発生する短チャンネル効果の問題や、低電圧化した場合に顕著になる、ドレイン電圧としきい値電圧の近接による動作マージンの低下など、多くの問題点が生じてきている。   However, many problems have arisen, such as a problem of the short channel effect that occurs as a result of size reduction, and a reduction in operation margin due to the proximity of the drain voltage and the threshold voltage, which becomes noticeable when the voltage is lowered.

また、高速化の指標となる移動度に目を向けると、上記のさまざまな改良が、皮肉なことに実デバイスにおけるSiの移動度を100以下と、バルクの値をはるかに下回らせる結果に陥れている。   Looking at the mobility, which is an index for speeding up, the various improvements described above ironically make the Si mobility in real devices less than 100, far below the bulk value. ing.

このように従来のSi−MOSFETではもはや性能向上がきわめて困難になってきている。   As described above, it has become extremely difficult to improve the performance of the conventional Si-MOSFET.

なお、特許文献1や非特許文献1には、SiあるいはGeに歪を印加すると、歪を受けないSiあるいはGeに比べてキャリアの移動度が増大しうることが示唆されている。   Note that Patent Document 1 and Non-Patent Document 1 suggest that when strain is applied to Si or Ge, the mobility of carriers can be increased compared to Si or Ge that is not subjected to strain.

特開平6−177375号公報JP-A-6-177375

M.V.Fischetti and S.E.Laux:J.Appl.Phys.80(1996)2234M.M. V. Fischetti and S. E. Laux: J.M. Appl. Phys. 80 (1996) 2234

これ以上の性能向上には、半導体材料そのものの改良で高速化を図る必要性がある。本質的に高速である所謂化合物半導体を用いることは、ひとつの解答ではあるものの、Si集積回路の製造技術との融合性の点ではなはだ困難であり、かつ製造コストが膨大になるため、現実的な解決策ではない。   For further performance improvement, it is necessary to increase the speed by improving the semiconductor material itself. The use of so-called compound semiconductors that are inherently high-speed is one solution, but is difficult in terms of compatibility with the manufacturing technology of Si integrated circuits, and the manufacturing cost is enormous, so it is realistic. Is not a good solution.

本発明の目的は、Siおよびこれと同族元素であるGe,Cなどの組合せを用いて、低消費電力で高速な電界効果トランジスタを有する半導体装置を提供することにある。   An object of the present invention is to provide a semiconductor device having a low-power consumption and high-speed field effect transistor by using a combination of Si, Ge and C which are the elements of the same family.

上記目的は、電界効果トランジスタのチャネルが形成されるチャネル形成層に歪印加半導体層により歪を印加せしめ、チャネル中のキャリアの移動度を無歪のチャネル形成層の材料より大きくすることにより達成できる。例えば、チャネル形成層の材料がSiの場合は、歪印加によりSiチャネル形成層の面内の格子定数を無歪のSiより大きくする。   The above object can be achieved by applying a strain to the channel forming layer in which the channel of the field effect transistor is formed by the strain applying semiconductor layer so that the mobility of carriers in the channel is larger than the material of the unstrained channel forming layer. . For example, when the material of the channel formation layer is Si, the lattice constant in the surface of the Si channel formation layer is made larger than that of unstrained Si by applying strain.

非特許文献1には、SiあるいはGeに歪を印加すると、歪を受けないSiあるいはGeに比べてキャリアの移動度が増大しうることが示唆されている。これは、サファイア上にSiを堆積すると、Siが面内歪を受けることにより移動度が増加する現象と起源を同じくし、古くから知られていることである。本発明はこの現象を応用して電界効果トランジスタおよびそれを用いた集積回路等の半導体装置を作製するものである。   Non-Patent Document 1 suggests that when strain is applied to Si or Ge, the mobility of carriers can be increased as compared to Si or Ge that is not subjected to strain. This is the same as the phenomenon that when Si is deposited on sapphire, the mobility increases due to the in-plane strain of Si, and it has been known for a long time. The present invention applies this phenomenon to manufacture a field effect transistor and a semiconductor device such as an integrated circuit using the same.

また上記目的は、チャネル形成層とこのチャネル形成層の両面に隣接する層との界面の価電子帯の頂点のエネルギーを、ゲート絶縁膜側の方を他方より大きくしたp型電界効果トランジスタを有する半導体装置によっても達成できる。   Another object of the present invention is to provide a p-type field effect transistor in which the energy at the apex of the valence band at the interface between the channel forming layer and the layer adjacent to both sides of the channel forming layer is larger on the gate insulating film side than on the other side. This can also be achieved by a semiconductor device.

また上記目的は、チャネル形成層とこのチャネル形成層の両面に隣接する層との界面の伝導帯の頂点のエネルギーを、ゲート絶縁膜側の方を他方より小さくしたn型電界効果トランジスタを有する半導体装置によっても達成できる。   Another object of the present invention is to provide a semiconductor having an n-type field effect transistor in which the energy at the apex of the conduction band at the interface between the channel forming layer and the layer adjacent to both sides of the channel forming layer is smaller on the gate insulating film side than the other. It can also be achieved by a device.

また上記目的は、電界効果トランジスタのチャネル中のキャリアに対するエネルギー障壁が、チャネルに対しゲート絶縁膜とは反対側に存在する構造とし、かつチャネルが形成されるチャネル形成層の格子を歪ませて、チャネル中のキャリアの移動度を無歪のチャネル形成層の材料より大きくすることによっても達成できる。   In addition, the above-mentioned purpose is to have a structure in which an energy barrier against carriers in the channel of the field effect transistor exists on the side opposite to the gate insulating film with respect to the channel, and distort the lattice of the channel forming layer in which the channel is formed, It can also be achieved by making the carrier mobility in the channel larger than the material of the unstrained channel forming layer.

本発明によれば高速かつ低消費電力の相補型電界効果トランジスタおよびこれを内蔵する半導体装置を実現できる。   According to the present invention, a high speed and low power consumption complementary field effect transistor and a semiconductor device incorporating the same can be realized.

本発明の具体例であるSiOゲート絶縁膜/歪Si層/Si1−xGe歪印加層という積層構造のバンド図である。It is a band figure of the laminated structure of SiO 2 gate insulating film / strained Si layer / Si 1-x Ge x strain application layer which is a specific example of the present invention. 図1に示す構造のゲートに正のバイアスを印加した状態のバンド図である。FIG. 2 is a band diagram showing a state in which a positive bias is applied to the gate having the structure shown in FIG. 1. 図1に示す構造のゲートに負のバイアスを印加した状態のバンド図である。FIG. 2 is a band diagram showing a state in which a negative bias is applied to the gate having the structure shown in FIG. 1. 図1に示す構造のSi1−xGe歪印加層の最上部に急峻n型ドーピングを施した状態のバンド図である。FIG. 2 is a band diagram showing a state where steep n-type doping is applied to the uppermost portion of the Si 1-x Ge x strain application layer having the structure shown in FIG. 図1に示す構造に基板バイアス電圧を印加した状態のバンド図である。FIG. 2 is a band diagram in a state where a substrate bias voltage is applied to the structure shown in FIG. 1. 本発明の具体例であるSiOゲート絶縁膜/歪Si層/歪Si1−yGe層/Si1−xGe歪印加層という積層構造のバンド図である。It is a band figure of the laminated structure of SiO 2 gate insulating film / strained Si layer / strained Si 1-y Ge y layer / Si 1-x Ge x strain application layer which is a specific example of the present invention. 本発明の実施例1の相補型電界効果トランジスタの断面構造図である。1 is a cross-sectional structure diagram of a complementary field effect transistor according to a first embodiment of the present invention. 本発明の実施例2の相補型電界効果トランジスタの断面構造図である。It is a cross-section figure of the complementary field effect transistor of Example 2 of this invention. 本発明の実施例3の相補型電界効果トランジスタの断面構造図である。It is a cross-section figure of the complementary field effect transistor of Example 3 of this invention. 本発明の実施例4の相補型電界効果トランジスタの断面構造図である。It is a cross-section figure of the complementary field effect transistor of Example 4 of this invention. 本発明の実施例5の相補型電界効果トランジスタの断面構造図である。It is sectional structure drawing of the complementary field effect transistor of Example 5 of this invention. 本発明の実施例6の相補型電界効果トランジスタの断面構造図である。It is a cross-section figure of the complementary field effect transistor of Example 6 of this invention. 本発明の実施例7の相補型電界効果トランジスタの断面構造図である。It is a cross-section figure of the complementary field effect transistor of Example 7 of this invention. 本発明の実施例8のSOI基板の断面図である。It is sectional drawing of the SOI substrate of Example 8 of this invention. 本発明の実施例9のSOI基板の断面図である。It is sectional drawing of the SOI substrate of Example 9 of this invention. 本発明の実施例10のSOI基板の製造工程断面図である。It is manufacturing process sectional drawing of the SOI substrate of Example 10 of this invention.

はじめに歪を受けたSiをチャネルとする電界効果トランジスタのバンド構造と動作原理について説明する。Siに歪を与える歪印加層にはSi1−xGe(0<x<1)を用いることが適当である。図1にSiOゲート絶縁膜3/歪Si層1/Si1−xGe歪印加層2という積層構造のバンド図を示す。歪Si層1のバンドギャップ6はSi1−xGe歪印加層2のバンドギャップ7よりも広く、しかも価電子帯5、伝導帯4ともにエネルギーが下がるタイプのバンド不連続を示す。 First, the band structure and operation principle of a field effect transistor having a strained Si channel will be described. It is appropriate to use Si 1-x Ge x (0 <x <1) for the strain applying layer that applies strain to Si. FIG. 1 shows a band diagram of a laminated structure of SiO 2 gate insulating film 3 / strained Si layer 1 / Si 1-x Ge x strain applied layer 2. The band gap 6 of the strained Si layer 1 is wider than the band gap 7 of the Si 1-x Ge x strain applying layer 2, and both the valence band 5 and the conduction band 4 exhibit band discontinuity of a type in which the energy decreases.

さて、n型の電界効果トランジスタの場合、ゲートに正の電圧を印加してやると、図2のようにゲート絶縁膜3と歪Si層1の界面付近でバンドが曲がり、この部分に出来た歪Si層1中の伝導帯の三角井戸10に電子が蓄積され、トランジスタ動作を行うことが出来る。これは通常のMOS型電界効果トランジスタと全く同じである。   In the case of an n-type field effect transistor, if a positive voltage is applied to the gate, the band bends near the interface between the gate insulating film 3 and the strained Si layer 1 as shown in FIG. Electrons are accumulated in the triangular well 10 of the conduction band in the layer 1 so that transistor operation can be performed. This is exactly the same as a normal MOS field effect transistor.

また、P型の電界効果トランジスタの場合、ゲートに負の電圧を印加してやると、図3のようにゲート絶縁膜3と歪Si層1の界面付近でバンドが曲がる。ところが、この部分に出来た歪Si層1中の価電子帯の三角井戸11よりも、歪Si層1とSi1−xGe歪印加層2の界面に出来たSi1−xGe歪印加層2中の価電子帯の三角井戸12に多くの正孔が蓄積されてしまう。しかし、歪Si層1に比べてSi1−xGe歪印加層2内の正孔の移動度は著しく小さいため、通常のMOS型電界効果トランジスタと比較して速度の向上が図れないという問題がある。また、相補型電界効果トランジスタを構成した場合に、pn両チャネル間のバランスが取り難くなるという問題がある。 In the case of a P-type field effect transistor, if a negative voltage is applied to the gate, the band bends near the interface between the gate insulating film 3 and the strained Si layer 1 as shown in FIG. However, than the triangular well 11 of the valence band in the strained Si layer 1 made in this part, Si 1-x Ge x distortion made in the interface of the strained Si layer 1 and the Si 1-x Ge x strain applying layer 2 Many holes are accumulated in the triangular well 12 of the valence band in the application layer 2. However, since the mobility of holes in the Si 1-x Ge x strain application layer 2 is significantly smaller than that of the strained Si layer 1, the speed cannot be improved as compared with a normal MOS field effect transistor. There is. In addition, when a complementary field effect transistor is configured, there is a problem that it is difficult to balance the pn channels.

このような問題を解決するためには、三角井戸12中の正孔の蓄積を減らせば良く、その方法として以下に示すものがある。1番目の方法は、ソース・ドレインの接合深さを歪Si層1の厚さよりも十分に浅くすることにより、Si1−xGe歪印加層2への正孔の流出を防止する。具体的には、歪Si層1の厚みがたとえば70nmのときに接合深さを40nm程度にすれば良い。これは、チャネル長0.1ミクロン以下の短チャネルデバイスで用いられる値と大差ない値であるので、充分実現可能な値である。 In order to solve such a problem, it is only necessary to reduce the accumulation of holes in the triangular well 12, and there are the following methods. The first method prevents the outflow of holes to the Si 1-x Ge x strain applying layer 2 by making the source / drain junction depth sufficiently shallower than the thickness of the strained Si layer 1. Specifically, the junction depth may be about 40 nm when the thickness of the strained Si layer 1 is, for example, 70 nm. This is a value that is not much different from the value used in a short channel device with a channel length of 0.1 microns or less, and is a sufficiently realizable value.

2番目の方法は、Si1−xGe歪印加層2の歪Si層1との界面付近に好ましくは深さ0.1〜30nmの範囲で、急峻にn型ドーピングを行なう方法である。この方法により、図4に示すように、Si1−xGe歪印加層2中の価電子帯の三角井戸12の頂点43のエネルギーレベルが低下する。例えば、歪Si層1中の価電子帯の三角井戸11の頂点42のエネルギーレベルよりも低くなる。その結果、三角井戸12中の正孔の蓄積が減る。この方法は、歪Si層1または歪Si層1とSi1−xGe歪印加層2の両方にn型ドーピングすることによっても実現できる。これらの場合も、ドーピング深さは0.1〜30nmの範囲が好ましい。 The second method, preferably the depth 0.1~30nm range in the vicinity of the interface between the strained Si layer 1 of Si 1-x Ge x strain applying layer 2, a method of performing steeply n-type doping. By this method, as shown in FIG. 4, the energy level of the apex 43 of the triangular well 12 of the valence band in the Si 1-x Ge x strain application layer 2 is lowered. For example, it becomes lower than the energy level of the apex 42 of the triangular well 11 of the valence band in the strained Si layer 1. As a result, the accumulation of holes in the triangular well 12 is reduced. This method can also be realized by performing n-type doping on the strained Si layer 1 or both the strained Si layer 1 and the Si 1-x Ge x strain applying layer 2. In these cases, the doping depth is preferably in the range of 0.1 to 30 nm.

3番目の方法は、Si1−xGe歪印加層2側に正の電圧が印加されるように基板バイアス電圧を制御する方法である。この方法により、図5に示すように、Si1−xGe歪印加層2側が下がった右下がりのバンド構造となり、歪Si層1中の価電子帯の三角井戸11の頂点42のエネルギーレベルよりも、Si1−xGe歪印加層2中の価電子帯の三角井戸12の頂点43のエネルギーレベルの方が低くなる。その結果、三角井戸12中の正孔の蓄積が減る。 The third method is a method of controlling the substrate bias voltage so that a positive voltage is applied to the Si 1-x Ge x strain application layer 2 side. By this method, as shown in FIG. 5, the Si 1-x Ge x strain applying layer 2 side is lowered to the lower right band structure, and the energy level of the apex 42 of the triangular well 11 of the valence band in the strained Si layer 1 is obtained. Rather, the energy level of the apex 43 of the triangular well 12 in the valence band in the Si 1-x Ge x strain application layer 2 is lower. As a result, the accumulation of holes in the triangular well 12 is reduced.

以上述べたように、歪Siチャネルから歪印加層への正孔の流出を防止することが、p型電界効果トランジスタあるいは相補型電界効果トランジスタの実現に不可欠な要因である。さらに、デバイスの高速化と低電圧化を図るために、次に示すような構成をとることも有効である。すなわち、p型電界効果トランジスタの場合はドレイン領域、n型電界効果トランジスタの場合はソース領域の材料をSi1−xGe歪印加層と同一の母材望ましくは同一組成比とする。このようにすると、歪SiとSiGeとのバンド不連続によりソース・ドレイン間の電界の分布が変化し、より効果的にキャリアを加速することが可能となる。これにより、更なる高速化が図れると共に、ピンチオフ電圧の低下によってより低電圧での動作が可能となる。 As described above, preventing the outflow of holes from the strained Si channel to the strain applying layer is an essential factor for realizing a p-type field effect transistor or a complementary field effect transistor. Furthermore, in order to increase the device speed and voltage, it is also effective to adopt the following configuration. That is, the material of the drain region in the case of a p-type field effect transistor and the source region in the case of an n-type field effect transistor are the same base material as the Si 1-x Ge x strain application layer, preferably the same composition ratio. In this way, the distribution of the electric field between the source and drain changes due to the band discontinuity between strained Si and SiGe, and carriers can be accelerated more effectively. As a result, the speed can be further increased, and the operation at a lower voltage can be performed by lowering the pinch-off voltage.

これまで、電子・正孔ともに歪Siをチャネルとするトランジスタについて述べてきたが、正孔については歪Si1−yGe(0<y≦1)をチャネルとして用いると、さらに高移動度化、すなわち高速化が実現する。歪印加層にSi1−xGeを用いた場合、その上に積層するSiには面内引張り歪が、 Si1−yGeには面内圧縮歪が印加される。 Up to this point, transistors having strained Si as a channel for both electrons and holes have been described. However, for holes, the use of strained Si 1-y Ge y (0 <y ≦ 1) as a channel further increases the mobility. That is, speeding up is realized. When Si 1-x Ge x is used for the strain applying layer, in - plane tensile strain is applied to Si laminated thereon, and in-plane compressive strain is applied to Si 1-y Ge y .

Si1−xGe歪印加層2の上に歪Si1−yGe層25、歪Si層1、ゲート絶縁膜3の順に積層した場合、図6に示すようなバンド図になり、歪Si層1とゲート絶縁膜3の界面付近の歪Si層1中の伝導帯の三角井戸10に電子が、歪Si層1と歪Si1−yGe層25の界面付近の歪Si1−yGe層25中の価電子帯の三角井戸20に正孔が蓄積される。歪Si層1を正孔のチャネルに用いる場合と異なり、正孔の歪印加層2への流出は起こりにくくなる。歪Si層1と歪Si1−yGe層25の積層順序はどちらを上にしてもデバイスとして動作させることは可能である。但し、歪Si1−yGe層25内の正孔の移動度の方が歪Si層1内の電子の移動度よりも高くなるため、相補型電界効果トランジスタを構成したときの相互コンダクタンスの平衡を考慮すると、歪Si1−yGe層25がゲート電極より遠い、つまり歪Si層1の下にある構成のほうが望ましい。 When the strained Si 1-y Ge y layer 25, the strained Si layer 1, and the gate insulating film 3 are stacked in this order on the Si 1-x Ge x strain applying layer 2, a band diagram as shown in FIG. Si layer 1 and the electronic conical wells 10 of the conduction band in the strained Si layer 1 in the vicinity of the interface between the gate insulating film 3 is, the distortion near the interface of the strained Si layer 1 and the strained Si 1-y Ge y layer 25 Si 1- Holes are accumulated in the triangular well 20 of the valence band in the y Ge y layer 25. Unlike the case where the strained Si layer 1 is used for a hole channel, the outflow of holes to the strain applying layer 2 is unlikely to occur. The strained Si layer 1 and the strained Si 1-y Ge y layer 25 can be operated as a device regardless of the stacking order. However, since the mobility of holes in the strained Si 1-y Ge y layer 25 is higher than the mobility of electrons in the strained Si layer 1, the mutual conductance of the complementary field effect transistor is reduced. Considering the equilibrium, it is desirable that the strained Si 1-y Ge y layer 25 is far from the gate electrode, that is, the layer is under the strained Si layer 1.

また、歪Si層1あるいは歪Si1−yGe層25とゲート絶縁膜3の間にもう一層SiGe層をはさんでも良い。この場合、電子あるいは正孔はこのSiGe層との界面付近の歪Si層1あるいは歪Si1−yGe層25に蓄積されるので、ゲート絶縁膜3の界面準位や散乱の影響を受けないですむ。 Further, another SiGe layer may be interposed between the strained Si layer 1 or the strained Si 1-y Ge y layer 25 and the gate insulating film 3. In this case, since electrons or holes are accumulated in the strained Si layer 1 or the strained Si 1-y Ge y layer 25 near the interface with the SiGe layer, it is affected by the interface state and scattering of the gate insulating film 3. No need.

また、歪Si層と歪Si1−yGe層は積層せずに、選択成長法などを用いて、pチャネルの領域では歪Si1−yGe層を、nチャネルの領域では歪Si層を成長するようにしてもよい。 Also, the strained Si layer and the strained Si 1-y Ge y layer are not stacked, and a selective growth method or the like is used to form a strained Si 1-y Ge y layer in the p-channel region and a strained Si layer in the n-channel region. The layer may be grown.

歪印加層には、Si1−xGeを用いることが望ましい。SiとGeではGeの格子定数がおよそ4%ほど大きい。Si1−xGeはGe組成比xに応じて格子定数が内挿値をとる。したがって、適当なxを選べば、その上に積層するSiあるいはGeに所望の歪を印加することが出来る。例えば、xを0.5とすればSi、Geそれぞれ2%の面内引張歪と面内圧縮歪を印加できる。xの選び方によって、SiとSi1−yGeの歪の大きさを適当に制御することができる。すなわち、歪Si層の面内の格子定数を無歪のSiに対して4%未満の範囲で大きくでき、歪Si1−yGe層の面内の格子定数を無歪のGeに対して4%未満の範囲で小さくできる。これによって電子と正孔の移動度のバランスを制御できるので、相補型電界効果トランジスタの相互コンダクタンスのバランスをとることが出来る。従来の相補型電界効果トランジスタでは素子の寸法を変えることのみにより調整していたが、本法ではさらに設計の自由度が増し、高集積化にも有利になる。 It is desirable to use Si 1-x Ge x for the strain applying layer. In Si and Ge, the lattice constant of Ge is as large as about 4%. The lattice constant of Si 1-x Ge x takes an interpolated value according to the Ge composition ratio x. Therefore, if an appropriate x is selected, a desired strain can be applied to Si or Ge laminated thereon. For example, if x is 0.5, in-plane tensile strain and in-plane compressive strain of 2% each for Si and Ge can be applied. Depending on how x is selected, the magnitude of strain between Si and Si 1-y Ge y can be controlled appropriately. That is, the in-plane lattice constant of the strained Si layer can be increased in a range of less than 4% with respect to the unstrained Si, and the in-plane lattice constant of the strained Si 1-y Ge y layer can be increased with respect to the unstrained Ge. It can be reduced within a range of less than 4%. As a result, the balance between the mobility of electrons and holes can be controlled, so that the mutual conductance of the complementary field effect transistor can be balanced. Conventional complementary field effect transistors are adjusted only by changing the dimensions of the element, but this method further increases the degree of freedom in design and is advantageous for high integration.

歪の制御はSi1−xGeのGe組成比xを変化する以外にも、Cを加えて(Si1−xGe1−yの組成比yを変化させても良い。Cを加える方法としては、歪印加層の成長時にCを添加させても良いし、歪印加層を成長した後にイオン注入などの方法によって加えてもよい。 Besides control of the distortion which changes the Si 1-x Ge x of the Ge composition ratio x, the addition of C (Si 1-x Ge x ) may be changed the composition ratio y of 1-y C y. As a method of adding C, C may be added during the growth of the strain applying layer, or may be added by a method such as ion implantation after the strain applying layer is grown.

歪印加層は一定組成のSi1−xGeを成長する方法、Si基板から成長方向に向かって徐々に組成比xを増加させていく方法、いわゆるグレーデッドバッファ層としても良い。また、Si基板上に低温で欠陥密度の高いSi層を成長したり、水素、SiあるいはGeなどのイオン打込みなどの方法で欠陥層を形成し、しかる後にSi1−xGeを成長すると、Si基板上に直接Si1−xGeを成長した時に比べて貫通転移密度を減らすことができ、さらに表面の平坦性を良好になるため、好ましい。 The strain applying layer may be a method of growing Si 1-x Ge x having a constant composition, a method of gradually increasing the composition ratio x from the Si substrate in the growth direction, or a so-called graded buffer layer. Further, when a Si layer having a high defect density is grown on a Si substrate at a low temperature, or a defect layer is formed by a method such as ion implantation of hydrogen, Si or Ge, and then Si 1-x Ge x is grown, Compared to the case where Si 1-x Ge x is grown directly on the Si substrate, the threading transition density can be reduced, and the surface flatness is improved, which is preferable.

また、基板および歪印加層の部分をいわゆるSOI(Silicon on insulator)構造にすると、浮遊容量の低減により一層の高速化が図れるようになる。SOIには貼り合せ式SOI基板やSIMOX(Separation by Implanted Oxigen)基板などが市販されており、この基板上にSi1−xGe歪印加層を成長することによりSOIの特長を生かした歪Si(Si1−yGe(0<y≦1))電界効果トランジスタを製造できる。 Further, if the substrate and the strain applying layer have a so-called SOI (Silicon on insulator) structure, the stray capacitance can be reduced to further increase the speed. Bonded SOI substrates and SIMOX (Separation by Implanted Oxigen) substrates are commercially available as SOI, and a strained Si that takes advantage of SOI by growing a Si 1-x Ge x strain applying layer on this substrate. A (Si 1-y Ge y (0 <y ≦ 1)) field effect transistor can be manufactured.

また、Si基板上にまずSi1−xGe歪印加層を成長し、しかる後に酸素イオンを打ち込み、熱処理を行うことにより、Si1−xGe歪印加層ないしはその直下のSi中にSiO絶縁層を埋め込み、しかる後に歪Si層を成長する方法、あるいは、Si基板上にまずSi1−xGe歪印加層および歪Si層を成長し、しかる後に酸素イオンを打ち込み熱処理を行うことにより、歪Si層内部にSiO絶縁層を埋め込む方法を用いることも可能である。これらの方法を用いると、SOI活性層の厚みを薄く出来て素子分離に優れ、pMOS、nMOS用のウェル層が不要になる。また、後者の場合、歪Si層の直下にSiO絶縁層があるため、前記したようなpMOSにおける正孔の歪印加層への流出の問題が生じない。 In addition, a Si 1-x Ge x strain application layer is first grown on the Si substrate, and then oxygen ions are implanted and heat treatment is performed, so that SiO 1 can be incorporated into the Si 1-x Ge x strain application layer or Si immediately below it. (2) Embedding an insulating layer and then growing a strained Si layer, or first growing a Si 1-x Ge x strain applying layer and a strained Si layer on a Si substrate, and then implanting oxygen ions and performing heat treatment Thus, it is possible to use a method of embedding the SiO 2 insulating layer inside the strained Si layer. When these methods are used, the thickness of the SOI active layer can be reduced, the element isolation is excellent, and the pMOS and nMOS well layers are not required. In the latter case, since there is a SiO 2 insulating layer directly under the strained Si layer, the problem of outflow of holes to the strain applying layer in the pMOS as described above does not occur.

あるいはまた、Si基板上にSi1−xGe歪印加層を成長し、さらにSi層を成長した後、このSi層の一部ないしは全部を熱酸化した基板を用意する。あるいはSi層の熱酸化の代わりにSi1−xGe歪印加層の上にSiO層を気相成長法などで成長しても良い。そして、これと別に用意した支持基板とSiOを向かい合わせて貼り合せ、さらにSi1−xGe歪印加層を成長した側のSi基板を研磨する、あるいは水素イオンの打ち込みや途中に多孔質Si層を挿入しておくなどの手法により切断を行って、Si1−xGe歪印加層を露出させると、Si1−xGe歪印加層付きの貼り合せSOI基板が製造できる。この方法によれば、Si1−xGe歪印加層のうちSi基板に近い、欠陥密度の高い部分を除去することが出来るため欠陥密度の低減が図れ、さらに研磨やエッチングなどを行えば表面平坦性の確保も容易になる。また、この方法により、SOI活性層の厚みを薄く出来て素子分離に優れ、pMOS、nMOS用のウェル層が不要になる。 Alternatively, a Si 1-x Ge x strain applying layer is grown on a Si substrate, and after further growing a Si layer, a substrate is prepared by thermally oxidizing part or all of the Si layer. Alternatively, instead of thermal oxidation of the Si layer, a SiO 2 layer may be grown on the Si 1-x Ge x strain application layer by vapor phase epitaxy or the like. And this with the bonded face to face the support substrate and the SiO 2 prepared separately, further Si 1-x Ge x polishing the Si substrate grown side strain applied layer, or implantation of hydrogen ions and middle porous When the Si 1-x Ge x strain application layer is exposed by cutting by a technique such as inserting a Si layer, a bonded SOI substrate with the Si 1-x Ge x strain application layer can be manufactured. According to this method, a portion having a high defect density close to the Si substrate in the Si 1-x Ge x strain application layer can be removed, so that the defect density can be reduced. It becomes easy to ensure flatness. Also, this method makes it possible to reduce the thickness of the SOI active layer and provide excellent element isolation, eliminating the need for well layers for pMOS and nMOS.

上記貼り合せSOI基板の切断に際しては、Si1−xGe歪印加層を残しておく必要は必ずしもない。すなわち、Si基板上にSi1−xGe歪印加層を成長し、さらに歪Si層を成長し、その一部を熱酸化した基板を別に用意した支持基板とSiOを向かい合わせて貼り合せ、歪Si層の部分を残して切断あるいは研磨を行い、SiO層の上に歪Si層が載った基板を製造することが出来る。この基板は、見かけは従来の貼り合せSOI基板とまったく変わらず、ただSOI層に歪がかかっているだけである。
したがって、従来のSOI基板とまったく同様に扱うことが出来て、素子分離に優れ、pMOS、nMOS用のウェル層が不要になり、かつ、歪の効果によりSOI活性層の有効質量が軽く、電子・正孔移動度が高いという歪Siの特徴を備えることになる。また、歪Si層の直下にSiO絶縁層があるため、前記したようなpMOSにおける正孔の歪印加層への流出の問題が生じない。
Upon cleavage of the bonded SOI substrate, it is not always necessary to leave the Si 1-x Ge x strained applied layer. That is, a Si 1-x Ge x strain applying layer is grown on a Si substrate, a strained Si layer is further grown, and a support substrate prepared separately by thermally oxidizing a part thereof and SiO 2 are bonded to each other. The substrate having the strained Si layer on the SiO 2 layer can be manufactured by cutting or polishing while leaving the strained Si layer portion. This substrate looks exactly the same as a conventional bonded SOI substrate, and only the SOI layer is distorted.
Therefore, it can be handled in the same manner as a conventional SOI substrate, has excellent element isolation, eliminates the need for a well layer for pMOS and nMOS, and reduces the effective mass of the SOI active layer due to the strain effect. The strain Si is characterized by high hole mobility. In addition, since there is a SiO 2 insulating layer directly under the strained Si layer, the problem of outflow of holes to the strain applying layer in the pMOS as described above does not occur.

歪Si層の厚みには一定の制限がある。なぜなら、歪の大きさによって無転移で成長できる歪Si層の膜厚の上限が存在するからである。これを臨界膜厚と呼んでおり、Si1−xGe歪印加層に歪Si層を成長させた場合でいえば、例えばx=0.2のとき歪の大きさは約0.8%で臨界膜厚は100nm前後、x=0.5のとき歪の大きさは約2%で臨界膜厚は10nm前後になる。ただし、この臨界膜厚の大きさは歪Si層の成長条件に依存しており一義的に決定できるものではない。また、SOI基板と歪Si層を組み合わせた場合のように間に酸化膜層が挿入されている構造の場合も上記の制限とは異なってくる。しかしながら、実用上有意な歪の大きさを実現させる組成であるxが0.2から0.8程度の範囲、歪にして0.8から3.2%程度の範囲で、歪Si層の膜厚が1nmから200nmの範囲にあることが望ましい。1nm未満では電界効果トランジスタでチャネルを形成する活性層の厚みとして不充分であるし、200nmより厚いと転移の発生が始まり、電気特性への悪影響が出始めるからである。 There is a certain limitation on the thickness of the strained Si layer. This is because there is an upper limit of the thickness of the strained Si layer that can be grown without transition depending on the magnitude of strain. This is called the critical film thickness. In the case where a strained Si layer is grown on the Si 1-x Ge x strain application layer, for example, when x = 0.2, the magnitude of the strain is about 0.8%. The critical film thickness is about 100 nm, and when x = 0.5, the strain is about 2% and the critical film thickness is about 10 nm. However, the critical film thickness depends on the growth conditions of the strained Si layer and cannot be determined uniquely. In addition, the above-described restriction is different in the case of a structure in which an oxide film layer is inserted between the SOI substrate and the strained Si layer. However, the strained Si layer film has a composition that realizes a practically significant strain magnitude in the range of x in the range of about 0.2 to 0.8, and in the range of about 0.8 to 3.2% in terms of strain. It is desirable that the thickness is in the range of 1 nm to 200 nm. If the thickness is less than 1 nm, the thickness of the active layer forming a channel in the field effect transistor is insufficient, and if the thickness is more than 200 nm, occurrence of transition starts and adverse effects on the electrical characteristics begin to occur.

用いる基板結晶の面方位の選択と、チャネルでのキャリア走行方向の関係の選択は、より高速な動作をさせる場合に必要な要件である。   The selection of the plane orientation of the substrate crystal to be used and the selection of the relationship between the carrier traveling directions in the channels are necessary requirements for higher speed operation.

基板面方位として{100}面を用いることは、従来の多くのSi半導体素子がこの面方位を用いていることから、従来素子との結合、同一プロセスの利用といった点で有利であるとともに、歪を印加させたときの移動度も大きく増大し、望ましい結晶方位である。この場合チャネルの面内方向は<110>あるいは<001>方向とすることが、エピ成長やエッチングなどのプロセスの制御性を高める上で有利である。   The use of the {100} plane as the substrate plane orientation is advantageous in terms of coupling with conventional elements and the use of the same process, since many conventional Si semiconductor elements use this plane orientation. The mobility when applying is greatly increased, which is a desirable crystal orientation. In this case, it is advantageous to improve the controllability of processes such as epi-growth and etching when the in-plane direction of the channel is the <110> or <001> direction.

基板面方位として{110}面を用いることも可能である。この場合、チャネルの方向としては<110>あるいは<001>方向とすることが歪を印加することによる移動度の増大の点で有利である。また、電子のチャネルとしては<110>方向を用いるとさらに望ましい。ただし、nMOSFETとpMOSFETのバランスを考慮した場合に、必ずしもこの配置である必要はない。   It is also possible to use the {110} plane as the substrate plane orientation. In this case, the channel direction is preferably <110> or <001> from the viewpoint of increasing the mobility by applying strain. It is more desirable to use the <110> direction as the electron channel. However, this arrangement is not necessarily required in consideration of the balance between the nMOSFET and the pMOSFET.

以上に記述したように、チャネルを形成する活性層に歪を加えた電界効果トランジスタないしは相補型電界効果トランジスタおよびこれを用いた半導体装置は、従来に比べて、チャネルを流れるキャリアの有効質量が軽く、従って移動度が高く、高速化が図れ、さらに素子の高集積化、高性能化が図れるために、その工業的価値は極めて高い。   As described above, a field effect transistor or a complementary field effect transistor in which an active layer forming a channel is distorted and a semiconductor device using the field effect transistor have a lighter effective mass of carriers flowing through the channel than conventional ones. Therefore, the industrial value is extremely high because the mobility is high, the speed can be increased, and the integration and performance of the element can be increased.

以下、実施例により本発明を詳細に説明する。   Hereinafter, the present invention will be described in detail by way of examples.

(実施例1)
図7は、本実施例に係るCMOSFETの断面図である。Si基板13を洗浄した後、ただちに化学気相成長装置に導入し、Si0.7Ge0.3歪印加層2を成長する。Si基板13の面方位は{100}とする。膜厚は500nmとする。原料にはSiおよびGeHを用い、成長温度700℃で成長する。ここで、導電型決定のためのドーピングは行わない。Si1−xGe歪印加層2のGe組成比xはいかようにも制御可能であるが、歪Si層1へ印加する歪の適正化のためには、xで0.2−0.4にすると良い結果が得られる。
Example 1
FIG. 7 is a cross-sectional view of the CMOSFET according to this embodiment. After cleaning the Si substrate 13, it is immediately introduced into the chemical vapor deposition apparatus to grow the Si 0.7 Ge 0.3 strain application layer 2. The plane orientation of the Si substrate 13 is {100}. The film thickness is 500 nm. Si 2 H 6 and GeH 4 are used as raw materials and grown at a growth temperature of 700 ° C. Here, doping for determining the conductivity type is not performed. The Ge composition ratio x of the Si 1-x Ge x strain application layer 2 can be controlled in any way, but in order to optimize the strain applied to the strained Si layer 1, x is 0.2-0. A value of 4 gives good results.

次に、Si1−xGe歪印加層2上に化学気相成長法により歪Si層1を形成する。ここで、導電型決定のためのドーピングは行わない。膜厚は60nmとした。この層はSi1−xGe歪印加層2の格子定数がSiより大きいことから面内引っ張り歪を受けている。これにより、この中のキャリア(電子および正孔)移動度は、無歪Si中よりも大きくなる。なお、Si層およびSiGe層の成長は化学気相成長法に限らない。 Next, the strained Si layer 1 is formed on the Si 1-x Ge x strain application layer 2 by chemical vapor deposition. Here, doping for determining the conductivity type is not performed. The film thickness was 60 nm. This layer is subjected to in-plane tensile strain because the lattice constant of the Si 1-x Ge x strain application layer 2 is larger than Si. Thereby, the carrier (electron and hole) mobility in this becomes larger than in unstrained Si. Note that the growth of the Si layer and the SiGe layer is not limited to the chemical vapor deposition method.

次に、トレンチ分離法により素子分離絶縁領域19を形成し、歪Si層1の下部およびSi1−xGe歪印加層2にわたってウェル形成用イオン打込みを行う。PMOS領域の下部にはP等のV族元素を注入してn型とし、NMOS領域の下部にはB等のIII族元素を注入してp型とする。さらに、歪Si層1の上部に、PMOS領域にはIII族元素、NMOS領域にはV族元素を注入してしきい値を調整する。 Next, an element isolation insulating region 19 is formed by a trench isolation method, and ion implantation for well formation is performed over the lower portion of the strained Si layer 1 and the Si 1-x Ge x strain application layer 2. A V group element such as P is implanted into the lower portion of the PMOS region to be n-type, and a III group element such as B is implanted into the lower portion of the NMOS region to be p-type. Further, a threshold value is adjusted by implanting a group III element in the PMOS region and a group V element in the NMOS region above the strained Si layer 1.

次に、歪Si層1の表面を熱酸化し、SiOゲート絶縁膜3を形成する。さらに、その上にポリシリコンゲート電極16を形成した後、ゲート領域以外をエッチングにより除去する。さらに、セルフアラインによりソースドレイン領域をイオン注入法により形成する。このとき、B等のIII族元素を注入すればp型ソースドレイン領域17が形成でき、P等のV族元素を注入すればn型ソースドレイン領域18が形成できるのでPMOS、NMOSともに同一ウェハ上に作製できる。このとき、Si1−xGe歪印加層2への漏れ電流を減らすために、イオン注入深さは歪Si層1の厚みの半分以下の30nmとした。最後に、層間絶縁膜(図示せず)を形成し、コンタクトホールをあけ、Al等の金属膜を蒸着し、パターニングし、金属配線を形成して、電界効果トランジスタが完成する。このトランジスタは、同一寸法でSi基板上に直接作製した無歪Siの電界効果トランジスタに比べて、相互コンダクタンスがおよそ3倍、遮断周波数も2.4倍になった。 Next, the surface of the strained Si layer 1 is thermally oxidized to form a SiO 2 gate insulating film 3. Furthermore, after the polysilicon gate electrode 16 is formed thereon, portions other than the gate region are removed by etching. Further, a source / drain region is formed by ion implantation by self-alignment. At this time, if a group III element such as B is implanted, a p-type source / drain region 17 can be formed, and if a group V element such as P is implanted, an n-type source / drain region 18 can be formed. Can be made. At this time, in order to reduce the leakage current to the Si 1-x Ge x strain application layer 2, the ion implantation depth was set to 30 nm, which is half or less of the thickness of the strain Si layer 1. Finally, an interlayer insulating film (not shown) is formed, contact holes are opened, a metal film such as Al is deposited, patterned, and metal wiring is formed, thereby completing a field effect transistor. This transistor has a transconductance of about 3 times and a cutoff frequency of 2.4 times that of a non-strained Si field-effect transistor fabricated directly on a Si substrate with the same dimensions.

(実施例2)
図8は、本実施例に係るCMOSFETの断面図である。本実施例は、実施例1におけるソースドレイン領域17、18の深さ30nmを通常の場合の50nmと深くする代わりに、Si1−xGe歪印加層2の形成において、その上部30nmの範囲で、Pドーピングガスを混合して、1018毎立法センチメートルの高濃度で、急峻にn型ドーピングを行ったものである。その際、pMOS領域のみにドーピングを行うために、nMOS領域を酸化膜で被覆しておきドーピング後にこれを除去する。
(Example 2)
FIG. 8 is a cross-sectional view of the CMOSFET according to this embodiment. In this example, instead of increasing the depth of 30 nm of the source / drain regions 17 and 18 in Example 1 to 50 nm in the normal case, the upper 30 nm range is formed in the formation of the Si 1-x Ge x strain application layer 2. in, a mixture of P doping gas, at a high concentration of 10 18 per cubic centimeter, in which was sharply n-type doping. At this time, in order to perform doping only in the pMOS region, the nMOS region is covered with an oxide film and removed after doping.

ただし、急峻ドーピングを行ったpMOS領域にはウェル形成用イオン打込みは行わない。   However, ion implantation for forming wells is not performed in the pMOS region subjected to steep doping.

本実施例においても、相互コンダクタンスおよび遮断周波数について実施例1と同等の効果が得られた。   Also in this example, the same effects as in Example 1 were obtained with respect to the mutual conductance and the cutoff frequency.

(実施例3)
図9は、本実施例に係るCMOSFETの断面図である。本実施例は、実施例2における急峻ドーピングの代わりに、pMOSのウェル領域に正のバイアスを印加したものである。
(Example 3)
FIG. 9 is a cross-sectional view of the CMOSFET according to this embodiment. In this embodiment, a positive bias is applied to the well region of the pMOS instead of the steep doping in the second embodiment.

具体的には、素子領域外で、pMOSのSi1−xGe歪印加層2までコンタクトホールを開け、そこにオーミック電極を形成し、バイアス印加電極22とする。 Specifically, a contact hole is opened to the pMOS Si 1-x Ge x strain application layer 2 outside the element region, an ohmic electrode is formed therein, and the bias application electrode 22 is formed.

バイアス印加電極22に+1Vの電圧を印加することにより、バイアス印加なしの場合と比較して、パンチスルー電流を5%以下に低減させることが出来た。   By applying a voltage of +1 V to the bias application electrode 22, it was possible to reduce the punch-through current to 5% or less compared to the case of no bias application.

なお、実施例1乃至3の方法は、同時に適用できる方法であり、2種あるいは3種を組み合わせることができる。   In addition, the method of Example 1 thru | or 3 is a method which can be applied simultaneously, and can combine 2 types or 3 types.

(実施例4)
図10は、本実施例に係るCMOSFETの断面図である。本実施例は、実施例1における歪Si層1のp型MOSFETのドレイン領域15、n型MOSFETのソース領域14を選択的にエッチングし、その部分をSi1−xGe層23を選択成長して埋め戻すものである。なお、この部分の表面層5nmはSiとし、以後のプロセスによるSi1−xGe層23の損傷を防止する。
Example 4
FIG. 10 is a cross-sectional view of the CMOSFET according to this embodiment. In the present embodiment, the drain region 15 of the p-type MOSFET and the source region 14 of the n-type MOSFET of the strained Si layer 1 in the first embodiment are selectively etched, and a Si 1-x Ge x layer 23 is selectively grown on the portions. And then backfill. Note that the surface layer 5 nm of this portion is made of Si to prevent the Si 1-x Ge x layer 23 from being damaged by subsequent processes.

本実施例のトランジスタは、従来型MOSFETでよく用いられる動作電圧3Vに比べ、これを低減できる。   The transistor of this embodiment can reduce this compared to the operating voltage of 3 V often used in the conventional MOSFET.

(実施例5)
図11は、本実施例に係るCMOSFETの断面図である。本実施例の特徴は、歪Ge層をPMOSのチャネルとして用いたことにある。
(Example 5)
FIG. 11 is a cross-sectional view of the CMOSFET according to this embodiment. The feature of this embodiment is that the strained Ge y layer is used as a PMOS channel.

Si基板13にあらかじめ水素イオン打込みにより表面から100nmの領域にわたって高欠陥密度層を形成する。この基板を洗浄した後、ただちに化学気相成長装置に導入し、xを0.3から成長方向に向って0.5まで変化させたSi1−xGeからなる歪印加層の下層2を成長する。膜厚は300nmとする。原料にはSiおよびGeHを用い、成長温度700℃で成長する。 A high defect density layer is formed in advance on the Si substrate 13 over a region of 100 nm from the surface by hydrogen ion implantation. After cleaning this substrate, it was immediately introduced into the chemical vapor deposition apparatus, and the lower layer 2 of the strain applying layer made of Si 1-x Ge x in which x was changed from 0.3 to 0.5 in the growth direction. grow up. The film thickness is 300 nm. Si 2 H 6 and GeH 4 are used as raw materials and grown at a growth temperature of 700 ° C.

さらにSi0.5Ge0.5からなる歪印加層の上層24を膜厚30nm、歪Ge層25を膜厚10nm、歪Si層1を膜厚13nmで順に同様に積層形成する。なお、Si、GeおよびSiGe層の成長は化学気相成長法に限らず、上記組成の結晶成長が可能な方法であれば良い。歪Ge層25は面内圧縮応力を受け、歪Si層1は面内引っ張り応力を受ける。これにより、歪Ge層25の正孔、歪Si層1の電子ともに通常のSiに比べて有効質量が低減され、移動度が上昇する。 Further, the upper layer 24 of the strain applying layer made of Si 0.5 Ge 0.5 is formed in the same manner in this order by forming a layer with a thickness of 30 nm, a strained Ge layer 25 with a thickness of 10 nm, and a strained Si layer 1 with a thickness of 13 nm. Note that the growth of the Si, Ge and SiGe layers is not limited to the chemical vapor deposition method, and any method capable of crystal growth of the above composition may be used. The strained Ge layer 25 receives in-plane compressive stress, and the strained Si layer 1 receives in-plane tensile stress. As a result, the effective mass of both the holes in the strained Ge layer 25 and the electrons in the strained Si layer 1 is reduced as compared with normal Si, and the mobility is increased.

次に、実施例1と同様の方法で、素子分離絶縁領域19形成、歪印加層の上層であるSi0.5Ge0.5層24および下層であるSi1−xGe層2にわたってのウェル形成用イオン打込み、ならびに歪Si層1の上部および歪Ge層25の上部にしきい値調整用低濃度イオン打込みを行う。続いて、SiOゲート酸化膜3の形成、ゲート電極16の形成、ソースドレイン領域17、18の形成を行う。ソースドレイン領域17、18のイオン注入深さはnMOSに対しては歪Si層1の厚みと同程度の10nmとし、pMOSに対しては歪Ge層25に達する20nmとした。最後に、層間絶縁膜の形成、コンタクトホールあけ、金属配線の形成を行いCMOSFETが完成する。 Next, in the same manner as in Example 1, the element isolation insulating region 19 was formed, and the Si 0.5 Ge 0.5 layer 24 as the upper layer of the strain applying layer and the Si 1-x Ge x layer 2 as the lower layer were covered. Well forming ion implantation and low concentration ion implantation for threshold adjustment are performed on the upper portion of the strained Si layer 1 and the upper portion of the strained Ge layer 25. Subsequently, the SiO 2 gate oxide film 3, the gate electrode 16, and the source / drain regions 17 and 18 are formed. The ion implantation depth of the source / drain regions 17 and 18 is set to 10 nm, which is about the same as the thickness of the strained Si layer 1 for nMOS, and 20 nm reaching the strained Ge layer 25 for pMOS. Finally, an interlayer insulating film, contact holes, and metal wiring are formed to complete the CMOSFET.

本実施例ではx=0.5のSi0.5Ge0.5層24を歪印加層の上層として成長しているので、歪Si層1および歪Ge層25へ与える歪印加量が大きい。 In this embodiment, since the Si 0.5 Ge 0.5 layer 24 with x = 0.5 is grown as the upper layer of the strain applying layer, the amount of strain applied to the strained Si layer 1 and the strained Ge layer 25 is large.

本実施例では、チャネルに歪Ge層を用いたが、Siを混ぜた歪Si1−yGe層(0<y<1)を用いることもできる。この場合、組成比yはSi1−xGe歪印加層の組成比xより大きくする。 In this embodiment, a strained Ge y layer is used for the channel, but a strained Si 1-y Ge y layer (0 <y <1) mixed with Si can also be used. In this case, the composition ratio y is made larger than the composition ratio x of the Si 1-x Ge x strain application layer.

(実施例6)
図12は、本実施例に係るCMOSFETの断面図である。本実施例、実施例5における歪Si層1上にSi0.5Ge0.5障壁層30を2nm形成したものである。
(Example 6)
FIG. 12 is a cross-sectional view of the CMOSFET according to this embodiment. In this example and Example 5, the Si 0.5 Ge 0.5 barrier layer 30 is formed to 2 nm on the strained Si layer 1.

このように、Si0.5Ge0.5障壁層30を歪Si層1とゲート絶縁膜3の間に設けているので、電子は歪Si層1とゲート絶縁膜3界面の散乱を受けず、Si0.5Ge0.5障壁層30と歪Si層1の界面付近の歪Si層1中に蓄積される。 Thus, since the Si 0.5 Ge 0.5 barrier layer 30 is provided between the strained Si layer 1 and the gate insulating film 3, electrons are not scattered at the interface between the strained Si layer 1 and the gate insulating film 3. , Accumulated in the strained Si layer 1 near the interface between the Si 0.5 Ge 0.5 barrier layer 30 and the strained Si layer 1.

また、本実施例では歪Ge層25の上部に歪Si層1を積層したが、この順序は逆にしても構わない。ソースドレイン領域1718のイオン注入深さはnMOSに対しては歪Si層1の厚さと同程度の12nmとし、pMOSに対しては歪Ge層25に達する22nmとする。   In this embodiment, the strained Si layer 1 is laminated on the strained Ge layer 25, but this order may be reversed. The ion implantation depth of the source / drain region 1718 is 12 nm, which is about the same as the thickness of the strained Si layer 1 for nMOS, and 22 nm reaching the strained Ge layer 25 for pMOS.

(実施例7)
図13は、本実施例に係るCMOSFETの断面図である。本実施例は、実施例5における歪Si層1と歪Ge層25を積層せず並列配置したものである。
(Example 7)
FIG. 13 is a cross-sectional view of the CMOSFET according to this embodiment. In the present embodiment, the strained Si layer 1 and the strained Ge layer 25 in the fifth embodiment are arranged in parallel without being stacked.

具体的には、Si0.5Ge0.5歪印加層24上にpMOS領域には歪Ge層25を10nm、nMOS領域には歪Si層1を12nm選択成長させる。歪Ge層25は面内圧縮応力を受け、歪Si層1は面内引っ張り応力を受けている。これにより、歪Ge層25の正孔、歪Si層1の電子ともに通常のSiに比べて有効質量が低減され、移動度が上昇する。 Specifically, on the Si 0.5 Ge 0.5 strain applying layer 24, the strained Ge layer 25 is selectively grown in the pMOS region by 10 nm and the strained Si layer 1 is selectively grown by 12 nm in the nMOS region. The strained Ge layer 25 is subjected to in-plane compressive stress, and the strained Si layer 1 is subjected to in-plane tensile stress. As a result, the effective mass of both the holes in the strained Ge layer 25 and the electrons in the strained Si layer 1 is reduced as compared with normal Si, and the mobility is increased.

(実施例8)
図14は、本実施例に係るSOI基板の断面図である。表面に100nm厚みの高欠陥密度エピ層を形成したSi基板13を洗浄した後、ただちに化学気相成長装置に導入し、Si1−xGe歪印加層2を成長する。膜厚は150nmとする。原料にはSiおよびGeHを用い、成長温度700℃で成長する。Si1−xGe歪印加層2のGe組成比xはいかようにも制御可能であるが、後で形成する歪Si層1へ印加する歪みの適正化のためには、xを0.2−0.4とすると良い結果が得られる。本実施例では0.3とする。
なお、SiおよびSiGe層の成長は化学気相成長法に限らず、上記組成の結晶成長が可能な方法であれば良い。
(Example 8)
FIG. 14 is a cross-sectional view of an SOI substrate according to this example. After cleaning the Si substrate 13 on which a high defect density epilayer having a thickness of 100 nm is formed on the surface, the Si substrate 13 is immediately introduced into a chemical vapor deposition apparatus to grow the Si 1-x Ge x strain application layer 2. The film thickness is 150 nm. Si 2 H 6 and GeH 4 are used as raw materials and grown at a growth temperature of 700 ° C. The Ge composition ratio x of the Si 1-x Ge x strain application layer 2 can be controlled in any way, but in order to optimize the strain applied to the strain Si layer 1 to be formed later, x is set to 0. A good result is obtained with 2-0.4. In this embodiment, it is 0.3.
Note that the growth of the Si and SiGe layers is not limited to the chemical vapor deposition method, but may be any method that allows crystal growth of the above composition.

次に酸素イオンを加速電圧180KeV、ドーズ量4×1017/cmの条件でSi1−xGe歪印加層2の上から注入し、1350℃で8時間アニールを行う。これにより、Si1−xGe歪印加層2の直下にSiO絶縁層26が形成される。SiO絶縁層26の厚みは凡そ100nmであり、絶縁耐圧50V以上が確保される。アニール処理により、Si1−xGe歪印加層2は欠陥密度が極めて低く、平坦でかつ歪み緩和が十分になされる。さらに、この上部に厚さ60nmの歪Si層1を化学気相成長法で形成する。 Next, oxygen ions are implanted from above the Si 1-x Ge x strain application layer 2 under conditions of an acceleration voltage of 180 KeV and a dose of 4 × 10 17 / cm 2 , and annealing is performed at 1350 ° C. for 8 hours. As a result, the SiO 2 insulating layer 26 is formed immediately below the Si 1-x Ge x strain applying layer 2. The thickness of the SiO 2 insulating layer 26 is approximately 100 nm, and a dielectric breakdown voltage of 50 V or more is ensured. By the annealing treatment, the Si 1-x Ge x strain application layer 2 has a very low defect density, is flat, and is sufficiently strain-relieved. Further, a strained Si layer 1 having a thickness of 60 nm is formed thereon by chemical vapor deposition.

以後、発明の実施例1等と同様のプロセスを用いて、CMOSFETを製造することができる。なお、本基板を用いることによりウェル層のイオン注入が不要になる。   Thereafter, the CMOSFET can be manufactured by using the same process as in the first embodiment of the invention. By using this substrate, ion implantation of the well layer becomes unnecessary.

また、浮遊容量が大幅に低減されるため、実装レベルでの動作速度を通常のSi基板使用時に比べ40%ほど高めることが出来た。   In addition, since the stray capacitance is greatly reduced, the operation speed at the mounting level can be increased by about 40% compared to the case of using a normal Si substrate.

(実施例9)
図15はSOI基板の他の実施例の断面図である。実施例8と同様の方法でSi1−xGe歪印加層2まで形成した後、Si1−xGe歪印加層2の上に厚さ120nmの歪Si層1を化学気相成長法で形成する。次に、酸素イオンを加速電圧50KeV、ドーズ量2×1017/cmの条件で歪Si層1の上から注入し、1300℃で8時間アニールを行う。これにより、歪Si層1の内部にSiO絶縁層26が形成される。SiO絶縁層26の厚みは凡そ30nmとなる。
Example 9
FIG. 15 is a cross-sectional view of another embodiment of an SOI substrate. Si 1-x Ge x after forming up to the strain applied layer 2, Si 1-x Ge x chemical vapor deposition strained Si layer 1 having a thickness of 120nm on the strain applied layer 2 in the same manner as in Example 8 Form with. Next, oxygen ions are implanted from above the strained Si layer 1 under conditions of an acceleration voltage of 50 KeV and a dose of 2 × 10 17 / cm 2 , and annealing is performed at 1300 ° C. for 8 hours. Thereby, the SiO 2 insulating layer 26 is formed inside the strained Si layer 1. The thickness of the SiO 2 insulating layer 26 is about 30 nm.

本実施例では、ウェル層のイオン注入が不要になる他、pMOSでの正孔のSiGe歪印加層への流出が起こり難いため、ドーピングやバイアス印加等による正孔の流出防止策を特に用いる必要はない。   In this embodiment, the well layer does not need to be ion-implanted, and holes in the pMOS hardly flow out to the SiGe strain application layer. Therefore, it is particularly necessary to use a hole outflow prevention measure by doping or bias application. There is no.

(実施例10)
図16は、本実施例に係るSOI基板の製造工程断面図である。まず、図16(a)に示すように、表面に100nm厚みの高欠陥密度エピ層を形成したSi基板13を洗浄した後、ただちに化学気相成長装置に導入し、Si1−xGe歪印加層2を成長する。膜厚は300nmとする。原料にはSiおよびGeHを用い、成長温度700℃で成長する。Si1−xGe歪印加層2のGe組成比xはいかようにも制御可能であるが、歪Si層1へ印加する歪みの適正化のためには、xを0.2−0.4とすると良い結果が得られる。本実施例では0.3とする。なお、SiおよびSiGe層の成長は化学気相成長法に限らず、上記組成の結晶成長が可能な方法であれば良い。またSi基板13の代わりにGe基板あるいはSiGe混晶基板を用いても良い。Geの混晶比xが大きい場合、Ge基板やGe混晶比の大きいSiGe基板を用いるほうが、Si1−xGe歪印加層2の成長が容易、あるいは不要になる。
(Example 10)
FIG. 16 is a cross-sectional view of an SOI substrate manufacturing process according to this example. First, as shown in FIG. 16A, after cleaning the Si substrate 13 on which a high defect density epilayer having a thickness of 100 nm is formed on the surface, the Si substrate 13 is immediately introduced into the chemical vapor deposition apparatus and Si 1-x Ge x strain is obtained. The application layer 2 is grown. The film thickness is 300 nm. Si 2 H 6 and GeH 4 are used as raw materials and grown at a growth temperature of 700 ° C. The Ge composition ratio x of the Si 1-x Ge x strain application layer 2 can be controlled in any way, but in order to optimize the strain applied to the strain Si layer 1, x is set to 0.2-0. A result of 4 is good. In this embodiment, it is 0.3. Note that the growth of the Si and SiGe layers is not limited to the chemical vapor deposition method, but may be any method that allows crystal growth of the above composition. Further, instead of the Si substrate 13, a Ge substrate or a SiGe mixed crystal substrate may be used. When the mixed crystal ratio x of Ge is large, the growth of the Si 1-x Ge x strain applying layer 2 becomes easier or unnecessary when using a Ge substrate or a SiGe substrate having a large Ge mixed crystal ratio.

次に歪Si層1を成長し、表面を熱酸化し、次いで切断位置28の深さに水素イオンを注入し、この位置に損傷層を形成する。こうして図16(a)に示す状態になる。切断位置28はSi1−xGe歪印加層2の内部としても良いし、歪Si層1の内部としても良い。 Next, the strained Si layer 1 is grown, the surface is thermally oxidized, hydrogen ions are then implanted to the depth of the cutting position 28, and a damaged layer is formed at this position. Thus, the state shown in FIG. The cutting position 28 may be inside the Si 1-x Ge x strain applying layer 2 or inside the strained Si layer 1.

さらに表面の酸化膜と別に用意した支持基板29を接合位置27で接合し、図16(b)のような状態になる。次いで500℃でアニールすると切断位置28で切断され、切断位置28がSi1−xGe歪印加層2の内部の場合は図16(c)のような状態になり、歪Si層1の内部の場合は図16(d)のような状態になる。図16(c)に示す場合は、さらに表面に60nmの歪Si層1をエピタキシャル成長させる。 Further, a support substrate 29 prepared separately from the oxide film on the surface is bonded at the bonding position 27, and a state as shown in FIG. Next, when annealing is performed at 500 ° C., cutting is performed at the cutting position 28, and when the cutting position 28 is inside the Si 1-x Ge x strain application layer 2, the state is as shown in FIG. In this case, the state is as shown in FIG. In the case shown in FIG. 16C, a 60 nm strained Si layer 1 is further epitaxially grown on the surface.

以後、発明の実施例1等と同様のプロセスを用いて、CMOSFETを製造することができる。なお、本基板を用いることによりウェル層のイオン注入が不要になる。さらに、図16(d)の構造の場合にはpMOSでの正孔のSiGe歪印加層への流出が起こらないため、ドーピングやバイアス印加等による正孔の流出防止策が不要になる。   Thereafter, the CMOSFET can be manufactured by using the same process as in the first embodiment of the invention. By using this substrate, ion implantation of the well layer becomes unnecessary. Further, in the case of the structure of FIG. 16 (d), holes do not flow out to the SiGe strain application layer in the pMOS, so that a hole outflow prevention measure by doping, bias application, or the like becomes unnecessary.

また、浮遊容量が大幅に低減されるため、実装レベルでの動作速度を通常のSi基板使用時に比べ40%ほど高めることが出来た。   In addition, since the stray capacitance is greatly reduced, the operation speed at the mounting level can be increased by about 40% compared to the case of using a normal Si substrate.

(実施例11)
実施例1で示した方法で、{100}面のSi基板13を用いて相補型電界効果トランジスタをSi1−xGe歪印加層2のGe組成比xを種々に変えて作製し、素子の相互コンダクタンスから歪Siチャネル中の<001>方向の電子および正孔の移動度を見積ると、表1に示すように混晶比が0.2程度でも移動度の増加がかなり大きい。
単位は、歪が%(正の値が引張歪)、移動度がcm/Vsである。
表1
Ge組成比x 歪 電子移動度 正孔移動度
0 0 1300 400
0.1 0.4 2600 850
0.2 0.8 3300 2000
0.3 1.2 3550 3100
0.4 1.6 3500 4500
0.5 2.0 3450 5200
0.6 2.4 3400 6100
実施例7で示した方法で、{100}面のSi基板13を用いてpMOSFETをSi1−xGe歪印加層2のGe組成比xを種々に変えて作製し、素子の相互コンダクタンスから歪Geチャネル中の<001>方向の正孔の移動度を見積ると、表2に示すように面内圧縮歪を受けるに従い移動度が飛躍的に大きくなる。単位は、歪が%(正の値が引張歪)、移動度がcm/Vsである。
表2
Ge組成比x 歪 正孔移動度
1.0 0 1900
0.9 -0.4 2800
0.8 -0.8 4100
0.7 -1.2 7000
0.6 -1.6 9000
0.5 -2.0 12000
0.4 -2.4 13500
実施例1で示した方法で、{110}面のSi基板13を用いて相補型電界効果トランジスタを作製し、素子の相互コンダクタンスから歪Siチャネル中の<001>方向、<110>方向の電子および正孔の移動度を見積ると、表3に示すように電子移動度は<110>方向の方が大きくなる。単位は、歪が%(正の値が引張歪)、移動度がcm/Vsである。
表3
Ge組成比x 歪 方位 電子移動度 正孔移動度
0.2 0.8 <001> 900 1800
0.2 0.8 <110> 3100 1800
0.3 1.2 <001> 900 2700
0.3 1.2 <110> 3300 2700
(Example 11)
A complementary field effect transistor is manufactured by using the {100} -plane Si substrate 13 by changing the Ge composition ratio x of the Si 1-x Ge x strain applying layer 2 in various ways by the method shown in the first embodiment. When the mobility of electrons and holes in the <001> direction in the strained Si channel is estimated from the mutual conductance, as shown in Table 1, the increase in mobility is considerably large even when the mixed crystal ratio is about 0.2.
Units are% strain (positive value is tensile strain) and mobility is cm 2 / Vs.
Table 1
Ge composition ratio x strain Electron mobility Hole mobility
0 0 1300 400
0.1 0.4 2600 850
0.2 0.8 3300 2000
0.3 1.2 3550 3100
0.4 1.6 3500 4500
0.5 2.0 3450 5200
0.6 2.4 3400 6100
Using the method shown in Example 7, a pMOSFET was produced using a {100} -plane Si substrate 13 by changing the Ge composition ratio x of the Si 1-x Ge x strain applying layer 2 in various ways. When the mobility of holes in the <001> direction in the strained Ge channel is estimated, as shown in Table 2, the mobility increases dramatically as it receives in-plane compressive strain. Units are% strain (positive value is tensile strain) and mobility is cm 2 / Vs.
Table 2
Ge composition ratio x strain Hole mobility
1.0 0 1900
0.9 -0.4 2800
0.8 -0.8 4100
0.7 -1.2 7000
0.6 -1.6 9000
0.5 -2.0 12000
0.4 -2.4 13500
A complementary field effect transistor is fabricated using the {110} -plane Si substrate 13 by the method shown in Example 1, and electrons in the <001> direction and the <110> direction in the strained Si channel are determined from the mutual conductance of the element. When the mobility of holes and holes is estimated, as shown in Table 3, the electron mobility is larger in the <110> direction. Units are% strain (positive value is tensile strain) and mobility is cm 2 / Vs.
Table 3
Ge composition ratio x Strain orientation Electron mobility Hole mobility
0.2 0.8 <001> 900 1800
0.2 0.8 <110> 3100 1800
0.3 1.2 <001> 900 2700
0.3 1.2 <110> 3300 2700

1…歪Si層、2…Si1−xGe歪印加層、3…SiOゲート絶縁層、4…伝導帯、5…価電子帯、6…歪Siのバンドギャップ、7…Si1−xGeのバンドギャップ、8…伝導帯不連続、9…価電子帯…不連続、10…ゲート絶縁膜/歪Si層界面付近の歪Si層中の伝導帯の三角井戸、11…ゲート絶縁膜/歪Si層界面付近の歪Si層中の価電子帯の三角井戸、12…歪Si層/Si1−xGe歪印加層界面付近のSi1−xGe歪印加層2中の価電子帯の三角井戸、13…Si基板、14…ソース電極、15…ドレイン電極、16…ゲート、17…p型ソースドレイン領域、18…n型ソースドレイン領域、19…素子分離絶縁領域、20…歪Si層/歪Si1−yGe層界面付近の歪Si1−yGe層中の価電子帯の三角井戸、21…急峻n型ドーピング層、22…バイアス印加電極、23…Si1−xGeドレイン層、24…Si0.5Ge0.5層、25…歪Si1−yGe層(0<y≦1)、26…SiO絶縁層、27…接合位置、28…切断位置、29…支持基板、30…Si0.5Ge0.5障壁層、40、41…伝導帯の三角井戸の頂点、42、43…価電子帯の三角井戸の頂点。 1 ... strained Si layer, 2 ... Si 1-x Ge x strained applied layer, 3 ... SiO 2 gate insulating layer, 4 ... conduction band, 5 ... valence band, the band gap of 6 ... strained Si, 7 ... Si 1- x Ge x band gap, 8 ... conduction band discontinuity, 9 ... valence band ... discontinuity, 10 ... triangular well of conduction band in the strained Si layer near the gate insulating film / strained Si layer interface, 11 ... gate insulation film / strained Si layer triangular wells of the valence band of the strained Si layer in the vicinity of the interface, 12 ... strained Si layer / Si 1-x Ge x of the strain applied layer near the interface Si 1-x Ge x strain applied layers 2 Valence band triangular well, 13 ... Si substrate, 14 ... source electrode, 15 ... drain electrode, 16 ... gate, 17 ... p-type source / drain region, 18 ... n-type source / drain region, 19 ... element isolation insulating region, 20 ... strained Si layer / strained Si 1-y Ge strain of y layer near the interface Si 1-y Ge Valence band triangular well in y layer, 21 ... steep n-type doping layer, 22 ... bias application electrode, 23 ... Si 1-x Ge x drain layer, 24 ... Si 0.5 Ge 0.5 layer, 25 ... strained Si 1-y Ge y layer (0 <y ≦ 1), 26 ... SiO 2 insulating layer, 27 ... joining position, 28 ... cutting position, 29 ... supporting substrate, 30 ... Si 0.5 Ge 0.5 barrier layer , 40, 41 ... vertex of the triangular well in the conduction band, 42, 43 ... vertex of the triangular well in the valence band.

Claims (7)

Si層と、
前記Si層上に形成されたMOSFETのゲート電極と、
前記Si層に形成された前記MOSFETのソース領域およびドレイン領域と、
前記ソース領域と前記ドレイン領域の間の領域であって、且つ、前記MOSFETの動作時に前記ゲート電極下にチャネルが形成されるチャネル領域とを有する半導体装置の製造方法であって、
(a)前記ソース領域または前記ドレイン領域が形成される領域に対して選択的にエッチングすることで、前記Si層に溝を形成する工程と、
(b)前記溝内を、SiGeを選択成長させることで埋め込む工程とを有し、
前記チャネル領域には歪が発生しており、
前記チャネル領域のキャリアの移動度は、前記チャネル領域が無歪であった場合と比較して大きくなっていることを特徴とする半導体装置の製造方法。
A Si layer;
A gate electrode of a MOSFET formed on the Si layer;
A source region and a drain region of the MOSFET formed in the Si layer;
A method for manufacturing a semiconductor device, comprising: a region between the source region and the drain region, and a channel region in which a channel is formed under the gate electrode during the operation of the MOSFET,
(A) forming a groove in the Si layer by selectively etching the region where the source region or the drain region is formed;
(B) filling the groove by selectively growing SiGe;
Distortion occurs in the channel region,
The method of manufacturing a semiconductor device, wherein the mobility of carriers in the channel region is larger than that in the case where the channel region is undistorted.
Si層と、
前記Si層上に形成されたMOSFETのゲート電極と、
前記Si層に形成された前記MOSFETのソース領域およびドレイン領域と、
前記ソース領域と前記ドレイン領域の間の領域であって、且つ、前記MOSFETの動作時に前記ゲート電極下にチャネルが形成されるチャネル領域とを有する半導体装置の製造方法であって、
(a)前記ソース領域または前記ドレイン領域が形成される領域に対して選択的にエッチングすることで、前記Si層に溝を形成する工程と、
(b)前記溝内を、SiGeを選択成長させることで埋め込む工程とを有し、
前記チャネル領域には歪が発生しており、
前記チャネル領域内のSiの格子定数は、無歪のSiの格子定数よりも大きいことを特徴とする半導体装置の製造方法。
A Si layer;
A gate electrode of a MOSFET formed on the Si layer;
A source region and a drain region of the MOSFET formed in the Si layer;
A method for manufacturing a semiconductor device, comprising: a region between the source region and the drain region, and a channel region in which a channel is formed under the gate electrode during the operation of the MOSFET,
(A) forming a groove in the Si layer by selectively etching the region where the source region or the drain region is formed;
(B) filling the groove by selectively growing SiGe;
Distortion occurs in the channel region,
A method of manufacturing a semiconductor device, wherein a lattice constant of Si in the channel region is larger than a lattice constant of unstrained Si.
請求項1または2に記載の半導体装置の製造方法において、
前記Si層の下にはSiGe層が形成されていることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1 or 2,
A method of manufacturing a semiconductor device, wherein a SiGe layer is formed under the Si layer.
請求項3に記載の半導体装置の製造方法において、
前記Si層の下に形成されたSiGe層は、Si1−xGe(0<x<1)からなることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 3,
The SiGe layer formed under the Si layer is made of Si 1-x Ge x (0 <x <1).
請求項1乃至4のいずれか1項に記載の半導体装置の製造方法において、
前記溝内に埋め込まれたSiGeの表面にはSi膜が形成されていることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device of any one of Claims 1 thru / or 4,
A method of manufacturing a semiconductor device, wherein a Si film is formed on a surface of SiGe embedded in the groove.
請求項1乃至5のいずれか1項に記載の半導体装置の製造方法において、
前記MOSFETはn型MOSFETであり、
前記溝内に埋め込まれたSiGeは、前記ソース領域に形成されていることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to any one of claims 1 to 5,
The MOSFET is an n-type MOSFET,
The method of manufacturing a semiconductor device, wherein the SiGe buried in the trench is formed in the source region.
請求項1乃至5のいずれか1項に記載の半導体装置の製造方法において、
前記MOSFETはp型MOSFETであり、
前記溝内に埋め込まれたSiGeは、前記ドレイン領域に形成されていることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to any one of claims 1 to 5,
The MOSFET is a p-type MOSFET,
The method of manufacturing a semiconductor device, wherein the SiGe buried in the trench is formed in the drain region.
JP2010027575A 2010-02-10 2010-02-10 Method for manufacturing semiconductor device Withdrawn JP2010141349A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010027575A JP2010141349A (en) 2010-02-10 2010-02-10 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010027575A JP2010141349A (en) 2010-02-10 2010-02-10 Method for manufacturing semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP08783199A Division JP4521542B2 (en) 1999-03-30 1999-03-30 Semiconductor device and semiconductor substrate

Publications (1)

Publication Number Publication Date
JP2010141349A true JP2010141349A (en) 2010-06-24

Family

ID=42351131

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010027575A Withdrawn JP2010141349A (en) 2010-02-10 2010-02-10 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2010141349A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946032B2 (en) 2011-07-12 2015-02-03 Samsung Electronics Co., Ltd. Method of manufacturing power device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946032B2 (en) 2011-07-12 2015-02-03 Samsung Electronics Co., Ltd. Method of manufacturing power device

Similar Documents

Publication Publication Date Title
JP4521542B2 (en) Semiconductor device and semiconductor substrate
CN100487908C (en) Transistor with silicon and carbon layer in the channel region
US7425751B2 (en) Method to reduce junction leakage current in strained silicon on silicon-germanium devices
US8436336B2 (en) Structure and method for a high-speed semiconductor device having a Ge channel layer
KR20110025075A (en) Accumulation type finfet, circuits and fabrication method thereof
WO2002052652A1 (en) Semiconductor device and its manufacturing method
JP2014038898A (en) Semiconductor device
CN102214684A (en) Semiconductor structure with suspended sources and drains as well as formation method thereof
KR20100099047A (en) Asymmetric source/drain junctions for low power silicon on insulator devices
JP2006332243A (en) Semiconductor device and its manufacturing method
US7863141B2 (en) Integration for buried epitaxial stressor
Quinones et al. Enhanced mobility PMOSFETs using tensile-strained Si/sub 1-y/C y layers
JP2005005580A (en) Semiconductor device
WO2013155760A1 (en) Semiconductor structure and manufacturing method thereof
CN104952871B (en) A kind of crystallographic orientation is without knot CMOS structure
JP2010141349A (en) Method for manufacturing semiconductor device
KR19980024649A (en) Semiconductor device and manufacturing method thereof
CN102723342B (en) Bi CMOS (Complementary Metal-Oxide-Semiconductor) integrated device with mixed crystal plane and vertical channel strain and preparation method thereof
JP2001044425A (en) Semiconductor device
CN102723341B (en) A kind of mixing crystal face strain Si vertical-channel BiCMOS integrated device and preparation method
JP2001332745A (en) Semiconductor device and method for manufacturing the same
CN102738177B (en) Strain Si BiCMOS (Bipolar-Complementary Metal-Oxide-Semiconductor) integrated device based on SOI (Silicon on Insulator) substrate and preparation method thereof
CN102723340A (en) SOI (Silicon-On-Insulator)-BJT (Bipolar Junction Transistor) double-strain-plane Bi CMOS (Complementary Metal-Oxide-Semiconductor) integrated device and preparation method thereof
CN102820295B (en) Double-strain plane BiCMOS (Bipolar Complementary Metal-Oxide-Semiconductor Transistor) integrated device and preparation method
WO2002103801A1 (en) Structures and methods for a high-speed semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100510

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20120410