JP2010103495A - Semiconductor device, and apparatus and method for manufacturing the same - Google Patents

Semiconductor device, and apparatus and method for manufacturing the same Download PDF

Info

Publication number
JP2010103495A
JP2010103495A JP2009201588A JP2009201588A JP2010103495A JP 2010103495 A JP2010103495 A JP 2010103495A JP 2009201588 A JP2009201588 A JP 2009201588A JP 2009201588 A JP2009201588 A JP 2009201588A JP 2010103495 A JP2010103495 A JP 2010103495A
Authority
JP
Japan
Prior art keywords
gas
based gas
oxide film
chamber
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009201588A
Other languages
Japanese (ja)
Inventor
Kazuhisa Onozawa
和久 小野沢
Yoshimi Shiotani
喜美 塩谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NANO MATERIAL KENKYUSHO KK
Adeka Corp
Original Assignee
NANO MATERIAL KENKYUSHO KK
Adeka Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NANO MATERIAL KENKYUSHO KK, Adeka Corp filed Critical NANO MATERIAL KENKYUSHO KK
Priority to JP2009201588A priority Critical patent/JP2010103495A/en
Publication of JP2010103495A publication Critical patent/JP2010103495A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor device having the degree of freedom in forming oxide films so that an oxide film containing reduced or no nitride component is formed at a low temperature and a thick oxide film is formed at a low temperature by a batch system growth device. <P>SOLUTION: A silicon-based gas containing alkyl group or alkoxy group or a siloxane gas or a silazane gas is allowed to react to an oxidizer for oxidizing the gas at ≤500°C in a depressurized state. A silane gas, a disilane gas, a phosphorus gas or a boron gas is allowed to react as an additive to the gas. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、半導体デバイス、その製造装置及び製造方法に関し、特に、低温で珪素酸化膜(以下、酸化膜と記載することもある)を形成する、半導体デバイス、その製造装置及び製造方法に関する。   The present invention relates to a semiconductor device, a manufacturing apparatus and a manufacturing method thereof, and more particularly to a semiconductor device, a manufacturing apparatus and a manufacturing method thereof, which form a silicon oxide film (hereinafter sometimes referred to as an oxide film) at a low temperature.

従来、オゾンガスとヘキサメチルジシラザンガスとを、200℃程度の低温下で反応させて、ガラス基板上に酸化膜であるところのSiON膜を形成する技術があった。   Conventionally, there has been a technique for forming an SiON film as an oxide film on a glass substrate by reacting ozone gas and hexamethyldisilazane gas at a low temperature of about 200 ° C.

しかし、オゾンガスとヘキサメチルジシラザンガスとの反応をさせた場合には、上記のように、ガラス基板上に形成できる酸化膜はSiON膜でしかない。つまり、従来の手法では、酸化膜の形成に自由度がなかった。また、低温で窒素成分を低減または含まない酸化膜の形成がなされていなかった。さらにバッチ方式の成長装置では低温で厚い酸化膜膜を形成する方法がなかった。   However, when ozone gas and hexamethyldisilazane gas are reacted, the oxide film that can be formed on the glass substrate is only a SiON film as described above. That is, the conventional method has no flexibility in forming the oxide film. In addition, an oxide film that does not reduce or contain nitrogen components at low temperatures has not been formed. Furthermore, there is no method for forming a thick oxide film at a low temperature in a batch growth apparatus.

そこで、本発明は、酸化膜の形成に自由度をもたせること、低温で窒素成分を低減または含まない酸化膜を形成することと厚い酸化膜を形成することを課題とする。   Accordingly, it is an object of the present invention to provide flexibility in forming an oxide film, to form an oxide film that does not contain or reduce nitrogen components at a low temperature, and to form a thick oxide film.

上記課題を解決するために、本発明の半導体デバイスの製造装置は、
アルキル基、アルコキシ基及びアミノ基から選ばれる少なくとも1種を含むシラン系ガス又はシロキサン系ガス又はシラザン系ガスを供給する手段と、酸化剤を供給する手段と、前記ガスと酸化剤を減圧状態で500℃以下の温度下で反応させる手段を備える。
In order to solve the above problems, a semiconductor device manufacturing apparatus according to the present invention includes:
A means for supplying a silane-based gas or a siloxane-based gas or a silazane-based gas containing at least one selected from an alkyl group, an alkoxy group, and an amino group; a means for supplying an oxidant; and the gas and the oxidant in a reduced pressure state. A means for reacting at a temperature of 500 ° C. or lower is provided.

さらに、プラズマ状態で400℃以下の温度下で反応させる手段を備えることも可能である。また、厚みのある酸化膜或いはより低温で酸化膜を形成したい場合には、前記ガスに対して、シランガス、ジシランガス、リン系ガス、又は、ボロン系ガスを添加剤として反応させる手段を備えることが有効である。前記温度は、前記ガスの沸点以下の温度まで低下させてもよい。さらに、緻密な酸化膜を形成したい場合には前記反応後に、オゾンガス又は紫外線照射を用いてアニール処理を行う手段を備えることが有効である。さらに、酸化反応をコントロールするために酸化剤を不活性ガスで希釈する手段を備えてもよい。   Furthermore, it is possible to provide means for reacting at a temperature of 400 ° C. or lower in a plasma state. In addition, when it is desired to form a thick oxide film or an oxide film at a lower temperature, a means for reacting the gas with a silane gas, disilane gas, phosphorus-based gas, or boron-based gas as an additive is provided. It is valid. The temperature may be lowered to a temperature below the boiling point of the gas. Furthermore, when it is desired to form a dense oxide film, it is effective to provide means for performing an annealing process using ozone gas or ultraviolet irradiation after the reaction. Furthermore, in order to control the oxidation reaction, a means for diluting the oxidizing agent with an inert gas may be provided.

また、本発明の半導体デバイスの製造方法は、
アルキル基或いはアルコキシ基を含むシリコン系のガス又はシロキサンガス又はシラザンガスをこれらのガスの沸点以下に加熱された基板上に導入するステップ(A)と、
酸化剤を導入し、減圧状態で500℃以下の温度下で前記ガスと反応させるステップ(B)を含む。
In addition, a method for manufacturing a semiconductor device of the present invention includes:
Introducing a silicon-based gas or siloxane gas or silazane gas containing an alkyl group or an alkoxy group onto a substrate heated below the boiling point of these gases (A);
A step (B) of introducing an oxidant and reacting with the gas under a reduced pressure at a temperature of 500 ° C. or lower;

さらに、本発明の本発明の半導体デバイスは、デザインルールが32nm以下のデバイスであって、ソース領域とドレイン領域とが物理的に接触していない。   Furthermore, the semiconductor device of the present invention of the present invention has a design rule of 32 nm or less, and the source region and the drain region are not in physical contact.

なお、本発明に係るアルキル基、アルコキシ基及びアミノ基から選ばれる少なくとも1種を含むシラン系ガス又はシロキサン系ガス又はシラザン系ガス(以下、シリコン系ガスということもある)とは、形成される酸化膜に珪素原子を供給するプレカーサであるその分子構造中に、アルキル基又はアルコキシ基を有するものであり、アルキル基、アルコキシ基は珪素原子に直接結合しているものが好ましい。また、少なくとも一つのH基を持った化合物からなるものが段差被覆性に優れるので好ましく用いられる。   The silane-based gas, siloxane-based gas, or silazane-based gas (hereinafter sometimes referred to as silicon-based gas) containing at least one selected from an alkyl group, an alkoxy group, and an amino group according to the present invention is formed. The molecular structure, which is a precursor for supplying silicon atoms to the oxide film, has an alkyl group or an alkoxy group, and the alkyl group and alkoxy group are preferably bonded directly to the silicon atom. Further, a compound composed of a compound having at least one H group is preferably used since it has excellent step coverage.

アルキル基、アルコキシ基及びアミノ基から選ばれる少なくとも1種を含むシラン系ガスとしては、トリメトキシシラン(HSi(OCH)ガス、トリエトキシシラン(HSi(OC)ガス、メチルジメトキシシラン(HSi(CH)(OCH)ガス、メチルジエトキシシラン(HSi(CH)(OC)ガス、ジメチルメトキシシラン(HSi(CH(OCH))ガス、ジメチルエトキシシラン(HSi(CH(OC))ガス、テトラメトキシシラン(Si(OCH)ガス、テトラエトキシシラン(Si(OC)ガス、アミノシランガス、ジアミノシラン(HSi(NH)ガス、トリアミノシラン(HSi(NH))ガス,テトラアミノシラン(Si(NH)ガス、ジメチルアミノシラン(HSiN(CH)ガス、ビス(ジメチルアミノ)シラン(HSi(N(CH)ガス、トリス(ジメチルアミノ)シラン(HSi(N(CH)ガス、テトラキス(ジメチルアミノ)シラン(Si(N(CH)ガスが挙げられる。 Examples of the silane-based gas containing at least one selected from an alkyl group, an alkoxy group, and an amino group include trimethoxysilane (HSi (OCH 3 ) 3 ) gas, triethoxysilane (HSi (OC 2 H 5 ) 3 ) gas, methyldimethoxysilane (HSi (CH 3) (OCH 3) 2) gas, methyl diethoxy silane (HSi (CH 3) (OC 2 H 5) 2) gas, dimethyl methoxy silane (HSi (CH 3) 2 ( OCH 3 )) gas, dimethylethoxysilane (HSi (CH 3) 2 ( OC 2 H 5)) gas, tetramethoxysilane (Si (OCH 3) 4) gas, tetraethoxysilane (Si (OC 2 H 5) 4) gas , aminosilane gas, diaminosilanes (H 2 Si (NH 2) 2) gas, triamino silanes (HSi (NH ) 3) gas, tetra aminosilane (Si (NH 2) 4) gas, dimethylamino silane (H 3 SiN (CH 3) 2) gas, bis (dimethylamino) silane (H 2 Si (N (CH 3) 2) 2 ) Gas, tris (dimethylamino) silane (HSi (N (CH 3 ) 2 ) 3 ) gas, and tetrakis (dimethylamino) silane (Si (N (CH 3 ) 2 ) 4 ) gas.

シロキサン系ガスとしては、ジシロキサン((HSi)O)ガス、ジメチルジシロキサン([H(CH)Si]O)ガス、テトラメチルジシロキサン([H(CHSi]O)ガス、ジメチルジメトキシジシロキサン([H(CH)(CHO)Si]O)ガス、テトラメトキシジシロキサン([H(CHO)Si]O)ガス、テトラメチルジメトキシジシロキサン([(CH(CHO)Si]O)ガス、ジメチルジエトキシジシロキサン([H(CH)(CO)Si]O)ガス、テトラエトキシジシロキサン([H(CO)Si]O)ガスが挙げられる。 Examples of the siloxane-based gas include disiloxane ((H 3 Si) 2 O) gas, dimethyldisiloxane ([H 2 (CH 3 ) Si] 2 O) gas, and tetramethyldisiloxane ([H (CH 3 ) 2 Si). ] 2 O) gas, dimethyldimethoxydisiloxane ([H (CH 3 ) (CH 3 O) Si] 2 O) gas, tetramethoxydisiloxane ([H (CH 3 O) 2 Si] 2 O) gas, tetra Methyldimethoxydisiloxane ([(CH 3 ) 2 (CH 3 O) Si] 2 O) gas, dimethyldiethoxydisiloxane ([H (CH 3 ) (C 2 H 5 O) Si] 2 O) gas, tetra ethoxy disiloxane include ([H (C 2 H 5 O) 2 Si] 2 O) gas.

シラザン系ガスとしては、ジシラザン((HSi)NH)ガス、ジメチルジシラザン([H(CH)Si]NH)ガス、テトラメチルジシラザン([H(CHSi]NH)ガス、ジメチルジメトキシジシラザン([H(CH)(CHO)Si]NH)ガス、ジメチルジエトキシジシラザン([H(CH)(CO)Si]NH)ガス、テトラエトキシジシラザン([H(CO)Si]NH)ガス、ジメトキシテトラメチルジシラザン([(OCH)(CHSi]NH)ガスが挙げられる。 Examples of the silazane-based gas include disilazane ((H 3 Si) 2 NH) gas, dimethyl disilazane ([H 2 (CH 3 ) Si] 2 NH) gas, and tetramethyldisilazane ([H (CH 3 ) 2 Si]. 2 NH) gas, dimethyldimethoxydisilazane ([H (CH 3 ) (CH 3 O) Si] 2 NH) gas, dimethyldiethoxydisilazane ([H (CH 3 ) (C 2 H 5 O) Si] 2 NH) include gas, tetraethoxy disilazane ([H (C 2 H 5 O) 2 Si] 2 NH) gas, dimethoxy tetramethyl disilazane ([(OCH 3) (CH 3) 2 Si] is 2 NH) gas It is done.

また、本発明に係る酸化剤とは、上記のシリコン系ガスと反応して、酸化膜を形成されるものである。酸化剤としては、酸素(O2)、水(HO)、オゾン(O)、過酸化水素(H)等が挙げられ、これらは一種類又は2種類以上混合して使用することができる。また、酸化剤を窒素ガス、ヘリウムガス、ネオンガス、アルゴンガス等の反応不活性ガスで希釈して使用してもよい。酸化剤としては、得られる酸化膜の膜質がよく、取り扱いが容易であるので、酸素(O)、水(HO)、オゾン(O)が好ましい。 The oxidant according to the present invention is a substance that reacts with the silicon-based gas to form an oxide film. Examples of the oxidizing agent include oxygen (O 2) , water (H 2 O), ozone (O 3 ), hydrogen peroxide (H 2 O 2 ), and the like. These may be used alone or in combination of two or more. can do. Further, the oxidizing agent may be diluted with a reaction inert gas such as nitrogen gas, helium gas, neon gas, or argon gas. As the oxidizer, oxygen (O 2 ), water (H 2 O), and ozone (O 3 ) are preferable because the obtained oxide film has good film quality and is easy to handle.

また、本発明に係る添加剤は、形成される酸化膜の膜質の制御又は酸化膜形成反応の促進又は抑制のために使用されるものであり、当該添加剤は、シランガス、ジシランガス、リン系ガス又はボロン系ガスが挙げられる。リン系ガスとしては、フォスフィンガス、トリメチルフォスファイトガス、トリメチルフォスフェートガス、トリエチルフォスファイトガス、トリエチルフォスフェートガス等があげられ、ボロン系ガスとしては、ボランガス、ジボランガス、トリメチルボレートガス、トリエチルボレートガス等が挙げられる。   The additive according to the present invention is used for controlling the film quality of the formed oxide film or for promoting or suppressing the oxide film forming reaction. The additive includes silane gas, disilane gas, and phosphorus-based gas. Or a boron-type gas is mentioned. Examples of phosphorus-based gases include phosphine gas, trimethyl phosphite gas, trimethyl phosphate gas, triethyl phosphite gas, triethyl phosphate gas, and boron-based gases include borane gas, diborane gas, trimethyl borate gas, and triethyl borate. Gas etc. are mentioned.

本発明の実施形態1の半導体製造装置の模式的な構成図である。It is a typical block diagram of the semiconductor manufacturing apparatus of Embodiment 1 of this invention. 図1の第一チャンバー1の模式的な構成図である。It is a typical block diagram of the 1st chamber 1 of FIG. 図1の第二チャンバー2の模式的な構成図である。It is a typical block diagram of the 2nd chamber 2 of FIG. 図2に示すウェハ41の模式的な断面図である。It is typical sectional drawing of the wafer 41 shown in FIG. 本発明の実施形態2に係る第一チャンバー5の模式的な構成図である。It is a typical block diagram of the 1st chamber 5 which concerns on Embodiment 2 of this invention.

発明の実施の形態BEST MODE FOR CARRYING OUT THE INVENTION

以下、本発明の実施形態について図面を参照して説明する。
(実施形態1)
図1は、本発明の実施形態1の半導体製造装置の模式的な構成図である。図1には、ウェハが収容されるフープ1と、フープ1から取り出されたウェハの位置決めを行うウェハアライメント2と、ロードロック機構を有するロードロックチャンバー3と、ウェハに対して酸化膜を形成するための処理室であるところの第一チャンバー5と、第一チャンバー5において形成された酸化膜に紫外光を照射する処理室であるところの第二チャンバー6と、ロードロックチャンバー3と第一チャンバー5と第二チャンバー6との間でウェハを搬送するロボットアームを有するトランスファーチャンバー4とを示している。
Embodiments of the present invention will be described below with reference to the drawings.
(Embodiment 1)
FIG. 1 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 1 of the present invention. In FIG. 1, a hoop 1 in which a wafer is accommodated, a wafer alignment 2 for positioning a wafer taken out from the hoop 1, a load lock chamber 3 having a load lock mechanism, and an oxide film are formed on the wafer. A first chamber 5 serving as a processing chamber for processing, a second chamber 6 serving as a processing chamber for irradiating an oxide film formed in the first chamber 5 with ultraviolet light, a load lock chamber 3 and a first chamber. 5 shows a transfer chamber 4 having a robot arm for transferring a wafer between 5 and a second chamber 6.

図2は、図1の第一チャンバー5の模式的な構成図である。図2には、シリコン系ガスであるトリメチルシラン(HSi(CH)ガスのガス供給管71と、酸化剤である水蒸気、酸素(O)ガス、オゾン(O)ガスのガス供給管72と、不活性ガスであるヘリウム(He)ガスのガス供給管73と、窒素(N)ガスのガス供給管74とを示している。 FIG. 2 is a schematic configuration diagram of the first chamber 5 of FIG. FIG. 2 shows a gas supply pipe 71 of trimethylsilane (HSi (CH 3 ) 3 ) gas that is a silicon-based gas, and gas supply of water vapor, oxygen (O 2 ) gas, and ozone (O 3 ) gas that are oxidants. A pipe 72, a gas supply pipe 73 of helium (He) gas, which is an inert gas, and a gas supply pipe 74 of nitrogen (N 2 ) gas are shown.

各ガス供給管71等は、それぞれ、バルブ16及びマスフローコントローラー15を介して、集合配管13に接続されている。集合配管13には、そこを通る種々のガスの第一チャンバー5への導入経路を切り替えるためのバルブ14が取り付けられている。バルブ14の下流には、集合配管13を通るガスをウェハ(ガラス基板)41に対して噴霧するガスシャワーが設けられている。このガスシャワーは、当該ガスを第一チャンバー5に均一濃度で導入するためのガス分散板31と、ガス分散板31の下流に設けられていて複数の開口部33が形成されたシャワー板32をを有している。   Each gas supply pipe 71 and the like are connected to the collective pipe 13 via the valve 16 and the mass flow controller 15, respectively. A valve 14 for switching the introduction path of various gases passing through the collecting pipe 13 to the first chamber 5 is attached to the collecting pipe 13. A gas shower for spraying the gas passing through the collecting pipe 13 onto the wafer (glass substrate) 41 is provided downstream of the valve 14. This gas shower includes a gas dispersion plate 31 for introducing the gas into the first chamber 5 at a uniform concentration, and a shower plate 32 provided downstream of the gas dispersion plate 31 and having a plurality of openings 33 formed therein. have.

また、図2には、三フッ化窒素(NF)ガスのガス供給管81と、Oガスのガス供給管82と、アルゴン(Ar)ガスのガス供給管83とを示している。 FIG. 2 also shows a nitrogen trifluoride (NF 3 ) gas supply pipe 81, an O 2 gas supply pipe 82, and an argon (Ar) gas supply pipe 83.

各ガス供給管81等も、それぞれ、バルブ16及びマスフローコントローラー15を通じて集合配管12に接続されている。集合配管12には、各ガス供給管81等を通る種々のガスを、第一チャンバー5に導入するのに先立ってプラズマ化するリモートプラズマ装置21が取り付けられている。リモートプラズマ装置21の近傍には、プラズマ発生用のRF発振器11が取り付けられている。   Each gas supply pipe 81 and the like are also connected to the collective pipe 12 through the valve 16 and the mass flow controller 15, respectively. A remote plasma device 21 is attached to the collective pipe 12 to convert various gases passing through the gas supply pipes 81 and the like into plasma before being introduced into the first chamber 5. An RF oscillator 11 for generating plasma is attached in the vicinity of the remote plasma device 21.

また、第一チャンバー5には、ウェハ41を加熱する絶縁物(AlN又はAl)から成るヒーター51と、トランスファーチャンバー4によって搬送されてきたウェハ41を受けるリフトピン52と、リフトピン52を昇降させるための駆動機構53と、第一チャンバー5内のガスを排気する排気バルブ62と、排気バルブ62に接続されている排気ポンプ61とが接続されている。   The first chamber 5 includes a heater 51 made of an insulator (AlN or Al) for heating the wafer 41, lift pins 52 for receiving the wafer 41 conveyed by the transfer chamber 4, and a lift pin 52 for raising and lowering the lift pins 52. A drive mechanism 53, an exhaust valve 62 for exhausting the gas in the first chamber 5, and an exhaust pump 61 connected to the exhaust valve 62 are connected.

図3は、図1の第二チャンバー6の模式的な構成図である。図3には、紫外光を照射する低圧水銀ランプ・Xeエキシマランプおよびメタルハライドランプなどの複数(例えば4つ)のランプ101と、減圧時にかかる応力から各ランプ101を保護するとともに各ランプ101への酸素、シリコン系ガス又は酸化膜形成反応で副生する炭素含有物質の接触を防止する石英パイプ102と、石英パイプ102内に供給されるNガス又は不活性ガス103と、連続的・定期的・間歇的にランプ101からの照射光の照度を測定する石英パイプ102内部或いは外部又は第二チャンバー6に取り付けられている受光センサー104と、第二チャンバー6内にNガスを供給するためのガス供給管75と、ウェハ41を処理した後に第二チャンバー6内をクリーニングするためのOガスを供給するためのガス供給管77と、ガス配管77に係るOガスの代替ガスであるOガスを供給するためのガス供給管78とを示している。なお、必要に応じて、Nガスに代わる不活性ガスを第二チャンバー6内に供給できるようにしてもよい。また、第一チャンバー5と第二チャンバー6とを兼用した、一つのチャンバーを用意してもよい。具体的には、第一チャンバー5内に、ランプ101等を設けることで実現できる。 FIG. 3 is a schematic configuration diagram of the second chamber 6 of FIG. FIG. 3 shows a plurality of (for example, four) lamps 101 such as low-pressure mercury lamps, Xe excimer lamps, and metal halide lamps that irradiate ultraviolet light. A quartz pipe 102 for preventing contact of oxygen, silicon-based gas, or carbon-containing material by-produced in the oxide film formation reaction, N 2 gas or inert gas 103 supplied into the quartz pipe 102, and continuously and periodically. A light receiving sensor 104 which is intermittently measured in the quartz pipe 102 for measuring the illuminance of the irradiation light from the lamp 101 or externally, or for supplying N 2 gas into the second chamber 6. a gas supply pipe 75, for supplying an O 2 gas for cleaning the inside of the second chamber 6 after processing the wafer 41 A gas supply pipe 77 illustrates a gas supply pipe 78 for supplying the O 3 gas is an alternative gas O 2 gas of the gas pipe 77. If necessary, it may be able to supply the inert gas in place of N 2 gas into the second chamber 6. Moreover, you may prepare one chamber which used the 1st chamber 5 and the 2nd chamber 6 together. Specifically, this can be realized by providing a lamp 101 or the like in the first chamber 5.

つぎに、図1に示す半導体製造装置によるウェハ41の処理手順について説明する。本実施形態では、まず、図示しないクリーンルーム内の洗浄装置からフープ1に収容された状態で例えばガラス基板であるところのウェハ41が搬送されてくる。その後、ウェハ41は、フープ1から取り出され、ウェハアライメント2側へ搬送される。   Next, a processing procedure of the wafer 41 by the semiconductor manufacturing apparatus shown in FIG. 1 will be described. In the present embodiment, first, a wafer 41 that is, for example, a glass substrate is transferred from a cleaning device in a clean room (not shown) while being accommodated in the FOUP 1. Thereafter, the wafer 41 is taken out from the hoop 1 and transferred to the wafer alignment 2 side.

ウェハアライメント2では、ウェハ41の位置決めが行われる。その後、ウェハ41は、第一チャンバー5に搬送されるのに先立って、ロードロックチャンバー3に搬送される。   In the wafer alignment 2, the wafer 41 is positioned. Thereafter, the wafer 41 is transferred to the load lock chamber 3 prior to being transferred to the first chamber 5.

つぎに、ロードロックチャンバー3内が減圧される。そして、ロードロックチャンバー3内が所望の圧力になると、ロードロックチャンバー3とトランスファーチャンバー4との間を仕切っているゲートバルブが開かれる。   Next, the pressure inside the load lock chamber 3 is reduced. When the pressure inside the load lock chamber 3 reaches a desired pressure, the gate valve that partitions the load lock chamber 3 and the transfer chamber 4 is opened.

その後、ウェハ41は、トランスファーチャンバー4内に搬送される。つづいて、トランスファーチャンバー4内のロボットアームによって、ロードロックチャンバー3内から第一チャンバー5内へ、ウェハ41が搬送されていく。   Thereafter, the wafer 41 is transferred into the transfer chamber 4. Subsequently, the wafer 41 is transferred from the load lock chamber 3 to the first chamber 5 by the robot arm in the transfer chamber 4.

第一チャンバー5では、ウェハ41の表面温度が50℃〜300℃の範囲(例えば、200℃)となるように、ヒーター51が設定される。つぎに、固定式のヒーター51に対して予め上方に位置するリフトピン52の上に、ウェハ(ガラス基板)41を載置させてから、駆動機構53によってリフトピン52を下降させて、ウェハ41をヒーター51上に載置させる。   In the first chamber 5, the heater 51 is set so that the surface temperature of the wafer 41 is in a range of 50 ° C. to 300 ° C. (for example, 200 ° C.). Next, the wafer (glass substrate) 41 is placed on the lift pins 52 that are positioned above the fixed heater 51 in advance, and then the lift pins 52 are lowered by the drive mechanism 53 so that the wafer 41 is heated. 51.

或いは、可動式のヒーター51を予め下降させておき、リフトピン52の上にウェハ41を載置させてから、ヒーター51を上昇させて、ウェハ41をヒーター51上に載置させてもよい。第一チャンバーは、すでに排気ポンプ61がオンし、かつ、排気バルブ62を開き、第一チャンバー5内を排気している。この際、第一チャンバー5内の圧力は、67Pa〜201Pa(例えば133Pa)程度とすればよい。   Alternatively, the movable heater 51 may be lowered in advance and the wafer 41 may be placed on the lift pins 52 and then the heater 51 may be raised to place the wafer 41 on the heater 51. In the first chamber, the exhaust pump 61 is already turned on, and the exhaust valve 62 is opened to exhaust the interior of the first chamber 5. At this time, the pressure in the first chamber 5 may be about 67 Pa to 201 Pa (for example, 133 Pa).

つぎに、ガス供給管71,72に係る各マスフローコントローラー15の制御によって各バルブ16を開き、第一チャンバー5に向けて、トリメチルシランガスを50cc/min〜100cc/min(例えば75cc/min)、水蒸気を200cc/min〜1000cc/min(例えば600cc/min)、Heガスを50cc/min〜150cc/min(例えば100cc/min)の流量で供給する。この状態で、チャンバー内を67Pa〜399Pa(133Pa)とし、RF発信器11から13.56MHzの高周波を発振させて、数10秒から数分(例えば1分)間、オンして、500W〜650W(例えば630W)の出力で、上記各ガスのプラズマ化を行う。   Next, each valve 16 is opened under the control of each mass flow controller 15 related to the gas supply pipes 71 and 72, and trimethylsilane gas is directed to the first chamber 5 at 50 cc / min to 100 cc / min (for example, 75 cc / min), water vapor. Is supplied at a flow rate of 200 cc / min to 1000 cc / min (for example, 600 cc / min), and He gas is supplied at a flow rate of 50 cc / min to 150 cc / min (for example, 100 cc / min). In this state, the inside of the chamber is set to 67 Pa to 399 Pa (133 Pa), a high frequency of 13.56 MHz is oscillated from the RF transmitter 11, turned on for several tens of seconds to several minutes (for example, one minute), and 500 W to 650 W The above gases are converted into plasma at an output of (for example, 630 W).

この結果、ウェハ41上に厚さが100nm程度の酸化膜が形成される。その後、各バルブ16を閉じて、第一チャンバー5に対するトリメチルシランガス等の導入を停止する。換言すると、トリメチルシランガス等の導入時間は、例えば1分間となる。   As a result, an oxide film having a thickness of about 100 nm is formed on the wafer 41. Thereafter, each valve 16 is closed, and introduction of trimethylsilane gas or the like into the first chamber 5 is stopped. In other words, the introduction time of trimethylsilane gas or the like is, for example, 1 minute.

それから、ウェハ41を、トランスファーチャンバー4内のロボットアームによって、第一チャンバー5から第二チャンバー6に搬送される。   Then, the wafer 41 is transferred from the first chamber 5 to the second chamber 6 by the robot arm in the transfer chamber 4.

第二チャンバー6では、ウェハ41の表面温度が200℃〜400℃の範囲(例えば、300℃)となるように、ヒーター51が設定される。つぎに、ヒーター51の上に、ウェハ41が載置される。第二チャンバー6はすでに排気ポンプ61がオンし、かつ、Nガスを100cc/min〜300cc/min(例えば、200cc/min)を流し、排気バルブ62を開き、第二チャンバー6内の圧力を67Pa〜399Pa(例えば、133Pa)となる条件で排気する。 In the second chamber 6, the heater 51 is set so that the surface temperature of the wafer 41 is in a range of 200 ° C. to 400 ° C. (for example, 300 ° C.). Next, the wafer 41 is placed on the heater 51. In the second chamber 6, the exhaust pump 61 is already turned on, N 2 gas is allowed to flow from 100 cc / min to 300 cc / min (for example, 200 cc / min), the exhaust valve 62 is opened, and the pressure in the second chamber 6 is increased. Exhaust is performed under conditions of 67 Pa to 399 Pa (for example, 133 Pa).

そして、低圧水銀ランプ101からパワー10mW/cmの低圧水銀光を、スループットが低下しない範囲で、数十秒から数分程度(例えば1分)照射することによって、ウェハ41の紫外線アニール処理を行う。 Then, the low-pressure mercury lamp 101 is irradiated with low-pressure mercury light having a power of 10 mW / cm 2 within a range in which the throughput does not decrease, so that the ultraviolet annealing process of the wafer 41 is performed. .

ガラス基板のウェハ41上にN型のアモーファスシリコン膜を約100nmの厚さで形成し、その上にSiO膜を約100nmの厚さで形成した。このSiO膜の紫外線アニール処理を行った。そして、さらにその上にN型のアモーファスシリコン膜を100nm形成し、パターニングし、上下のアモーファスシリコン膜に電圧200Vと温度300℃とを4時間かけた後、C−V測定によりVFBシフトを調べたところ、ガラス基板のウェハ41からナトリウム等が拡散している事実は認められなかった。 An N-type amorphous silicon film was formed on a glass substrate wafer 41 with a thickness of about 100 nm, and an SiO 2 film was formed thereon with a thickness of about 100 nm. The SiO 2 film was subjected to ultraviolet annealing. Then, further N-type Hameau fastest silicon film to 100nm formed thereon, patterning, after applying voltage 200V and the temperature 300 ° C. and for 4 hours and below the Hameau Fas silicon film, V FB shift by C-V measurement As a result, the fact that sodium or the like was diffusing from the wafer 41 of the glass substrate was not recognized.

また、ウェハ41に形成した酸化膜の厚さは、紫外線アニール処理によって、約5%程度減少していた。また、この酸化膜の屈折率は、平均で約1.55であった。   Further, the thickness of the oxide film formed on the wafer 41 was reduced by about 5% by the ultraviolet annealing process. The refractive index of this oxide film was about 1.55 on average.

一方、第一チャンバー5は、10枚程度のウェハ41に対する処理毎にクリーニングする。具体的には、マスフローコントローラー15の制御によってバルブ16を開き、ガス供給管81〜83を通じて、第一チャンバー5内に、約400cc/minの流量のNFガスと、約200cc/minの流量のOガスと、約100cc/minの流量のArガスとの混合ガスを、リモートプラズマ装置21に向けて出力する。 On the other hand, the first chamber 5 is cleaned for every 10 wafers 41 processed. Specifically, the valve 16 is opened under the control of the mass flow controller 15, and the NF 3 gas having a flow rate of about 400 cc / min and the flow rate of about 200 cc / min are introduced into the first chamber 5 through the gas supply pipes 81 to 83. A mixed gas of O 2 gas and Ar gas at a flow rate of about 100 cc / min is output to the remote plasma apparatus 21.

そして、リモートプラズマ装置21をオンして、各ガスをプラズマ化させて、リモートプラズマ装置21に導入する。この際、排気ポンプ61をオンし、かつ、排気バルブ62を開くことで、第一チャンバー5内を排気する。排気時の第一チャンバー5内の圧力は、67Pa〜133Pa程度とすればよい。   Then, the remote plasma device 21 is turned on to turn each gas into plasma and introduce it into the remote plasma device 21. At this time, the interior of the first chamber 5 is exhausted by turning on the exhaust pump 61 and opening the exhaust valve 62. The pressure in the first chamber 5 at the time of exhausting may be about 67 Pa to 133 Pa.

なお、本実施形態では、トリメチルシランガスを用いて酸化膜を形成する例を説明したが、これに代えて、トリメトキシシラン(HSi(OCH)ガス、トリエトキシシラン(HSi(OC)ガス、メチルジメトキシシラン(HSi(CH)(OCH)ガス、メチルジエトキシシラン(HSi(CH)(OC)ガス、ジメチルメトキシシラン(HSi(CH(OCH))ガス、ジメチルエトキシシラン(HSi(CH(OC))ガス、テトラメトキシシラン(Si(OCH)ガス、テトラエトキシシラン(Si(OC)ガス、アミノシランガス、ジアミノシラン(HSi(NH)ガス、トリアミノシラン(HSi(NH))ガス,テトラアミノシラン(Si(NH)ガス、ジメチルアミノシラン(HSiN(CH)ガス、ビス(ジメチルアミノ)シラン(HSi(N(CH)ガス、トリス(ジメチルアミノ)シラン(HSi(N(CH)ガス、テトラキス(ジメチルアミノ)シラン(Si(N(CH)ガス、ジシロキサン((HSi)O)ガス、ジメチルジシロキサン([H(CH)Si]O)ガス、テトラメチルジシロキサン([H(CHSi]O)ガス、ジメチルジメトキシジシロキサン([H(CH)(CHO)Si]O)ガス、テトラメトキシジシロキサン([H(CHO)Si]O)ガス、テトラメチルジメトキシジシロキサン([(CH(CHO)Si]O)ガス、ジメチルジエトキシジシロキサン([H(CH)(CO)Si]O)ガス、テトラエトキシジシロキサン([H(CO)Si]O)ガス、ジシラザン((HSi)NH)ガス、ジメチルジシラザン([H(CH)Si]NH)ガス、テトラメチルジシラザン([H(CHSi]NH)ガス、ジメチルジメトキシジシラザン([H(CH)(CHO)Si]NH)ガス、ジメチルジエトキシジシラザン([H(CH)(CO)Si]NH)ガス、テトラエトキシジシラザン([H(CO)Si]NH)ガス、ジメトキシテトラメチルジシラザン([(OCH)(CHSi]NH)ガスなどのアルキル基又はアルコキシ基を有する反応ガスを用いてもよい。また、水蒸気に代えてOガスを用いてもよい。 In this embodiment, an example in which an oxide film is formed using trimethylsilane gas has been described. Instead, trimethoxysilane (HSi (OCH 3 ) 3 ) gas, triethoxysilane (HSi (OC 2 H) 5) 3) gas, methyldimethoxysilane (HSi (CH 3) (OCH 3) 2) gas, methyl diethoxy silane (HSi (CH 3) (OC 2 H 5) 2) gas, dimethyl methoxy silane (HSi (CH 3 ) 2 (OCH 3 )) gas, dimethylethoxysilane (HSi (CH 3 ) 2 (OC 2 H 5 )) gas, tetramethoxysilane (Si (OCH 3 ) 4 ) gas, tetraethoxysilane (Si (OC 2) H 5) 4) gas, aminosilane gas, diaminosilanes (H 2 Si (NH 2) 2) gas, triamino silanes (HSi ( NH 2 ) 3 ) gas, tetraaminosilane (Si (NH 2 ) 4 ) gas, dimethylaminosilane (H 3 SiN (CH 3 ) 2 ) gas, bis (dimethylamino) silane (H 2 Si (N (CH 3 ) 2 ) 2 ) gas, tris (dimethylamino) silane (HSi (N (CH 3 ) 2 ) 3 ) gas, tetrakis (dimethylamino) silane (Si (N (CH 3 ) 2 ) 4 ) gas, disiloxane ((H 3 Si) 2 O) gas, dimethyldisiloxane ([H 2 (CH 3 ) Si] 2 O) gas, tetramethyldisiloxane ([H (CH 3 ) 2 Si] 2 O) gas, dimethyldimethoxydisiloxane ( [H (CH 3 ) (CH 3 O) Si] 2 O) gas, tetramethoxydisiloxane ([H (CH 3 O) 2 Si] 2 O) gas, tetramethyldimethoxy Sidisiloxane ([(CH 3 ) 2 (CH 3 O) Si] 2 O) gas, dimethyldiethoxydisiloxane ([H (CH 3 ) (C 2 H 5 O) Si] 2 O) gas, tetraethoxydi Siloxane ([H (C 2 H 5 O) 2 Si] 2 O) gas, disilazane ((H 3 Si) 2 NH) gas, dimethyldisilazane ([H 2 (CH 3 ) Si] 2 NH) gas, tetra Methyldisilazane ([H (CH 3 ) 2 Si] 2 NH) gas, dimethyldimethoxydisilazane ([H (CH 3 ) (CH 3 O) Si] 2 NH) gas, dimethyldiethoxydisilazane ([H ( CH 3 ) (C 2 H 5 O) Si] 2 NH) gas, tetraethoxydisilazane ([H (C 2 H 5 O) 2 Si] 2 NH) gas, dimethoxytetramethyldisilazane ([(OCH 3 A reaction gas having an alkyl group or an alkoxy group such as () (CH 3 ) 2 Si] 2 NH) gas may be used. It may also be used O 2 gas instead of steam.

(変形例1−1)
実施形態1における酸化膜の製造工程を以下のように変更することも可能である。ここでは、ウェハ41にシャロートレンチアイソレーション(shallow trench isolation:STI)が形成されている場合の酸化膜を形成する手法について説明する。
(Modification 1-1)
The manufacturing process of the oxide film in the first embodiment can be changed as follows. Here, a method of forming an oxide film when shallow trench isolation (STI) is formed on the wafer 41 will be described.

図4は、深さが600nm〜800nm(例えば700nm)、幅が50nm〜150nm(例えば100nm)のシャロートレンチ301が形成されたウェハ41に酸化膜304を埋め込み(図4(a))、かつ、酸化膜304の洲303を除去させる(図4(b))様子を示している。   4, an oxide film 304 is embedded in a wafer 41 in which a shallow trench 301 having a depth of 600 nm to 800 nm (eg, 700 nm) and a width of 50 nm to 150 nm (eg, 100 nm) is formed (FIG. 4A), and The state where the state 303 of the oxide film 304 is removed (FIG. 4B) is shown.

実施形態1の酸化膜304の製造工程との相違点は、以下の通りである。   Differences from the manufacturing process of the oxide film 304 of the first embodiment are as follows.

1.第一チャンバー5のヒーター51の温度を、ウェハ41の表面温度が100℃〜350℃(例えば300℃)となるように設定する。   1. The temperature of the heater 51 of the first chamber 5 is set so that the surface temperature of the wafer 41 is 100 ° C. to 350 ° C. (for example, 300 ° C.).

2.ウェハ41としてシリコン基板を用い、ウェハ41を第一チャンバー5へ搬送する前に、図示しないチャンバーにおいて、STIによって浅く狭いシャロートレンチ301を形成しておく。   2. A silicon substrate is used as the wafer 41, and a shallow and narrow shallow trench 301 is formed by STI in a chamber (not shown) before the wafer 41 is transferred to the first chamber 5.

3.まず、第一チャンバー5内の圧力を133Pa〜532Pa(例えば267Pa)とした状態でジメトキシテトラメチルジシロキサンガスのみを第一チャンバー5に約75cc/minの流量で約2分間供給し、その後、ガス変更のためNガス、不活性ガス又は水蒸気ガスを導入し、圧力を13.3Pa〜133Pa(例えば67Pa)程度に一旦変更してから、133Pa〜532Pa(例えば267Pa)に戻して、高濃度(例えば100%或いは水蒸気を混合したもの)のOガスを約200cc/minの流量で約2分間供給する。RFプラズマ装置11の使用は不要である。 3. First, in a state where the pressure in the first chamber 5 is set to 133 Pa to 532 Pa (for example, 267 Pa), only dimethoxytetramethyldisiloxane gas is supplied to the first chamber 5 at a flow rate of about 75 cc / min for about 2 minutes. For the change, N 2 gas, inert gas or water vapor gas is introduced, the pressure is once changed to about 13.3 Pa to 133 Pa (for example, 67 Pa), then returned to 133 Pa to 532 Pa (for example, 267 Pa), and a high concentration ( for example supplies 100% or a mixture of water vapor) O 3 gas at a flow rate of about 200 cc / min to about 2 min. The use of the RF plasma apparatus 11 is not necessary.

4.ジメトキシテトラメチルジシロキサンガスとOガスとの交互の供給を10回〜30回(例えば20回)繰り返す。 4). The alternating supply of dimethoxytetramethyldisiloxane gas and O 3 gas is repeated 10 to 30 times (for example, 20 times).

この結果、実施形態1と同様に、100nm程度の厚さの酸化膜304がウェハ41に形成される。酸化膜304は、シャロートレンチ301内にも埋め込まれる。ただし、酸化膜304には、希フッ酸でエッチングすると洲303が発生していることが確認できる。洲203が存在すると、素子分離の信頼性が低下するため、この除去対策が必須となる。   As a result, an oxide film 304 having a thickness of about 100 nm is formed on the wafer 41 as in the first embodiment. The oxide film 304 is also embedded in the shallow trench 301. However, it can be confirmed that the oxide film 304 is generated by etching with dilute hydrofluoric acid. If the state 203 exists, the reliability of element isolation is lowered, and this removal measure is essential.

そこで、ウェハ41に紫外線を照射することによって、酸化膜304内に含まれている炭素(C)及び水素(H)が脱離させることで、洲303を消失させ、シャロートレンチ301内の酸化膜304の状態を改善する。紫外線照射処理の条件は、実施形態1と同様でよい。この場合、酸化膜304の厚さは、平均で約4%減少した。実際に希フッ酸処理を行ってみたところ、シャロートレンチ301内の酸化膜304から洲303が消失していることが確認できた。   Therefore, by irradiating the wafer 41 with ultraviolet rays, carbon (C) and hydrogen (H) contained in the oxide film 304 are desorbed, so that the state 303 disappears and the oxide film in the shallow trench 301 is removed. The state of 304 is improved. The conditions for the ultraviolet irradiation treatment may be the same as in the first embodiment. In this case, the thickness of the oxide film 304 decreased by about 4% on average. When the dilute hydrofluoric acid treatment was actually performed, it was confirmed that the state 303 disappeared from the oxide film 304 in the shallow trench 301.

なお、実施形態1と同様に、ジメトキシテトラメチルジシロキサンガスに代えて、トリメトキシシランガス等を用いてもよいし、クリーニング条件も、実施形態1と同様でよい。   As in the first embodiment, trimethoxysilane gas or the like may be used instead of the dimethoxytetramethyldisiloxane gas, and the cleaning conditions may be the same as in the first embodiment.

(変形例1−2)
変形例1−1における酸化膜の製造工程を、さらに以下のように変更することも可能である。
(Modification 1-2)
The manufacturing process of the oxide film in the modified example 1-1 can be further changed as follows.

1.第一チャンバー5のヒーター51の温度を、ウェハ41の表面温度が120℃〜138℃(例えば130℃)となるように設定する。この温度は、ジメトキシテトラメチルジシロキサンガスの沸点である139℃付近、ただし、沸点未満という温度である。このような温度設定を行うと、ウェハ41に対するジメトキシテトラメチルジシロキサンガスの吸着量を増加させられ、その結果、酸化膜の厚さを増加させることができる。   1. The temperature of the heater 51 of the first chamber 5 is set so that the surface temperature of the wafer 41 is 120 ° C. to 138 ° C. (for example, 130 ° C.). This temperature is around 139 ° C., which is the boiling point of the dimethoxytetramethyldisiloxane gas, but less than the boiling point. When such temperature setting is performed, the adsorption amount of the dimethoxytetramethyldisiloxane gas to the wafer 41 can be increased, and as a result, the thickness of the oxide film can be increased.

2.深さが600nm〜800nm(例えば700nm)、幅が50nm〜150nm(例えば100nm)のシャロートレンチ301を形成しておく。   2. A shallow trench 301 having a depth of 600 nm to 800 nm (eg, 700 nm) and a width of 50 nm to 150 nm (eg, 100 nm) is formed.

3.まず、第一チャンバー5内の圧力を133Pa〜532Pa(例えば399Pa)とした状態でジメトキシテトラメチルジシロキサンガスのみを第一チャンバー5に約75cc/minの流量で約2分間供給し、その後、変形例1−1と同様の条件でOガスを供給する。RFプラズマ装置11の使用は不要である。 3. First, in a state where the pressure in the first chamber 5 is 133 Pa to 532 Pa (for example, 399 Pa), only dimethoxytetramethyldisiloxane gas is supplied to the first chamber 5 at a flow rate of about 75 cc / min for about 2 minutes. O 3 gas is supplied under the same conditions as in Example 1-1. The use of the RF plasma apparatus 11 is not necessary.

4.ジメトキシテトラメチルジシロキサンガスとOガスとの交互の供給を5回〜15回(例えば10回)繰り返す。またOガスに水蒸気を混合させても良い。 4). The alternating supply of dimethoxytetramethyldisiloxane gas and O 3 gas is repeated 5 to 15 times (for example, 10 times). Or it may steam mixed with the O 3 gas.

その後、実施形態1と同様の条件で、第二チャンバー6において紫外線照射処理を行ったところ、酸化膜304の厚さは、平均で約6%減少した。   Thereafter, when the ultraviolet irradiation treatment was performed in the second chamber 6 under the same conditions as in the first embodiment, the thickness of the oxide film 304 was reduced by about 6% on average.

なお、変形例1−1と同様に、ジメトキシテトラメチルジシロキサンガスに代えて、トリメトキシシランガス等を用いてもよいが、代替ガスの沸点に応じて、第一チャンバー5のヒーター51の温度を設定すべき点に留意する。   As in Modification 1-1, trimethoxysilane gas or the like may be used instead of dimethoxytetramethyldisiloxane gas, but the temperature of the heater 51 of the first chamber 5 is set according to the boiling point of the alternative gas. Note the points to be set.

(変形例1−3)
実施形態1−1における酸化膜の製造工程を以下のように変更することも可能である。ここでは、ウェハ41にシャロートレンチが形成されている場合の酸化膜を形成する手法について説明する。
(Modification 1-3)
It is also possible to change the manufacturing process of the oxide film in Embodiment 1-1 as follows. Here, a method of forming an oxide film when a shallow trench is formed on the wafer 41 will be described.

図4は、深さが600nm〜800nm(例えば700nm)、幅が50nm〜150nm(例えば100nm)のシャロートレンチ301が形成されたウェハ41に酸化膜304を埋め込み(図4(a))、かつ、酸化膜304の洲303を除去させる(図4(b))様子を示している。   4, an oxide film 304 is embedded in a wafer 41 in which a shallow trench 301 having a depth of 600 nm to 800 nm (eg, 700 nm) and a width of 50 nm to 150 nm (eg, 100 nm) is formed (FIG. 4A), and The state where the state 303 of the oxide film 304 is removed (FIG. 4B) is shown.

実施形態1の酸化膜304の製造工程との相違点は、以下の通りである。   Differences from the manufacturing process of the oxide film 304 of the first embodiment are as follows.

1.第一チャンバー5のヒーター51の温度を、ウェハ41の表面温度が200℃〜500℃(例えば300℃)となるように設定する。   1. The temperature of the heater 51 of the first chamber 5 is set so that the surface temperature of the wafer 41 is 200 ° C. to 500 ° C. (for example, 300 ° C.).

2.ウェハ41としてシリコン基板を用い、ウェハ41を第一チャンバー5へ搬送する前に、図示しないチャンバーにおいて、STIによって浅く狭いシャロートレンチ301を形成しておく。   2. A silicon substrate is used as the wafer 41, and a shallow and narrow shallow trench 301 is formed by STI in a chamber (not shown) before the wafer 41 is transferred to the first chamber 5.

3.まず、第一チャンバー5内のシャワーを改造する。具体的には、ジメトキシテトラメチルジシロキサンガスと高濃度Oガスとは配管内およびシャワー内で混合されないようにする。ジメトキシテトラメチルジシロキサンガスと高濃度Oガスとは、チャンバー内ではじめて混合されるようにする。水蒸気は、ジメトキシテトラメチルジシロキサンガスとシャワー内で混合させても良いし、またはOガスとシャワー内で混合させても良い。圧力を39.3Pa〜532Pa(例えば133Pa)とした状態でジメトキシテトラメチルジシロキサンガスと高濃度(例えば100%)Oガスおよび水蒸気ガスを第一チャンバー5に約75cc/min、200cc/minおよび400cc/minの流量で約7分間供給する。また水蒸気ガスの代わりにOガスを混合させても良い。RFプラズマ装置11の使用は不要である。 3. First, the shower in the first chamber 5 is modified. Specifically, dimethoxytetramethyldisiloxane gas and high-concentration O 3 gas are prevented from being mixed in the piping and shower. The dimethoxytetramethyldisiloxane gas and the high concentration O 3 gas are first mixed in the chamber. The water vapor may be mixed with dimethoxytetramethyldisiloxane gas in the shower, or may be mixed with O 3 gas in the shower. With the pressure at 39.3 Pa to 532 Pa (eg, 133 Pa), dimethoxytetramethyldisiloxane gas, high concentration (eg, 100%) O 3 gas, and water vapor gas are supplied to the first chamber 5 at about 75 cc / min, 200 cc / min, and Supply for about 7 minutes at a flow rate of 400 cc / min. Or it may be mixed O 2 gas instead of steam gas. The use of the RF plasma apparatus 11 is not necessary.

この結果、実施形態1と同様に、700nm程度の厚さの酸化膜304がウェハ41に形成される。酸化膜304は、シャロートレンチ301内にも埋め込まれる。ただし、酸化膜304には、希フッ酸でエッチングすると洲303が発生していることが確認できる。洲203が存在すると、素子分離の信頼性が低下するため、この除去対策が必須となる。   As a result, an oxide film 304 having a thickness of about 700 nm is formed on the wafer 41 as in the first embodiment. The oxide film 304 is also embedded in the shallow trench 301. However, it can be confirmed that the oxide film 304 is generated by etching with dilute hydrofluoric acid. If the state 203 exists, the reliability of element isolation is lowered, and this removal measure is essential.

そこで、ウェハ41に紫外線を照射することによって、酸化膜304内に含まれている炭素(C)及び水素(H)が脱離させることで、洲303を消失させ、シャロートレンチ301内の酸化膜304の状態を改善する。紫外線照射処理の条件は、実施形態1と同様でよい。この場合、酸化膜304の厚さは、平均で約4%減少した。実際に希フッ酸処理を行ってみたところ、シャロートレンチ301内の酸化膜304から洲303が消失していることが確認できた。   Therefore, by irradiating the wafer 41 with ultraviolet rays, carbon (C) and hydrogen (H) contained in the oxide film 304 are desorbed, so that the state 303 disappears and the oxide film in the shallow trench 301 is removed. The state of 304 is improved. The conditions for the ultraviolet irradiation treatment may be the same as in the first embodiment. In this case, the thickness of the oxide film 304 decreased by about 4% on average. When the dilute hydrofluoric acid treatment was actually performed, it was confirmed that the state 303 disappeared from the oxide film 304 in the shallow trench 301.

なお、実施形態1と同様に、ジメトキシテトラメチルジシロキサンガスに代えて、トリメトキシシランガス等を用いてもよいし、クリーニング条件も、実施形態1と同様でよい。   As in the first embodiment, trimethoxysilane gas or the like may be used instead of the dimethoxytetramethyldisiloxane gas, and the cleaning conditions may be the same as in the first embodiment.

(実施形態2)
図5は、本発明の実施形態2に係るバッチ式減圧CVD装置の模式的な構成図である。本実施形態では、実施形態1で用いていたいわゆるクラスタータイプのチャンバーに代えて、バッチタイプのチャンバーを用いて、一度の処理で複数のウェハ41を処理する手法について説明する。
(Embodiment 2)
FIG. 5 is a schematic configuration diagram of a batch type low pressure CVD apparatus according to Embodiment 2 of the present invention. In the present embodiment, a method of processing a plurality of wafers 41 in a single process using a batch type chamber instead of the so-called cluster type chamber used in the first embodiment will be described.

図5には、複数のウェハ41を保持するウェハホルダー214と、ウェハホルダー214の載置台216と、ウェハホルダー214を覆っている内部石英管213と、内部石英管213を覆っている外部石英管212と、各石英管212,213を加熱する炉211と、ガスノズル317などにOガス、トリメチルシランガス等を導入するための配管201〜207と、配管201等をまとめるマニホールド321と、マニホールド321の下方に位置していて載置台216を昇降させる昇降手段322と、ウェハ41の酸化防止用のNガスを充満したシールドボックス242とを示している。 FIG. 5 shows a wafer holder 214 that holds a plurality of wafers 41, a mounting table 216 for the wafer holder 214, an internal quartz tube 213 that covers the wafer holder 214, and an external quartz tube that covers the internal quartz tube 213. 212, a furnace 211 for heating the quartz tubes 212 and 213, pipes 201 to 207 for introducing O 3 gas, trimethylsilane gas, etc. into the gas nozzle 317, a manifold 321 for gathering the pipes 201 and the like, An elevating unit 322 positioned below and elevating the mounting table 216 and a shield box 242 filled with N 2 gas for preventing oxidation of the wafer 41 are shown.

なお、図5では、外部石英管212と内部石英管213の間にガスノズル317を取り付け、ノズル孔318からガスを内部石英管213内に供給可能な状態を示しているが、ガスノズル317を取り付けることなく、内部石英管213にガスを直接供給してもよい。なお、ノズル孔318を複数設けているので、ウェハ41に対して、各種ガスを均一濃度で供給することが可能となる。   5 shows a state in which a gas nozzle 317 is attached between the external quartz tube 212 and the internal quartz tube 213 and gas can be supplied from the nozzle hole 318 into the internal quartz tube 213. However, the gas nozzle 317 is attached. Alternatively, the gas may be directly supplied to the internal quartz tube 213. Since a plurality of nozzle holes 318 are provided, various gases can be supplied to the wafer 41 at a uniform concentration.

また、図5には、配管201等に設けられたバルブ208及びマスフロー209と、ウェハホルダー214にウェハ41を搬送するロボットアーム241と、内部石英管213等の内部を排気する排気ポンプ234と、排気ポンプ234と処理室とを結ぶ排気管231と、排気管231に接続される圧力計232と、排気管231に設けられているバルブ233とを示している。   5 includes a valve 208 and a mass flow 209 provided in the pipe 201 and the like, a robot arm 241 that transports the wafer 41 to the wafer holder 214, an exhaust pump 234 that exhausts the inside of the internal quartz tube 213, and the like. An exhaust pipe 231 connecting the exhaust pump 234 and the processing chamber, a pressure gauge 232 connected to the exhaust pipe 231, and a valve 233 provided in the exhaust pipe 231 are shown.

ここで、内部石英管213の上部には開口部が形成されていることから、内部石英管213内に導入された種々のガスは、内部石英管213からその開口部を通じて、外部石英管212に向かう。そして、内部石英管213と外部石英管212との間を通じて、排気ポンプ234によって排気される。   Here, since an opening is formed in the upper part of the internal quartz tube 213, various gases introduced into the internal quartz tube 213 pass from the internal quartz tube 213 to the external quartz tube 212 through the opening. Head. Then, the air is exhausted by the exhaust pump 234 through the space between the internal quartz tube 213 and the external quartz tube 212.

配管201〜207には、それぞれ、Oガス、Oガス、トリメチルシランガス、アミノシラン(HSiNH)ガス、水蒸気、Heガス、Nガスが通る。なお、配管201,202は、ノズル317に直結されている。したがって、Oガス、Oガスは、ノズル317のノズル孔318を通じて、内部石英管213内に導入される。 O 2 gas, O 3 gas, trimethylsilane gas, aminosilane (H 3 SiNH 2 ) gas, water vapor, He gas, and N 2 gas pass through the pipes 201 to 207, respectively. The pipes 201 and 202 are directly connected to the nozzle 317. Therefore, O 2 gas and O 3 gas are introduced into the internal quartz tube 213 through the nozzle hole 318 of the nozzle 317.

一方、配管203〜207は、マニホールド321に直結されている。したがって、トリメチルシランガス等は、マニホールド321を通じて、内部石英管213内に導入される。   On the other hand, the pipes 203 to 207 are directly connected to the manifold 321. Therefore, trimethylsilane gas or the like is introduced into the internal quartz tube 213 through the manifold 321.

つぎに、図5に示すバッチ式減圧CVD装置を用いて、深さが600nm〜800nm(例えば700nm)、幅が50nm〜150nm(例えば100nm)のシャロートレンチ301が形成されたウェハ41の処理手順について説明する。   Next, a processing procedure for the wafer 41 in which the shallow trench 301 having a depth of 600 nm to 800 nm (for example, 700 nm) and a width of 50 nm to 150 nm (for example, 100 nm) is formed using the batch type low pressure CVD apparatus shown in FIG. explain.

まず、炉221の設定温度を、ウェハ41の表面温度が400℃〜500℃(例えば450℃)となるように、内部石英間213内を加熱しておく。この状態で、ロボットアーム241を用いて、トレンチが形成されているウェハ41を、処理室内のウェハホルダー401に載置する。   First, the interior temperature of the internal quartz 213 is heated so that the set temperature of the furnace 221 is 400 ° C. to 500 ° C. (for example, 450 ° C.). In this state, the robot arm 241 is used to place the wafer 41 in which the trench is formed on the wafer holder 401 in the processing chamber.

ここで、例えば、デザインルールが32nmデバイス以下のデバイスでは、ソース領域とドレイン領域との距離が非常に狭いので、500℃を超える処理を行った場合に、ソース領域とドレイン領域とが物理的に接触し、デバイスが動作しないという事態が生じうるので、炉221の設定温度は、500℃以下に抑えることが賢明である。   Here, for example, in a device having a design rule of 32 nm or less, the distance between the source region and the drain region is very narrow. Therefore, when processing exceeding 500 ° C. is performed, the source region and the drain region are physically separated. It is wise to keep the set temperature of the furnace 221 at 500 ° C. or lower because the device may not operate due to contact.

つぎに、マスフロー209の制御によって、配管201,204,205に設けられているバルブ208をそれぞれ開く。そして、ノズル317を通じて、ウェハ41にOガスが400〜1000cc/min(例えば800cc/min)、水蒸気が300〜500cc/min(例えば400cc/min)の流量で供給され、かつ、マニホールド321を通じて、ウェハ41にアミノシランガスが100〜300cc/min(例えば200cc/min)の流量で供給されるように調整する。 Next, the valves 208 provided in the pipes 201, 204, and 205 are opened under the control of the mass flow 209, respectively. Then, O 2 gas is supplied to the wafer 41 through the nozzle 317 at a flow rate of 400 to 1000 cc / min (for example, 800 cc / min) and water vapor is 300 to 500 cc / min (for example, 400 cc / min), and through the manifold 321, Adjustment is made so that aminosilane gas is supplied to the wafer 41 at a flow rate of 100 to 300 cc / min (for example, 200 cc / min).

この際、バルブ233を開き、排気ポンプ234によって、圧力計232が67Pa〜267Pa(例えば133Pa)となる条件で処理室内を排気する。   At this time, the valve 233 is opened and the processing chamber is evacuated by the exhaust pump 234 under the condition that the pressure gauge 232 becomes 67 Pa to 267 Pa (for example, 133 Pa).

各ガスの供給時間は1時間〜1時間半(例えば70分)程度とする。以上の手法によると、アミノシランガスと水蒸気さらにOガスとを緩やかに反応させることが可能となるので、相対的に低温で厚みのある酸化膜を形成することができる。結果的には、各ウェハ41には、厚さが700nmの酸化膜が形成される。 The supply time of each gas is about 1 hour to 1 and a half hours (eg, 70 minutes). According to the above method, the aminosilane gas, water vapor and O 2 gas can be allowed to react slowly, so that a thick oxide film can be formed at a relatively low temperature. As a result, an oxide film having a thickness of 700 nm is formed on each wafer 41.

その後、第一チャンバー5内で、或いは、第二チャンバー6を用いて、酸化膜をOガス雰囲気下で、約30分間、400℃〜500℃(例えば450℃)でアニール処理を行ったところ、トレンチ内の酸化膜に存在していた洲が消滅していた。 Thereafter, the oxide film was annealed at 400 ° C. to 500 ° C. (for example, 450 ° C.) for about 30 minutes in the O 3 gas atmosphere in the first chamber 5 or using the second chamber 6. The state that existed in the oxide film in the trench had disappeared.

なお、アミノシランガスに代えて、ジアミノシラン(HSi(NH)ガス、トリアミノシラン(HSi(NH))ガス,テトラアミノシラン(Si(NH)ガス、又は、ジメチルアミノシラン(HSiN(CH)ガス、ビス(ジメチルアミノ)シラン(HSi(N(CH)ガス、トリス(ジメチルアミノ)シラン(HSi(N(CH)ガス、テトラキス(ジメチルアミノ)シラン(Si(N(CH)ガス、ジシロキサン((HSi)O)ガス、ジメトキシテトラメチルジシラザン([(OCH)(CHSi]NH)ガス等の他のシリコン系ガスを用いてもよい。 In place of aminosilane gas, diaminosilane (H 2 Si (NH 2 ) 2 ) gas, triaminosilane (HSi (NH 2 ) 3 ) gas, tetraaminosilane (Si (NH 2 ) 4 ) gas, or dimethylaminosilane (H 3 SiN (CH 3 ) 2 ) gas, bis (dimethylamino) silane (H 2 Si (N (CH 3 ) 2 ) 2 ) gas, tris (dimethylamino) silane (HSi (N (CH 3 ) 2 ) 3 ) gas, tetrakis (dimethylamino) silane (Si (N (CH 3 ) 2 ) 4 ) gas, disiloxane ((H 3 Si) 2 O) gas, dimethoxytetramethyldisilazane ([(OCH 3 ) (CH 3) 2 Si] 2 NH) may use other silicon-based gas such as a gas.

さらに、Oガスを400cc/min〜1000cc/min(例えば800cc/min)とし、かつ、添加剤であるジボラン(B)ガス又は10%の濃度のフォスフィン(PH)ガスを50cc/min〜200cc/min(例えば100cc/min)と、他のガス条件を変更せずに、圧力を133Pa程度とし、1時間程度、酸化膜の堆積処理を行ってもよい。なお、処理室内のクリーニング条件は、実施形態1と同様でよく、図示しないクリーニング用ガスの配管を通じて励起されたNFガスおよびArガス等を用いてクリーニングすればよい。 Further, O 2 gas is set to 400 cc / min to 1000 cc / min (for example, 800 cc / min), and diborane (B 2 H 6 ) gas as an additive or phosphine (PH 3 ) gas having a concentration of 10% is set to 50 cc / min. The oxide film may be deposited for about 1 hour at a pressure of about 133 Pa without changing other gas conditions of min to 200 cc / min (for example, 100 cc / min). The cleaning conditions in the processing chamber may be the same as in the first embodiment, and cleaning may be performed using NF 3 gas, Ar gas, or the like excited through a cleaning gas pipe (not shown).

(変形例2−1)
実施形態2における酸化膜の製造工程を以下のように変更することも可能である。
(Modification 2-1)
It is also possible to change the manufacturing process of the oxide film in Embodiment 2 as follows.

1.深さが600nm〜800nm(例えば700nm)、幅が50nm〜150nm(例えば100nm)のシャロートレンチを形成しておく。   1. A shallow trench having a depth of 600 nm to 800 nm (for example, 700 nm) and a width of 50 nm to 150 nm (for example, 100 nm) is formed.

2.配管204にアミノシランガスに代えテトラメトキシシロキサン(H(OCHSi−O−SiH(OCH)ガスを流す。 2. Instead of aminosilane gas, tetramethoxysiloxane (H (OCH 3 ) 2 Si—O—SiH (OCH 3 ) 2 ) gas is allowed to flow through the pipe 204.

3.ノズル317を通じて、ウェハ41にOガスが400〜1000cc/min(例えば800cc/min)の流量で供給され、かつ、マニホールド321を通じて、ウェハ41にテトラメトキシシロキサンが100〜300cc/min(例えば200cc/min)の流量で供給されるように調整する。なお、併せて、水蒸気を100cc/min〜200cc/min(例えば100cc/min)の流量で供給するようにしてもよい。 3. Through the nozzle 317, O 2 gas is supplied to the wafer 41 at a flow rate of 400 to 1000 cc / min (for example, 800 cc / min), and tetramethoxysiloxane is supplied to the wafer 41 through the manifold 321 to 100 to 300 cc / min (for example, 200 cc / min). min) so that the flow rate is supplied. In addition, water vapor may be supplied at a flow rate of 100 cc / min to 200 cc / min (for example, 100 cc / min).

こうすると、SiHガス等とOガスとが反応することによりテトラメトキシシロキサンガスがOガスと反応し(テトラメトキシシロキサンガス中のHとOが反応し)、500℃以下の低温堆積化が可能とする。 In this way, the tetramethoxysiloxane gas reacts with the O 2 gas by the reaction of SiH 4 gas and the like with the O 2 gas (H and O 2 in the tetramethoxysiloxane gas react), and the low temperature deposition at 500 ° C. or lower. Can be made possible.

この結果、ウェハ41には、厚さが700nmの酸化膜が形成される。その後、ウェハホルダー214から取り出したウェハ41に対して、実施形態2と同様の条件で、紫外線照射処理を行ったところ、酸化膜の洲が消失した。また、酸化膜の厚さは、平均で約4%減少した。またテトラメトキシシロキサンガスの代わりにジメトキシシロキサンガス等を使用しても良い。なお、クリーニング条件は、実施形態2と同様で良い。   As a result, an oxide film having a thickness of 700 nm is formed on the wafer 41. Thereafter, when the wafer 41 taken out from the wafer holder 214 was subjected to an ultraviolet irradiation process under the same conditions as in the second embodiment, the oxide film disappeared. Moreover, the thickness of the oxide film decreased by about 4% on average. Further, a dimethoxysiloxane gas or the like may be used instead of the tetramethoxysiloxane gas. The cleaning conditions may be the same as in the second embodiment.

(変形例2−2)
実施形態2における酸化膜の製造工程を以下のように変更することも可能である。ここでの使用ガスは、変形例1−1と同じである。実施形態2の酸化膜の製造工程との相違点は、以下の通りである。
(Modification 2-2)
It is also possible to change the manufacturing process of the oxide film in Embodiment 2 as follows. The gas used here is the same as in Modification 1-1. The differences from the oxide film manufacturing process of the second embodiment are as follows.

1.図5のバッチ式減圧CVD装置のヒーター211の温度を、ウェハ41の表面温度が120℃〜138℃(例えば130℃)となるように設定する。この温度は、ジメトキシテトラメチルジシロキサンガスの沸点である139℃付近、ただし、沸点未満という温度である。このような温度設定を行うと、ウェハ41に対するジメトキシテトラメチルジシロキサンガスの吸着量を増加させられる。   1. The temperature of the heater 211 of the batch type low pressure CVD apparatus in FIG. 5 is set so that the surface temperature of the wafer 41 is 120 ° C. to 138 ° C. (for example, 130 ° C.). This temperature is around 139 ° C., which is the boiling point of the dimethoxytetramethyldisiloxane gas, but less than the boiling point. When such temperature setting is performed, the adsorption amount of the dimethoxytetramethyldisiloxane gas to the wafer 41 can be increased.

2.深さが600nm〜800nm(例えば700nm)、幅が50nm〜150nm(例えば100nm)のシャロートレンチを形成しておく。   2. A shallow trench having a depth of 600 nm to 800 nm (for example, 700 nm) and a width of 50 nm to 150 nm (for example, 100 nm) is formed.

3.まず、第一チャンバー5内の圧力を133Pa〜532Pa(例えば399Pa)とした状態でジメトキシテトラメチルジシロキサンガスのみを第一チャンバー5に約200cc/minの流量で約2分間供給し、その後、ガス変更のためNガス、不活性ガス又は水蒸気ガスを導入し、圧力を13.3Pa〜133Pa(例えば67Pa)程度に一旦変更してから、133Pa〜532Pa(例えば399Pa)に戻して、高濃度(例えば100%或いは水蒸気を混合したもの)のOガスを約400cc/minの流量で約2分間供給する。 3. First, in a state where the pressure in the first chamber 5 is set to 133 Pa to 532 Pa (for example, 399 Pa), only dimethoxytetramethyldisiloxane gas is supplied to the first chamber 5 at a flow rate of about 200 cc / min for about 2 minutes. For the change, N 2 gas, inert gas or water vapor gas is introduced, the pressure is temporarily changed to about 13.3 Pa to 133 Pa (for example, 67 Pa), then returned to 133 Pa to 532 Pa (for example, 399 Pa), and a high concentration ( for example supplying 100% or a mixture of water vapor) O 3 gas at a flow rate of about 400 cc / min to about 2 min.

4.ジメトキシテトラメチルジシロキサンガスとOガスとの交互の供給を50回〜100回(例えば70回)繰り返す。 4). The alternate supply of dimethoxytetramethyldisiloxane gas and O 3 gas is repeated 50 to 100 times (for example, 70 times).

この結果、ウェハ41には、厚さが700nmの酸化膜が形成される。その後、ウェハホルダー214から取り出したウェハ41に対して、実施形態2と同様の条件で、紫外線照射処理を行ったところ、酸化膜の洲が消失した。また、酸化膜の厚さは、平均で約7%減少した。   As a result, an oxide film having a thickness of 700 nm is formed on the wafer 41. Thereafter, when the wafer 41 taken out from the wafer holder 214 was subjected to an ultraviolet irradiation process under the same conditions as in the second embodiment, the oxide film disappeared. Moreover, the thickness of the oxide film decreased by about 7% on average.

なお、アニール処理を第二チャンバー6において行う場合には、例えば400℃で低圧水銀ランプ101を用い、O雰囲気下でウェハ401に対して、パワー10mW/cmの低圧水銀光を照射するとよい。 When the annealing process is performed in the second chamber 6, for example, the low pressure mercury lamp 101 is used at 400 ° C., and the wafer 401 may be irradiated with low pressure mercury light having a power of 10 mW / cm 2 in an O 2 atmosphere. .

また、変形例1−1と同様に、ジメトキシテトラメチルジシロキサンガスに代えて、トリメトキシシランガス等を用いてもよいが、代替ガスの沸点に応じて、第一チャンバー5のヒーター51の温度を設定すべき点に留意する。クリーニング条件は、実施形態2と同様でよい。   Further, similarly to the modified example 1-1, trimethoxysilane gas or the like may be used instead of the dimethoxytetramethyldisiloxane gas, but the temperature of the heater 51 of the first chamber 5 is set according to the boiling point of the alternative gas. Note the points to be set. The cleaning conditions may be the same as in the second embodiment.

(変形例2−3)
実施形態2における酸化膜の製造工程を以下のように変更することも可能である。
(Modification 2-3)
It is also possible to change the manufacturing process of the oxide film in Embodiment 2 as follows.

1.深さが600nm〜800nm(例えば700nm)、幅が50nm〜150nm(例えば100nm)のシャロートレンチを形成しておく。   1. A shallow trench having a depth of 600 nm to 800 nm (for example, 700 nm) and a width of 50 nm to 150 nm (for example, 100 nm) is formed.

2.配管203に流す添加剤をシラン(SiH)ガス又はジシラン(Si)ガスに変更する。また配管204にアミノシランガスに代えトリメトキシシラン(HSi(OCH)ガスを流す。 2. The additive flowing through the pipe 203 is changed to silane (SiH 4 ) gas or disilane (Si 2 H 6 ) gas. Further, instead of aminosilane gas, trimethoxysilane (HSi (OCH 3 ) 3 ) gas is allowed to flow through the pipe 204.

3.ノズル317を通じて、ウェハ41にOガスが400〜1000cc/min(例えば800cc/min)、SiHガス等が50〜150cc/min(例えば100cc/min)の流量で供給され、かつ、マニホールド321を通じて、ウェハ41にトリメトキシシランが100〜300cc/min(例えば200cc/min)の流量で供給されるように調整する。なお、併せて、水蒸気を100cc/min〜200cc/min(例えば100cc/min)の流量で供給するようにしてもよい。 3. Through the nozzle 317, O 2 gas is supplied to the wafer 41 at a flow rate of 400 to 1000 cc / min (eg, 800 cc / min), SiH 4 gas, etc., at a flow rate of 50 to 150 cc / min (eg, 100 cc / min), and through the manifold 321. The wafer 41 is adjusted so that trimethoxysilane is supplied at a flow rate of 100 to 300 cc / min (for example, 200 cc / min). In addition, water vapor may be supplied at a flow rate of 100 cc / min to 200 cc / min (for example, 100 cc / min).

こうすると、SiHガス等とOガスとが反応することによりトリメトキシシランガスがOガスと反応しやすくなり、SiHガス等の低温分解によって、450℃以下の低温堆積化が可能とする。 By doing so, the trimethoxysilane gas easily reacts with the O 2 gas due to the reaction between the SiH 4 gas and the O 2 gas, and the low temperature decomposition of the SiH 4 gas and the like enables the low temperature deposition at 450 ° C. or less. .

この結果、ウェハ41には、厚さが700nmの酸化膜が形成される。その後、ウェハホルダー214から取り出したウェハ41に対して、実施形態2と同様の条件で、紫外線照射処理を行ったところ、酸化膜の洲が消失した。また、酸化膜の厚さは、平均で約4%減少した。またトリメトキシシランガスの代わりにトリエトキシシランガス等を使用しても良い。
さらにSiHガスの代わりにSiガスを使用しても良い。なお、クリーニング条件は、実施形態2と同様で良い。
As a result, an oxide film having a thickness of 700 nm is formed on the wafer 41. Thereafter, when the wafer 41 taken out from the wafer holder 214 was subjected to an ultraviolet irradiation process under the same conditions as in the second embodiment, the oxide film disappeared. Moreover, the thickness of the oxide film decreased by about 4% on average. Further, triethoxysilane gas or the like may be used instead of trimethoxysilane gas.
Further, Si 2 H 6 gas may be used instead of SiH 4 gas. The cleaning conditions may be the same as in the second embodiment.

実施形態1等で説明した半導体製造装置を用いて製造した半導体デバイスは、液晶・プラズマ・EL(electroluminescence)などの表示装置に好適に用いることができる。この他にも、ディジタルカメラ・ディジタルスチルカメラなどの撮像装置、ファクシミリ、プリンタ、スキャナなどの画像形成装置、CLC素子、発光型レーザ装置等の光学装置、携帯電話機などの通信装置、パーソナルコンピュータなどの情報処理装置に内蔵されている或いは着脱可能なメモリのように、電子部品の素子等を形成するためのガラス基板が用いられるものであれば、好適に用いることができる。   A semiconductor device manufactured using the semiconductor manufacturing apparatus described in Embodiment 1 or the like can be suitably used for a display device such as liquid crystal, plasma, and EL (electroluminescence). In addition, imaging devices such as digital cameras and digital still cameras, image forming devices such as facsimiles, printers, and scanners, optical devices such as CLC elements and light emitting laser devices, communication devices such as mobile phones, personal computers, etc. Any glass substrate for forming an element of an electronic component or the like, such as a memory built in the information processing apparatus or a removable memory, can be preferably used.

最後に、各実施形態及び変形例で好ましく使用することができるガスについて表にまとめておく。なお表中、低温とは300℃以下の温度であり、中温とは300℃以上500℃以下の温度のことである。
Finally, the gas that can be preferably used in each embodiment and modification is summarized in a table. In the table, the low temperature is a temperature of 300 ° C. or lower, and the intermediate temperature is a temperature of 300 ° C. or higher and 500 ° C. or lower.

Claims (10)

アルキル基、アルコキシ基及びアミノ基から選ばれる少なくとも1種を含むシラン系ガス又はシロキサン系ガス又はシラザン系ガスを供給する手段と、
酸化剤を供給する手段と、
前記ガスと酸化剤を減圧状態で500℃以下の温度下で反応させる手段を備える半導体デバイスの製造装置。
Means for supplying a silane-based gas, a siloxane-based gas, or a silazane-based gas containing at least one selected from an alkyl group, an alkoxy group, and an amino group;
Means for supplying an oxidant;
An apparatus for manufacturing a semiconductor device, comprising means for reacting the gas and an oxidant under reduced pressure at a temperature of 500 ° C. or lower.
さらに、プラズマ状態で400℃以下の温度下で反応させる手段を備える請求項1に記載の半導体デバイスの製造装置。   The semiconductor device manufacturing apparatus according to claim 1, further comprising means for reacting in a plasma state at a temperature of 400 ° C. or lower. さらに、シランガス、ジシランガス、リン系ガス又はボロン系ガスから選ばれる添加剤を供給する手段を備える請求項1又は2に記載の半導体デバイスの製造装置。   The semiconductor device manufacturing apparatus according to claim 1, further comprising means for supplying an additive selected from silane gas, disilane gas, phosphorus-based gas, or boron-based gas. さらに、前記反応後に、オゾンガス又は紫外線照射を用いてアニール処理を行う手段を備える請求項1〜3のいずれかに記載の半導体デバイスの製造装置。   The semiconductor device manufacturing apparatus according to claim 1, further comprising means for performing an annealing process using ozone gas or ultraviolet irradiation after the reaction. さらに、酸化剤を不活性ガスで希釈する手段を備える請求項1〜4のいずれかに記載の半導体デバイスの製造装置。   Furthermore, the manufacturing apparatus of the semiconductor device in any one of Claims 1-4 provided with a means to dilute an oxidizing agent with an inert gas. アルキル基、アルコキシ基及びアミノ基から選ばれる少なくとも1種を含むシラン系ガス又はシロキサン系ガス又はシラザン系ガスをこれらのガスの沸点以下に加熱された基板上に導入するステップ(A)と、
酸化剤を導入し、減圧状態で500℃以下の温度下で前記ガスと反応させるステップ(B)とを含む半導体デバイスの製造方法。
Introducing a silane-based gas, siloxane-based gas, or silazane-based gas containing at least one selected from an alkyl group, an alkoxy group, and an amino group onto a substrate heated to the boiling point of these gases or less (A);
A step (B) of introducing an oxidant and reacting with the gas under a reduced pressure at a temperature of 500 ° C. or lower.
前記ステップ(B)の温度が、アルキル基、アルコキシ基及びアミノ基から選ばれる少なくとも1種を含むシラン系ガス又はシロキサン系ガス又はシラザン系ガスの沸点以下の温度である請求項6に記載の半導体デバイスの製造方法。   The semiconductor according to claim 6, wherein the temperature of the step (B) is a temperature not higher than the boiling point of a silane-based gas, a siloxane-based gas, or a silazane-based gas containing at least one selected from an alkyl group, an alkoxy group, and an amino group. Device manufacturing method. アルキル基、アルコキシ基及びアミノ基から選ばれる少なくとも1種を含むシラン系ガス、シロキサン系ガス、シラザン系ガスが、少なくとも一つのH基を持った化合物からなる請求項6に記載の半導体デバイスの製造方法。   The semiconductor device production according to claim 6, wherein the silane-based gas, siloxane-based gas, or silazane-based gas containing at least one selected from an alkyl group, an alkoxy group, and an amino group comprises a compound having at least one H group. Method. 前記酸化剤がO、HO又はOとから選ばれる少なくとも一種類である請求項6又は7に記載の半導体デバイスの製造方法。 The method for manufacturing a semiconductor device according to claim 6, wherein the oxidizing agent is at least one selected from O 2 , H 2 O, and O 3 . デザインルールが32nm以下のデバイスであって、ソース領域とドレイン領域とが物理的に接触していない半導体デバイス。   A semiconductor device whose design rule is 32 nm or less, and in which the source region and the drain region are not in physical contact.
JP2009201588A 2008-09-29 2009-09-01 Semiconductor device, and apparatus and method for manufacturing the same Pending JP2010103495A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009201588A JP2010103495A (en) 2008-09-29 2009-09-01 Semiconductor device, and apparatus and method for manufacturing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008250537 2008-09-29
JP2009201588A JP2010103495A (en) 2008-09-29 2009-09-01 Semiconductor device, and apparatus and method for manufacturing the same

Publications (1)

Publication Number Publication Date
JP2010103495A true JP2010103495A (en) 2010-05-06

Family

ID=42213868

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009201588A Pending JP2010103495A (en) 2008-09-29 2009-09-01 Semiconductor device, and apparatus and method for manufacturing the same

Country Status (2)

Country Link
JP (1) JP2010103495A (en)
KR (1) KR20100036186A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011151889A1 (en) * 2010-06-01 2011-12-08 東芝三菱電機産業システム株式会社 Apparatus for forming metal oxide film, method for forming metal oxide film, and metal oxide film
CN103499072A (en) * 2013-09-13 2014-01-08 熊猫电子集团有限公司 Method for setting layout of lamp bars of backlight module of direct-lit-type LED liquid crystal display television
JP2014132653A (en) * 2012-12-11 2014-07-17 Air Products And Chemicals Inc Alkoxysilylamine compounds and applications thereof
JP2018533215A (en) * 2015-10-22 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method for depositing a flowable film comprising SiO and SiN

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6817845B2 (en) 2017-02-22 2021-01-20 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01198033A (en) * 1988-02-03 1989-08-09 Toshiba Corp Formation of thin film
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JPH05259156A (en) * 1992-03-16 1993-10-08 Fujitsu Ltd Manufacture of semiconductor device
JPH10242143A (en) * 1997-02-27 1998-09-11 Mitsubishi Electric Corp Semiconductor device, method and apparatus for manufacture thereof and method of forming insulation film of semiconductor device
JP2006080474A (en) * 2004-08-11 2006-03-23 Meidensha Corp Oxide film forming method and apparatus therefor
JP2006261434A (en) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
JP2007049113A (en) * 2005-07-15 2007-02-22 Sony Corp Semiconductor device and method of manufacturing the same
WO2007140424A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
WO2008120335A1 (en) * 2007-03-28 2008-10-09 Fujitsu Microelectronics Limited Semiconductor device, and its manufacturing method

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01198033A (en) * 1988-02-03 1989-08-09 Toshiba Corp Formation of thin film
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JPH05259156A (en) * 1992-03-16 1993-10-08 Fujitsu Ltd Manufacture of semiconductor device
JPH10242143A (en) * 1997-02-27 1998-09-11 Mitsubishi Electric Corp Semiconductor device, method and apparatus for manufacture thereof and method of forming insulation film of semiconductor device
JP2006080474A (en) * 2004-08-11 2006-03-23 Meidensha Corp Oxide film forming method and apparatus therefor
JP2006261434A (en) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
JP2007049113A (en) * 2005-07-15 2007-02-22 Sony Corp Semiconductor device and method of manufacturing the same
WO2007140424A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP2009539268A (en) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド Chemical vapor deposition of high quality fluidized silicon dioxide using silicon-containing precursors and atomic oxygen
WO2008120335A1 (en) * 2007-03-28 2008-10-09 Fujitsu Microelectronics Limited Semiconductor device, and its manufacturing method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011151889A1 (en) * 2010-06-01 2011-12-08 東芝三菱電機産業システム株式会社 Apparatus for forming metal oxide film, method for forming metal oxide film, and metal oxide film
CN102918178A (en) * 2010-06-01 2013-02-06 东芝三菱电机产业系统株式会社 Apparatus for forming metal oxide film, method for forming metal oxide film and metal oxide film
JP5614558B2 (en) * 2010-06-01 2014-10-29 東芝三菱電機産業システム株式会社 Metal oxide film forming apparatus and metal oxide film forming method
US9279182B2 (en) 2010-06-01 2016-03-08 Toshiba Mitsubishi-Electric Industrial Systems Corporation Apparatus for forming metal oxide film, method for forming metal oxide film, and metal oxide film
JP2014132653A (en) * 2012-12-11 2014-07-17 Air Products And Chemicals Inc Alkoxysilylamine compounds and applications thereof
CN103499072A (en) * 2013-09-13 2014-01-08 熊猫电子集团有限公司 Method for setting layout of lamp bars of backlight module of direct-lit-type LED liquid crystal display television
JP2018533215A (en) * 2015-10-22 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method for depositing a flowable film comprising SiO and SiN

Also Published As

Publication number Publication date
KR20100036186A (en) 2010-04-07

Similar Documents

Publication Publication Date Title
JP2010103484A (en) Semiconductor device, apparatus and method for manufacturing the same
KR101853802B1 (en) Conformal layers by radical-component cvd
KR100961805B1 (en) Method of forming silicon oxide containing films
US7943531B2 (en) Methods for forming a silicon oxide layer over a substrate
US7629227B1 (en) CVD flowable gap fill
KR100550351B1 (en) Method for forming a layer in a semiconductor device and apparatus for performing the same
KR101327923B1 (en) Boron nitride and boron nitride-derived materials deposition method
US8387557B2 (en) Method for forming silicon-containing materials during a photoexcitation deposition process
JP6086942B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
US20060286774A1 (en) Method for forming silicon-containing materials during a photoexcitation deposition process
JP2013065885A (en) Method for forming dielectric layer within trench
KR20220147159A (en) Method and apparatus for low temperature selective epitaxy in a deep trench
KR20080027859A (en) Method for forming silicon-containing materials during a photoexcitation deposition process
KR20090094000A (en) Method of clustering sequential processing for a gate stack structure
TW202045765A (en) Deposition of carbon doped silicon oxide
JP2010103495A (en) Semiconductor device, and apparatus and method for manufacturing the same
JPWO2009054232A1 (en) Semiconductor manufacturing apparatus, semiconductor manufacturing method, and electronic apparatus
WO2015073188A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
JPH08203893A (en) Fabrication of semiconductor device
US20070161208A1 (en) Semiconductor device and fabrication method thereof
KR20050018641A (en) Low temperature dielectric deposition using aminosilane and ozone
CN108807142B (en) Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI831204B (en) Semiconductor device manufacturing method, substrate processing method, substrate processing device and program
JP2009033028A (en) Semiconductor manufacturing equipment, semiconductor manufacturing method, and electronic apparatus
JP5175414B2 (en) Film forming method and apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120831

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130110

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20130201

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130730