JP2008251631A - Vacuum processing apparatus, operating method of the vacuum processing apparatus, and recording medium - Google Patents

Vacuum processing apparatus, operating method of the vacuum processing apparatus, and recording medium Download PDF

Info

Publication number
JP2008251631A
JP2008251631A JP2007088021A JP2007088021A JP2008251631A JP 2008251631 A JP2008251631 A JP 2008251631A JP 2007088021 A JP2007088021 A JP 2007088021A JP 2007088021 A JP2007088021 A JP 2007088021A JP 2008251631 A JP2008251631 A JP 2008251631A
Authority
JP
Japan
Prior art keywords
chamber
transfer
port
gate
inert gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007088021A
Other languages
Japanese (ja)
Other versions
JP4985031B2 (en
Inventor
Hiroshi Yamaguchi
博史 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2007088021A priority Critical patent/JP4985031B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to PCT/JP2008/055680 priority patent/WO2008120628A1/en
Priority to KR1020097020152A priority patent/KR101220790B1/en
Priority to CN2011100932017A priority patent/CN102157420A/en
Priority to CN2008800109670A priority patent/CN101652851B/en
Priority to TW097111204A priority patent/TW200903693A/en
Publication of JP2008251631A publication Critical patent/JP2008251631A/en
Priority to US12/568,709 priority patent/US20100022093A1/en
Application granted granted Critical
Publication of JP4985031B2 publication Critical patent/JP4985031B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Abstract

<P>PROBLEM TO BE SOLVED: To prevent a residual gas in a processing container from diffusing to a conveyance chamber in a vacuum processing apparatus equipped with the conveyance chamber, set in a vacuum atmosphere having a conveyance means connected with a conveyance port of the processing container for processing, by a processing gas under the vacuum atmosphere via a gate chamber for transferring a substrate. <P>SOLUTION: The vacuum processing apparatus is designed so as to provide the processing container, the conveyance chamber, a gate valve provided in the gate chamber for closing the conveyance port, when processing the substrate in the processing container and for opening the port, when transferring the substrate to the container. An inert gas supply part and an exhaust port are provided, respectively in the gate chamber so that a flow of an inert gas is formed at a position exposed to the conveyance port, to prevent the residual gas in the processing chamber from diffusing into the conveyance chamber, while at least the conveyance port is open; and accordingly, the residual gas in the processing container is prevented from diffusing from the conveyance port and contaminating the conveyance chamber. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、基板に真空処理を行う処理容器と、ゲート室を介して前記処理容器に接続される、基板の受け渡しを行う搬送手段を備えた搬送室とを備えた真空処理装置、真空処理装置の運転方法及び記憶媒体に関する。   The present invention relates to a vacuum processing apparatus and a vacuum processing apparatus including a processing container that performs vacuum processing on a substrate, and a transport chamber that is connected to the processing container via a gate chamber and includes a transport unit that transfers the substrate. The operation method and the storage medium of

半導体デバイスの製造工程においては、被処理基板である半導体ウエハ(以下、ウエハと記す)に対してドライエッチングやCVD(Chemical Vapor Deposition)等の処理ガスを使用するガス処理が多用されている。このようなガス処理を行う処理装置としては、複数のウエハを高スループットで処理する観点から、ウエハの搬送機構を備えた搬送室(トランスファチャンバ)に、ゲート室を介して処理容器(プロセスチャンバ)を備えた所定のガス処理を行う複数の処理モジュールを接続したマルチチャンバタイプのものが知られている。   In the manufacturing process of a semiconductor device, gas processing using a processing gas such as dry etching or CVD (Chemical Vapor Deposition) is frequently used for a semiconductor wafer (hereinafter referred to as a wafer) which is a substrate to be processed. As a processing apparatus for performing such gas processing, from the viewpoint of processing a plurality of wafers with high throughput, a processing chamber (process chamber) is provided via a gate chamber in a transfer chamber (transfer chamber) having a wafer transfer mechanism. A multi-chamber type is known in which a plurality of processing modules for performing predetermined gas processing are connected.

各処理容器にはウエハの搬送口が設けられ、各搬送口はゲート室に設けられたゲートバルブにより開閉自在に構成されており、搬送室には不活性ガスの供給口と排気口とが、また処理容器には処理ガスの供給口と排気口とが夫々設けられ、これら搬送室及び各処理容器内はいずれも真空状態に保たれている。そしてゲートバルブを閉じて両者を遮断した状態で処理容器内において所定のガス処理が行われ、搬送室と処理容器との間でウエハを受け渡す場合には、ゲートバルブが開かれて両者が連通する。 Each processing vessel is provided with a wafer transfer port, and each transfer port is configured to be opened and closed by a gate valve provided in the gate chamber. The transfer chamber has an inert gas supply port and an exhaust port. Further, a processing gas supply port and an exhaust port are respectively provided in the processing container, and both the transfer chamber and each processing container are kept in a vacuum state. When the gate valve is closed and the both are shut off, a predetermined gas treatment is performed in the processing container. When a wafer is transferred between the transfer chamber and the processing container, the gate valve is opened and the two communicate with each other. To do.

ところでこのような真空処理装置においては、処理容器内での処理終了後、その処理容器内には処理ガスや副生成ガス等が残留しており、ゲートバルブを開いたときにこれらのガスがゲート室を介して搬送室に拡散すると、コンタミネーションの原因になったり、搬送室に付着したガスからパーティクルが生じてウエハを汚染したり、また搬送室内の部品を腐食させたりするおそれがあるため、高い頻度で定期的に搬送室をクリーニングすることが必要になる。 By the way, in such a vacuum processing apparatus, after the processing in the processing container is completed, processing gas, by-product gas, etc. remain in the processing container, and these gases are gated when the gate valve is opened. If it diffuses into the transfer chamber through the chamber, it may cause contamination, generate particles from the gas adhering to the transfer chamber, contaminate the wafer, and corrode parts in the transfer chamber. It is necessary to clean the transfer chamber regularly with high frequency.

従来は上記のような不具合を防ぐために、搬送室内は例えば数十〜数百Pa程度に保たれ、搬送室と処理容器との間でウエハを搬送するときは、処理容器内の圧力(P0)を搬送室内の圧力(P1)よりも低くして(P0<P1)、搬送室内と処理容器内との間に所定の圧力差が形成されてからゲートバルブを開き、処理容器内のガスの搬送室への拡散を抑制していた。しかし上記のように搬送室においても排気を行っているため、圧力差を形成しても不活性ガスはその排気口へ向かう場合があり、不活性ガスが処理容器の搬送口へと流れず、処理容器からのガスの拡散が十分に抑えられない場合がある。搬送室の圧力をより高くすることも考えられるが不活性ガスの消費量が大きくなってコスト高になってしまう。さらに搬送室内の圧力が不活性ガスの粘性流から分子流への移行領域や分子流領域に設定される場合があり、不活性ガスが圧力差に従って流れ難く、その場合、処理容器からのガスの拡散がより起こりやすくなるおそれがある。 Conventionally, in order to prevent such problems as described above, the transfer chamber is kept at, for example, about several tens to several hundreds Pa, and when a wafer is transferred between the transfer chamber and the processing container, the pressure (P0) in the processing container Is lower than the pressure (P1) in the transfer chamber (P0 <P1), and after a predetermined pressure difference is formed between the transfer chamber and the processing container, the gate valve is opened to transfer the gas in the processing container. Diffusion to the room was suppressed. However, since the exhaust is also performed in the transfer chamber as described above, the inert gas may flow toward the exhaust port even if a pressure difference is formed, and the inert gas does not flow to the transfer port of the processing container, In some cases, gas diffusion from the processing container cannot be sufficiently suppressed. Although it is conceivable to increase the pressure in the transfer chamber, the consumption of the inert gas increases and the cost increases. Furthermore, the pressure in the transfer chamber may be set in the transition region of the inert gas from the viscous flow to the molecular flow or in the molecular flow region, and it is difficult for the inert gas to flow according to the pressure difference. Diffusion may be more likely to occur.

なお、特許文献1にはゲートバルブのハウジング内に排気口が設けられた真空処理装置について記載されているが、特許文献1の発明の目的は、本発明の目的とは異なる。
特開2001−291758号公報(段落0027及び図3)
Although Patent Document 1 describes a vacuum processing apparatus in which an exhaust port is provided in the gate valve housing, the object of the invention of Patent Document 1 is different from the object of the present invention.
JP 2001-291758 A (paragraph 0027 and FIG. 3)

本発明は、このような事情に基づいてなされたものであり、その目的は、処理ガスにより基板に対して処理を行う処理容器と、その処理容器の搬送口にゲート室を介して接続されると共に前記搬送口を介して前記処理容器に対して基板の受け渡しを行う搬送手段を備えた搬送室と、を備えた真空処理装置において、前記搬送口が開いている間に、処理容器内の残留ガスの搬送室への拡散を抑えることのできる真空処理装置、真空処理装置の運転方法及び記憶媒体を提供することである。   The present invention has been made based on such circumstances, and an object thereof is to connect a processing container for processing a substrate with a processing gas and a transfer port of the processing container through a gate chamber. And a transfer chamber provided with transfer means for delivering a substrate to the processing container through the transfer port, and a residual in the processing container while the transfer port is open. It is an object of the present invention to provide a vacuum processing apparatus, a method for operating the vacuum processing apparatus, and a storage medium that can suppress diffusion of gas into a transfer chamber.

本発明の真空処理装置は、基板の搬送口が形成され、真空雰囲気にて処理ガスにより基板に対して処理を行う処理容器と、
この処理容器の前記搬送口にゲート室を介して接続されると共に前記搬送口を介して前記処理容器に対して基板の受け渡しを行う搬送手段を備えた真空雰囲気とされる搬送室と、
前記ゲート室に設けられ、前記処理容器にて基板の処理を行うときには前記搬送口を閉じ、処理容器に対して基板の受け渡しを行うときには当該搬送口を開くためのゲートバルブと、
少なくとも前記搬送口が開いている間は、処理容器内の残留ガスの前記搬送室への拡散を抑えるために当該搬送口を臨む位置に不活性ガスの気流を形成するように、前記ゲート室に各々設けられた不活性ガス供給部及び排気口と、を備えたことを特徴とする。
A vacuum processing apparatus according to the present invention includes a processing container in which a substrate transport port is formed and processing a substrate with a processing gas in a vacuum atmosphere.
A transfer chamber that is connected to the transfer port of the processing container via a gate chamber and has a vacuum atmosphere provided with transfer means for delivering the substrate to the processing container via the transfer port;
A gate valve provided in the gate chamber for closing the transfer port when processing a substrate in the processing container, and opening the transfer port when transferring the substrate to the processing container;
At least during the opening of the transfer port, in order to suppress the diffusion of residual gas in the processing container to the transfer chamber, an air flow of an inert gas is formed in the gate chamber so as to face the transfer port. An inert gas supply unit and an exhaust port provided respectively are provided.

また、前記真空処理装置において、例えば前記ゲート室内のゲートバルブが閉じているときには、前記不活性ガス供給部からの不活性ガスの供給が停止されており、また例えば搬送室には、当該搬送室内に不活性ガスの気流を形成するための不活性ガス供給部及び排気口が設けられている。「ゲート室内のゲートバルブが閉じているときには、前記不活性ガス供給部からの不活性ガスの供給が停止されている」とはゲートバルブが閉じた瞬間に不活性ガスの供給を停止することに限定されず、多少時間のずれがある場合も含まれる。また、ゲートバルブは搬送口の開閉に合わせてゲート室の排気口を開閉するように構成されていてもよく、また例えば前記ゲート室内のゲートバルブが閉じているときには当該ゲート室の排気口が閉じられていてもよい。「ゲート室の排気口を閉じる」とは、真空ポンプからこの排気口にいたるまでの排気路を閉じるという意味である。   In the vacuum processing apparatus, for example, when the gate valve in the gate chamber is closed, the supply of the inert gas from the inert gas supply unit is stopped, and for example, the transfer chamber includes the transfer chamber. Are provided with an inert gas supply unit and an exhaust port for forming an inert gas flow. “When the gate valve in the gate chamber is closed, the supply of the inert gas from the inert gas supply unit is stopped” means that the supply of the inert gas is stopped at the moment when the gate valve is closed. It is not limited, and includes a case where there is a time lag. The gate valve may be configured to open and close the exhaust port of the gate chamber in accordance with the opening and closing of the transfer port. For example, when the gate valve in the gate chamber is closed, the exhaust port of the gate chamber is closed. It may be done. “Close the exhaust port of the gate chamber” means to close the exhaust path from the vacuum pump to the exhaust port.

ゲートバルブは、例えば搬送口を開いて停止している状態のときには、ゲート室の排気口が開いている状態となるように排気口と重なる位置に開口部が形成されており、前記処理容器は共通の搬送室に各々ゲート室を介して複数接続されていてもよく、例えば前記搬送室には、搬送室排気用の排気口が形成されており、前記ゲート室内にて不活性ガスの気流を形成するときには当該排気口は閉じられている。この場合前記搬送室には、当該搬送室内に気流を形成するために不活性ガスを供給する不活性ガス供給部を備えていてもよい。     For example, when the gate valve is in a state where the transfer port is opened and stopped, an opening is formed at a position overlapping the exhaust port so that the exhaust port of the gate chamber is open. A plurality of common transfer chambers may be connected to each other via the gate chamber. For example, the transfer chamber has an exhaust port for exhausting the transfer chamber, and an inert gas flow is generated in the gate chamber. When forming, the exhaust port is closed. In this case, the transfer chamber may include an inert gas supply unit that supplies an inert gas to form an air flow in the transfer chamber.

また他の真空処理装置の発明は、基板の搬送口が各々形成され、真空雰囲気にて処理ガスにより基板に対して処理を行う複数の処理容器と
これら複数の処理容器の前記搬送口にゲート室を介して接続されると共に、各搬送口を介して処理容器に対して基板の受け渡しを行う搬送手段を備えた真空雰囲気とされる共通の搬送室と、
前記ゲート室に設けられ、前記処理容器にて基板の処理を行うときには前記搬送口を閉じ、処理容器に対して基板の受け渡しを行うときには当該搬送口を開くためのゲートバルブと、
前記処理容器内の残留ガスの前記搬送室への拡散を抑えるために当該搬送口を臨む位置に不活性ガスの気流を形成するように、前記搬送室に設けられた残留ガス拡散防止用の不活性ガス供給部及び前記ゲート室に設けられた排気口と、
前記搬送室に設けられ、当該搬送室内に不活性ガスの気流を形成するための搬送室気流形成用の不活性ガス供給部と、
前記搬送室に設けられ、前記ゲート室内にて不活性ガスの気流を形成するときには閉じられる搬送室排気用の排気口と、を備え、
前記ゲートバルブが閉じられているときには前記ゲート室の排気口は閉じられていることを特徴とする。
In addition, the invention of another vacuum processing apparatus includes a plurality of processing containers each having a substrate transport port formed therein and performing processing on the substrate with a processing gas in a vacuum atmosphere, and a gate chamber at the transport ports of the plurality of processing containers And a common transfer chamber having a vacuum atmosphere provided with transfer means for delivering the substrate to the processing container via each transfer port,
A gate valve provided in the gate chamber for closing the transfer port when processing a substrate in the processing container, and opening the transfer port when transferring the substrate to the processing container;
In order to suppress the diffusion of the residual gas in the processing container into the transfer chamber, an inert gas flow is formed in the transfer chamber so as to prevent the residual gas from being diffused at a position facing the transfer port. An exhaust port provided in the active gas supply unit and the gate chamber;
An inert gas supply unit for forming an air flow of an inert gas in the transfer chamber and forming an air flow of an inert gas in the transfer chamber;
An exhaust port for exhausting the transfer chamber, which is provided in the transfer chamber and is closed when an inert gas stream is formed in the gate chamber,
The exhaust port of the gate chamber is closed when the gate valve is closed.

例えば前記真空処理装置において、例えば前記残留ガス拡散防止用の不活性ガス供給部は、各搬送口ごとに設けられており、また前記残留ガス拡散防止用の不活性ガス供給部と、搬送室気流形成用の不活性ガス供給部とは共用化されていてもよい。 For example, in the vacuum processing apparatus, for example, the inert gas supply unit for preventing residual gas diffusion is provided for each transfer port, and the inert gas supply unit for preventing residual gas diffusion, and the air flow in the transfer chamber The forming inert gas supply unit may be shared.

本発明の真空処理装置の運転方法は、基板の搬送口が形成された処理容器と、前記搬送口にゲート室を介して接続されると共に前記搬送口を介して前記処理容器に対して基板の受け渡しを行う搬送手段を備えた真空雰囲気とされる搬送室を備えた真空処理装置を運転する方法において、
前記ゲート室に設けられたゲートバルブにより前記搬送口を閉じた状態で、前記処理容器内にて真空雰囲気で処理ガスにより基板に対して処理を行う工程と、
前記ゲートバルブにより前記搬送口を開いて前記搬送手段により処理容器から基板を搬出する工程と、
少なくとも前記搬送口が開いている間は、前記ゲート室に各々設けられた不活性ガス供給部及び排気口により、処理容器内の残留ガスの前記搬送室への拡散を抑えるために当該搬送口を臨む位置に不活性ガスの気流を形成する工程と、
を備えたことを特徴とする。
The operating method of the vacuum processing apparatus of the present invention includes a processing container in which a substrate transport port is formed, a substrate connected to the transport port via a gate chamber, and the substrate to the processing container via the transport port. In a method of operating a vacuum processing apparatus provided with a transfer chamber that is a vacuum atmosphere provided with transfer means for delivering,
A process of processing a substrate with a processing gas in a vacuum atmosphere in the processing container in a state where the transfer port is closed by a gate valve provided in the gate chamber;
Opening the transfer port by the gate valve and unloading the substrate from the processing container by the transfer means;
At least while the transfer port is open, the transfer port is controlled by an inert gas supply unit and an exhaust port provided in the gate chamber in order to suppress diffusion of residual gas in the processing container into the transfer chamber. Forming a flow of inert gas at a position facing the surface;
It is provided with.

前記方法において、例えばゲート室内のゲートバルブが閉じているときに、前記不活性ガス供給部からの不活性ガスの供給を停止してもよく、また搬送室に設けられた不活性ガス供給部及び排気口により、当該搬送室内に不活性ガスの気流を形成する工程を含んでいてもよい。また前記ゲート室内のゲートバルブが閉じているときに、当該ゲート室の排気口が閉じられた状態にしてもよい。   In the method, for example, when the gate valve in the gate chamber is closed, the supply of the inert gas from the inert gas supply unit may be stopped, and the inert gas supply unit provided in the transfer chamber and The exhaust port may include a step of forming an inert gas stream in the transfer chamber. Further, when the gate valve in the gate chamber is closed, the exhaust port of the gate chamber may be closed.

他の発明の真空処理装置の運転方法は、基板の搬送口が形成された処理容器と、前記搬送口にゲート室を介して接続されると共に前記搬送口を介して前記処理容器に対して基板の受け渡しを行う搬送手段を備えた真空雰囲気とされる搬送室を備えた真空処理装置を運転する方法において、
前記ゲート室に設けられたゲートバルブにより前記搬送口を閉じた状態で、前記処理容器内にて真空雰囲気で処理ガスにより基板に対して処理を行う工程と、
前記ゲートバルブにより前記搬送口を開いて前記搬送手段により処理容器から基板を搬出する工程と、
前記処理容器内の残留ガスの前記搬送室への拡散を抑えるために前記搬送室に設けられた残留ガス拡散防止用の不活性ガス供給部及び前記ゲート室に設けられた排気口により当該搬送口を臨む位置に不活性ガスの気流を形成する工程と、
前記搬送室に設けられた搬送室気流形成用の不活性ガス供給部により当該搬送室に不活性ガスの気流を形成する工程と、
前記搬送室に設けられた搬送室排気用の排気口を、前記ゲート室内にて不活性ガスの気流を形成するときに閉じる工程と、
ゲートバルブが閉じられたときに前記ゲート室に設けられた排気口を閉じる工程と、
を備えたことを特徴とする。
According to another aspect of the present invention, there is provided a method of operating a vacuum processing apparatus, comprising: a processing container having a substrate transfer port formed therein; and a substrate connected to the transfer port through a gate chamber and the substrate through the transfer port. In a method of operating a vacuum processing apparatus provided with a transfer chamber that is a vacuum atmosphere provided with a transfer means for delivering
A process of processing a substrate with a processing gas in a vacuum atmosphere in the processing container in a state where the transfer port is closed by a gate valve provided in the gate chamber;
Opening the transfer port by the gate valve and unloading the substrate from the processing container by the transfer means;
In order to suppress the diffusion of the residual gas in the processing container into the transfer chamber, the transfer port is provided by an inert gas supply unit for preventing diffusion of the residual gas provided in the transfer chamber and an exhaust port provided in the gate chamber. Forming a flow of inert gas at a position facing the surface,
A step of forming an air flow of inert gas in the transfer chamber by an inert gas supply unit for forming a transfer chamber air flow provided in the transfer chamber;
A step of closing an exhaust port for exhausting the transfer chamber provided in the transfer chamber when forming an air flow of inert gas in the gate chamber;
Closing the exhaust port provided in the gate chamber when the gate valve is closed;
It is provided with.

本発明の記憶媒体は、基板に対して真空処理を行う真空処理装置に用いられ、コンピュータ上で動作するコンピュータプログラムを格納した記憶媒体であって、 前記コンピュータプログラムは、上述の真空処理装置の運転方法を実施するようにステップ群が組まれていることを特徴とする。   The storage medium of the present invention is a storage medium that stores a computer program that is used in a vacuum processing apparatus that performs vacuum processing on a substrate and that operates on a computer, and the computer program is an operation of the above-described vacuum processing apparatus. Steps are organized to carry out the method.

本発明の真空処理装置によれば、処理ガスにより基板に対して処理を行う処理容器の搬送口にはゲート室を介して基板の受け渡しを行う搬送手段を備えた搬送室が接続されており、ゲート室には前記搬送口を開閉するゲートバルブと、少なくとも前記搬送口が開いている間は、当該搬送口を臨む位置に不活性ガスの気流を形成する不活性ガス供給部及び排気口と、が設けられているため、処理容器内の残留ガスが搬送口から拡散し、搬送室が汚染されることを抑えることができる。 According to the vacuum processing apparatus of the present invention, a transfer chamber having a transfer means for transferring the substrate through the gate chamber is connected to the transfer port of the processing container for processing the substrate with the processing gas, A gate valve that opens and closes the transfer port in the gate chamber, and an inert gas supply unit and an exhaust port that form an inert gas stream at a position facing the transfer port at least while the transfer port is open; Since the residual gas in the processing container is diffused from the transfer port, the transfer chamber can be prevented from being contaminated.

また他の発明の真空処理装置によれば、処理ガスにより基板に対して処理を行う複数の処理容器の搬送口にゲート室を介して基板の受け渡しを行う搬送手段を備えた搬送室が接続されており、ゲート室には前記搬送口を開閉するゲートバルブと、搬送口を臨む位置に不活性ガスの気流を形成するように、前記搬送室に設けられた不活性ガス供給部及び前記ゲート室に設けられた排気口と、前記搬送室に設けられ、前記ゲート室内にて不活性ガスの気流を形成するときには閉じられる搬送室排気用の排気口と、を備えているため、処理容器内の残留ガスが搬送口から拡散し、搬送室が汚染されることを抑えることができる。   According to a vacuum processing apparatus of another invention, a transfer chamber having transfer means for transferring a substrate through a gate chamber is connected to transfer ports of a plurality of processing containers for processing a substrate with a processing gas. A gate valve for opening and closing the transfer port in the gate chamber, and an inert gas supply unit provided in the transfer chamber and the gate chamber so as to form an inert gas flow at a position facing the transfer port. And an exhaust port for exhausting the transfer chamber provided in the transfer chamber and closed when an inert gas flow is formed in the gate chamber. It is possible to prevent the residual gas from diffusing from the transfer port and contaminating the transfer chamber.

(第1の実施形態) (First embodiment)

本発明の真空処理装置が適用された半導体製造装置1の構成について図1を参照しながら説明する。半導体製造装置1は、基板であるウエハWのロード、アンロードを行うローダモジュールを構成する第1の搬送室12と、ロードロック室13、13と、第2の搬送室21と、を備えており、ウエハWは、それを複数、例えば25枚含むように構成された密閉型のキャリアCに収納された状態でこの半導体製造装置1に搬送される。第1の搬送室12の正面にはキャリアCが載置されるロードポート11が設けられており、第1の搬送室12の正面壁には前記ロードポート11に載置されたキャリアCが接続されて、当該キャリアCの蓋と一緒に開閉されるゲートドアGTが設けられている。   A configuration of a semiconductor manufacturing apparatus 1 to which the vacuum processing apparatus of the present invention is applied will be described with reference to FIG. The semiconductor manufacturing apparatus 1 includes a first transfer chamber 12, load lock chambers 13 and 13, and a second transfer chamber 21 that constitute a loader module that loads and unloads a wafer W that is a substrate. The wafer W is transferred to the semiconductor manufacturing apparatus 1 in a state of being housed in a hermetically sealed carrier C configured to include a plurality of, for example, 25 wafers. A load port 11 on which the carrier C is placed is provided in front of the first transfer chamber 12, and the carrier C placed on the load port 11 is connected to the front wall of the first transfer chamber 12. A gate door GT that is opened and closed together with the lid of the carrier C is provided.

また、第1の搬送室12の側面には、アライメント室14が設けられている。ロードロック室13、13には、図示しない真空ポンプとリーク弁とが設けられており、大気雰囲気と真空雰囲気とを切り替えられるように構成されている。つまり、第1の搬送室12及び第2の搬送室21の雰囲気が夫々大気雰囲気及び真空雰囲気に保たれているため、ロードロック室13、13は、それぞれの搬送室間において、ウエハWを搬送する時、雰囲気を調整する役割を有する。ロードロック室13、13と第1の搬送室12との間、ロードロック室13、13と第2の搬送室21との間には夫々開閉自在な仕切り弁であるゲートバルブを備えたゲート室Gが設けられており、前記ゲートバルブはウエハWを搬送する場合を除いて閉鎖され、これらの室間が区画される。   An alignment chamber 14 is provided on the side surface of the first transfer chamber 12. The load lock chambers 13 and 13 are provided with a vacuum pump and a leak valve (not shown) so as to be switched between an air atmosphere and a vacuum atmosphere. That is, since the atmospheres of the first transfer chamber 12 and the second transfer chamber 21 are maintained in an air atmosphere and a vacuum atmosphere, the load lock chambers 13 and 13 transfer the wafer W between the transfer chambers. When doing, it has a role to adjust the atmosphere. A gate chamber provided with a gate valve which is a gate valve that can be opened and closed between the load lock chambers 13 and 13 and the first transfer chamber 12 and between the load lock chambers 13 and 13 and the second transfer chamber 21. G is provided, and the gate valve is closed except when the wafer W is transferred, and the chamber is partitioned.

第1の搬送室12には第1の搬送手段15が設けられ、第1の搬送手段15は、キャリアCとロードロック室13、13との間及び第1の搬送室12とアライメント室14との間でウエハWの受け渡しを行う。   The first transfer chamber 12 is provided with first transfer means 15, and the first transfer means 15 is provided between the carrier C and the load lock chambers 13 and 13, and between the first transfer chamber 12 and the alignment chamber 14. The wafer W is transferred between the two.

第2の搬送室21は、例えば扁平な六角形状に形成された筐体20を備えており、その側壁にはウエハWの搬送口22が4つ開口している。各搬送口22は各々後述するゲート室5を介して処理モジュールであるCVDモジュール3に接続されている。また第2の搬送室21には、ロードロック室13、13と前記各CVDモジュール3との間でウエハWの受け渡しを行うための多関節の搬送アームである第2の搬送手段23,23が第2の搬送室21に設けられている。 The second transfer chamber 21 includes a housing 20 formed in, for example, a flat hexagonal shape, and four transfer ports 22 for the wafer W are opened on the side wall thereof. Each transfer port 22 is connected to a CVD module 3 as a processing module through a gate chamber 5 described later. The second transfer chamber 21 has second transfer means 23 and 23 which are articulated transfer arms for transferring the wafer W between the load lock chambers 13 and 13 and the respective CVD modules 3. It is provided in the second transfer chamber 21.

第2の搬送室21の筐体20の底面には例えばガス供給部であるガス供給口24が開口している。ガス供給口24にはガス供給路24Aの一端が接続され、ガス供給路24Aの他端はバルブ及びマスフローコントローラを含んだガス供給制御機構25を介して、不活性ガス例えばN2ガスが貯留されたガス供給源26に接続されている。また筐体20の側壁には排気口27が開口しており、排気口27には排気路27Aの一端が接続されている。排気路27Aの他端は真空ポンプなどにより構成され、不図示の圧力調整部を含む排気手段28に接続されている。ガス供給制御機構25は後述の制御部10Aからの制御信号を受けて、第2の搬送室21へのNガスの給断を制御し、排気手段28は、制御部10Aからの制御信号を受けて排気量が調整されることで、第2の搬送室21内にパーティクルを排気するための気流を形成し、当該第2の搬送室21内が所定の圧力になるように制御される。 For example, a gas supply port 24 which is a gas supply unit is opened on the bottom surface of the housing 20 of the second transfer chamber 21. One end of a gas supply path 24A is connected to the gas supply port 24, and the other end of the gas supply path 24A stores an inert gas such as N2 gas via a gas supply control mechanism 25 including a valve and a mass flow controller. The gas supply source 26 is connected. An exhaust port 27 is opened in the side wall of the housing 20, and one end of an exhaust path 27 </ b> A is connected to the exhaust port 27. The other end of the exhaust passage 27A is constituted by a vacuum pump or the like, and is connected to an exhaust means 28 including a pressure adjusting unit (not shown). The gas supply control mechanism 25 receives a control signal from the control unit 10A, which will be described later, and controls the supply and disconnection of N 2 gas to the second transfer chamber 21, and the exhaust means 28 receives the control signal from the control unit 10A. By receiving and adjusting the exhaust amount, an air flow for exhausting particles is formed in the second transfer chamber 21, and the second transfer chamber 21 is controlled to have a predetermined pressure.

図2は第2の搬送室21、ゲート室5及びCVDモジュール3の縦断側面を示している。CVDモジュール3は処理容器30を備えており、処理容器30内には、ウエハWを水平に載置するためのステージ31が設けられている。ステージ31には、不図示のヒータと昇降機構32aにより昇降自在な3本の昇降ピン32b(便宜上2本のみ図示)とが設けられており、この昇降ピン32bを介して第2の搬送室21の第2の搬送手段23とステージ31との間でウエハWの受け渡しが行われる。 FIG. 2 shows vertical side surfaces of the second transfer chamber 21, the gate chamber 5, and the CVD module 3. The CVD module 3 includes a processing container 30, and a stage 31 for placing the wafer W horizontally is provided in the processing container 30. The stage 31 is provided with a heater (not shown) and three lifting pins 32b (only two are shown for convenience) that can be lifted and lowered by a lifting mechanism 32a. The second transfer chamber 21 is provided via the lifting pins 32b. The wafer W is transferred between the second transfer means 23 and the stage 31.

処理容器30の底部には排気口34が開口しており、排気口34は排気路35を介して真空ポンプなどにより構成された排気手段36に接続されている。排気手段36は、制御部10Aからの制御信号を受けて、処理容器30内を所定の排気量で排気し、所定の真空度に維持する。また処理容器30においてゲート室5に重なる側壁には、第2の搬送室21の搬送口22に対応する位置にウエハWの搬送口38が開口しており、また処理容器30の外壁には当該搬送口38を囲うようにリング状の樹脂製シール部材であるOリング38Aが設けられている。 An exhaust port 34 is opened at the bottom of the processing container 30, and the exhaust port 34 is connected to an exhaust unit 36 configured by a vacuum pump or the like via an exhaust path 35. In response to the control signal from the control unit 10A, the exhaust unit 36 exhausts the inside of the processing container 30 with a predetermined exhaust amount and maintains a predetermined degree of vacuum. In addition, a transfer port 38 for the wafer W is opened at a position corresponding to the transfer port 22 of the second transfer chamber 21 on the side wall of the process chamber 30 that overlaps the gate chamber 5. An O-ring 38A, which is a ring-shaped resin seal member, is provided so as to surround the conveyance port 38.

更に処理容器30の天井部には、支持部材41を介して、ステージ31に対向するように多数のガス供給孔43を備えたガスシャワーヘッド42が設けられており、ガス供給孔43はガスシャワーヘッド42に接続されたガス供給路45を介して例えばTiClやWFなどのウエハWに成膜を行うための成膜ガスなどの処理ガスが貯留されたガス供給源47に接続されている。そしてガス供給路45に介設されたバルブ及びマスフローコントローラなどを含んだガス供給制御部46が、制御部10Aからの制御信号を受けることで、その処理ガスの処理容器30内への給断が制御される。 Further, a gas shower head 42 having a large number of gas supply holes 43 is provided on the ceiling portion of the processing container 30 so as to face the stage 31 with a support member 41 interposed therebetween. A gas supply path 45 connected to the head 42 is connected to a gas supply source 47 in which a processing gas such as a film forming gas for forming a film on the wafer W such as TiCl 4 or WF 6 is stored. . A gas supply control unit 46 including a valve and a mass flow controller provided in the gas supply path 45 receives a control signal from the control unit 10A, so that supply / disconnection of the processing gas into the processing container 30 is performed. Be controlled.

なお第2の搬送室21に接続された各CVDモジュール3については、例えばウエハWの処理温度、処理圧力や成膜ガスなどが互いに異なり、互いに異なる膜をウエハWに成膜できるようになっている。 For each CVD module 3 connected to the second transfer chamber 21, for example, the processing temperature, processing pressure, film forming gas, etc. of the wafer W are different from each other, and different films can be formed on the wafer W. Yes.

続いてゲート室5について説明する。ゲート室5は縦に偏平な筐体50と処理容器30の壁部とにより構成されており、筐体50において第2の搬送室21に重なる一面側の側壁には、ウエハWの搬送口22に重なるように搬送口51が設けられている。またCVDモジュール3に重なる他面側の側壁において、CVDモジュール3の搬送口38の下方側には例えば横長のスリット状の排気口53が形成されており、排気口53には排気路54の一端が接続されている。排気路54の他端は例えば圧力調整手段を含んだ真空ポンプなどにより構成される排気手段56に接続されている。またガス排気口53を囲うように筐体50にはリング状の樹脂製シール部材であるOリング53Aが設けられている。   Next, the gate chamber 5 will be described. The gate chamber 5 includes a vertically flat casing 50 and a wall portion of the processing container 30. A transfer port 22 for the wafer W is provided on a side wall of the casing 50 that overlaps the second transfer chamber 21. A transport port 51 is provided so as to overlap with. Further, on the side wall on the other surface side that overlaps with the CVD module 3, for example, a horizontally elongated exhaust port 53 is formed below the transport port 38 of the CVD module 3, and one end of the exhaust path 54 is formed in the exhaust port 53. Is connected. The other end of the exhaust passage 54 is connected to an exhaust means 56 constituted by, for example, a vacuum pump including a pressure adjusting means. The casing 50 is provided with an O-ring 53 </ b> A that is a ring-shaped resin seal member so as to surround the gas exhaust port 53.

筐体50内の上方には不活性ガス供給部であるガスノズル61が設けられている。図3(a)、(b)も参照しながら説明すると、このガスノズル61は、一端側が塞がれた横長の円筒状に構成されており、内部に流路62が形成されている。ガスノズル61の側周壁は例えばセラミックスなどの多孔質構造を有する焼結体である、ブレイクフィルタと呼ばれるものにより構成されており、その側周壁には多数の気孔が形成され、これらの気孔が互いに連通することで三次元網目状にガスの流路が形成されている。また側周壁の表面にはカバー61aが設けられており、カバー61aにはガスノズル61の横方向に沿って切れ目61bが形成されている。流路62に供給されたガスは、この切れ目61bから斜め下方の搬送口38の正面に供給され、このとき切れ目61bの各部から供給されるガスの流速は略均一になる。 A gas nozzle 61 as an inert gas supply unit is provided above the housing 50. 3A and 3B, the gas nozzle 61 is configured in a horizontally long cylindrical shape whose one end is closed, and a flow path 62 is formed therein. The side peripheral wall of the gas nozzle 61 is constituted by what is called a break filter, which is a sintered body having a porous structure such as ceramics. The side peripheral wall has a large number of pores, and these pores communicate with each other. Thus, a gas flow path is formed in a three-dimensional network. A cover 61 a is provided on the surface of the side peripheral wall, and a cut 61 b is formed in the cover 61 a along the lateral direction of the gas nozzle 61. The gas supplied to the flow path 62 is supplied from the cut 61b to the front surface of the conveyance port 38 obliquely below, and at this time, the flow velocity of the gas supplied from each part of the cut 61b becomes substantially uniform.

流路62には流路63の一端が接続されており、流路63の他端は、バルブやマスフローコントローラを含んだガス供給制御部64を介してNガスが貯留されたガス供給源65に接続されている。ガス供給制御部64は制御部10Aからの制御信号を受けてガスノズル61へのガス供給源65からのNガスの給断を制御する。 One end of a flow path 63 is connected to the flow path 62, and the other end of the flow path 63 is a gas supply source 65 in which N 2 gas is stored via a gas supply control unit 64 including a valve and a mass flow controller. It is connected to the. The gas supply control unit 64 receives a control signal from the control unit 10 </ b> A and controls the supply and disconnection of N 2 gas from the gas supply source 65 to the gas nozzle 61.

また図2に示すように筐体50内には、ゲートバルブ57が設けられている。ゲートバルブ57はその裏面側(CVDモジュール3に向かう側)に段部57aが形成されており、段部57aの下側は排気口53の開閉バルブに相当する。ゲートバルブ57の下部には支持部58が設けられており、支持部58は例えば筐体50の下部に設けられた孔50aを介して筐体50の外部へと伸長し、駆動部59に接続されている。前記支持部58が孔50aを貫通する部分の外側には、筐体50内が気密に保たれるように当該孔50aの開口縁に沿って伸縮可能なベローズ58aが配設されている。駆動部59は、制御部10Aからの制御信号を受けて、支持部58を介してゲートバルブ57を搬送口38に対して前後方向及び上下方向に移動させることができ、それによって搬送口38及び排気口53が開閉されるようになっている。 Further, as shown in FIG. 2, a gate valve 57 is provided in the housing 50. The gate valve 57 has a stepped portion 57 a formed on the back side thereof (the side facing the CVD module 3), and the lower side of the stepped portion 57 a corresponds to an opening / closing valve for the exhaust port 53. A support portion 58 is provided at the lower portion of the gate valve 57, and the support portion 58 extends to the outside of the housing 50 through a hole 50 a provided at the lower portion of the housing 50 and is connected to the driving portion 59. Has been. A bellows 58a that can be expanded and contracted along the opening edge of the hole 50a is disposed outside the portion where the support portion 58 penetrates the hole 50a so that the inside of the housing 50 is kept airtight. In response to the control signal from the control unit 10A, the drive unit 59 can move the gate valve 57 in the front-rear direction and the up-down direction with respect to the transport port 38 via the support unit 58. The exhaust port 53 is opened and closed.

図4は、ゲートバルブ57が下降して、搬送口38及び排気口53が開かれた状態を示している。後述するようにゲートバルブ57が開かれるときにガスノズル61からのNガスの供給と排気口53からの排気とを行うことにより、搬送口38に臨む領域にNガスの気流を形成し、処理容器30から筐体50内へ流入したNガスが筐体50内を拡散して第2の搬送室21に流れることが抑えられるようになっている。 FIG. 4 shows a state where the gate valve 57 is lowered and the transfer port 38 and the exhaust port 53 are opened. As will be described later, by supplying N 2 gas from the gas nozzle 61 and exhausting from the exhaust port 53 when the gate valve 57 is opened, an air flow of N 2 gas is formed in the region facing the transfer port 38, The N 2 gas that has flowed into the housing 50 from the processing container 30 is prevented from diffusing through the housing 50 and flowing into the second transfer chamber 21.

各ゲート室5のガスノズル61からのNガスの供給量及び排気口53からの排気量は、接続されるCVDモジュール3のウエハWの処理圧力に応じて、処理容器30の残留ガスを、形成されるNガス流により押し流して、第2の搬送室21への拡散を防ぐことができるように個別に制御される。 The supply amount of N 2 gas from the gas nozzle 61 of each gate chamber 5 and the exhaust amount from the exhaust port 53 form the residual gas in the processing container 30 according to the processing pressure of the wafer W of the CVD module 3 to be connected. It is individually controlled so that it can be prevented from diffusing into the second transfer chamber 21 by being swept away by the N 2 gas flow.

またゲートバルブ57が上昇して搬送口38及び排気口53が閉じられる場合には、駆動部59により、ゲートバルブ57の裏面の段部57aより上側がOリング38Aを介して処理容器30の外壁に密着すると共に段部57aより下側がOリング53Aを介して筐体50に密着し、筐体50とCVDモジュール3の処理容器30とが気密に仕切られると共に排気口53内が気密に仕切られる。 When the gate valve 57 is raised and the transfer port 38 and the exhaust port 53 are closed, the drive unit 59 causes the upper side of the stepped portion 57a on the back surface of the gate valve 57 to pass through the O-ring 38A. And the lower side of the stepped portion 57a is in close contact with the housing 50 via the O-ring 53A, so that the housing 50 and the processing container 30 of the CVD module 3 are hermetically partitioned and the exhaust port 53 is hermetically partitioned. .

この半導体製造装置1は、例えばコンピュータからなる制御部10Aが設けられている。この制御部10Aはプログラム、メモリ、CPUからなるデータ処理部などを備えており、前記プログラムには制御部10Aから半導体製造装置1の各部に制御信号を送り、後述のゲート室5のゲートバルブ57の開閉動作を含むウエハWの搬送及びウエハWの処理を進行させるように命令(各ステップ)が組み込まれている。また、例えばメモリには各処理モジュールの処理圧力、処理温度、処理時間、ガス流量または電力値などの処理パラメータの値が書き込まれる領域を備えており、CPUがプログラムの各命令を実行する際これらの処理パラメータが読み出され、そのパラメータ値に応じた制御信号がこの半導体製造装置1の各部位に送られることになる。このプログラム(処理パラメータの入力操作や表示に関するプログラムも含む)は、コンピュータ記憶媒体例えばフレキシブルディスク、コンパクトディスク、ハードディスク、MO(光磁気ディスク)などの記憶部10Bに格納されて制御部10Aにインストールされる。   The semiconductor manufacturing apparatus 1 is provided with a control unit 10A composed of, for example, a computer. The control unit 10A includes a data processing unit including a program, a memory, and a CPU. The control unit 10A sends a control signal to each unit of the semiconductor manufacturing apparatus 1 from the control unit 10A, and a gate valve 57 of the gate chamber 5 described later. Instructions (each step) are incorporated so as to advance the transfer of the wafer W including the opening / closing operation and the processing of the wafer W. In addition, for example, the memory includes an area in which values of processing parameters such as processing pressure, processing temperature, processing time, gas flow rate or power value of each processing module are written, and when the CPU executes each instruction of the program, These processing parameters are read out, and a control signal corresponding to the parameter value is sent to each part of the semiconductor manufacturing apparatus 1. This program (including programs related to processing parameter input operations and display) is stored in a storage unit 10B such as a computer storage medium such as a flexible disk, a compact disk, a hard disk, or an MO (magneto-optical disk) and installed in the control unit 10A. The

続いて半導体製造装置1の作用について図5及び図6を参照しながら説明する。キャリアCが半導体製造装置1に搬送されてロードポート11に載置され、第1の搬送室12に接続される。このとき半導体製造装置1の第2の搬送室21の筐体20内においてはガス供給口24からNガスが供給されると共に排気口27から排気が行われ、その圧力が数十〜数百Pa程度に保たれており、また各CVDモジュール3の処理容器30においては排気口34を介して排気が行われることで、例えば処理容器30内の圧力が数十〜数百Paよりも低く保たれている。 Next, the operation of the semiconductor manufacturing apparatus 1 will be described with reference to FIGS. The carrier C is transported to the semiconductor manufacturing apparatus 1 and placed on the load port 11 and connected to the first transport chamber 12. At this time, N 2 gas is supplied from the gas supply port 24 and exhausted from the exhaust port 27 in the housing 20 of the second transfer chamber 21 of the semiconductor manufacturing apparatus 1, and the pressure is several tens to several hundreds. For example, the pressure in the processing container 30 is kept lower than several tens to several hundreds Pa by evacuating the processing container 30 of each CVD module 3 through the exhaust port 34. I'm leaning.

キャリアCが第1の搬送室12に接続されると、次いでゲートドアGTおよびキャリアCの蓋が同時に開かれて、キャリアC内のウエハWが第1の搬送手段15によって第1の搬送室12内に搬入され、然る後アライメント室14に搬送されて、その向きや偏心の調整が行われた後、ロードロック室13に搬送される。このロードロック室13内の圧力が調整された後、ウエハWは第2の搬送手段23によってロードロック室13から真空雰囲気に保たれている第2の搬送室21に搬入される。 When the carrier C is connected to the first transfer chamber 12, the gate door GT and the lid of the carrier C are then opened simultaneously, and the wafer W in the carrier C is moved into the first transfer chamber 12 by the first transfer means 15. Then, it is transported to the alignment chamber 14, adjusted in its direction and eccentricity, and then transported to the load lock chamber 13. After the pressure in the load lock chamber 13 is adjusted, the wafer W is transferred from the load lock chamber 13 to the second transfer chamber 21 maintained in a vacuum atmosphere by the second transfer means 23.

然る後、所定の一つのCVDモジュール3に接続されるゲート室5のガスノズル61からNガスが供給され、続いてゲートバルブ57が駆動部59により下方にスライドし、搬送口38及び排気口53が開き、当該排気口53から筐体50内のガスが排気されて、ガスノズル61から排気口53に向かうNガス流が形成される。そして処理容器30に残留したガスが搬送口38を介して、ゲート室5の筐体50に流入すると、それらのガスは前記Nガス流に押し流され、このNガス流と共に排気口53へと流されて排気される。 Thereafter, N 2 gas is supplied from the gas nozzle 61 of the gate chamber 5 connected to one predetermined CVD module 3, and then the gate valve 57 is slid downward by the drive unit 59, and the transfer port 38 and the exhaust port 53 opens, the gas in the housing 50 is exhausted from the exhaust port 53, and an N 2 gas flow from the gas nozzle 61 toward the exhaust port 53 is formed. And the residual gas in the processing vessel 30 through the transfer port 38 and flows into the housing 50 of the gate chamber 5, these gases are swept into the N 2 gas flow, to the exhaust port 53 together with the N 2 gas stream And exhausted.

前記Nガス流が形成されると、このCVDモジュール3で処理済のウエハ(不図示)がウエハWを保持していない第2の搬送手段23により処理容器30から取り出され、続いてウエハWを保持した第2の搬送手段23が搬送口38を介して処理容器30内に進入する(図5(a))。 When the N 2 gas flow is formed, a wafer (not shown) processed by the CVD module 3 is taken out from the processing container 30 by the second transfer means 23 not holding the wafer W, and subsequently the wafer W The second transport means 23 that holds the fluid enters the processing container 30 through the transport port 38 (FIG. 5A).

昇降ピン32bが上昇して、ウエハWを受け取ると、第2の搬送手段23が処理容器30内から退避すると共に昇降ピン32bは下降してステージ31にウエハWが載置され、ウエハWがステージ31内のヒータにより所定の温度に保たれる。またゲートバルブ57が上昇し、その裏面がOリング38A及び53Aに密着して、搬送口38及び排気口53が閉鎖される。然る後処理容器30内が真空引きされて所定の圧力に保たれると、ガスシャワーヘッド42から例えばTiClガスなどの成膜ガスが供給されウエハWに成膜が行われる(図5(b))。 When the lift pins 32b are raised and the wafer W is received, the second transfer means 23 is retracted from the processing container 30, and the lift pins 32b are lowered to place the wafer W on the stage 31, and the wafer W is placed on the stage. A predetermined temperature is maintained by the heater in 31. Further, the gate valve 57 is raised, the back surface thereof is brought into close contact with the O-rings 38A and 53A, and the transfer port 38 and the exhaust port 53 are closed. When the inside of the post-processing container 30 is evacuated and maintained at a predetermined pressure, a film forming gas such as TiCl 4 gas is supplied from the gas shower head 42 to form a film on the wafer W (FIG. 5 ( b)).

成膜処理終了後、ガスシャワーヘッド42から成膜ガスの供給が停止し、処理容器30内が所定の圧力に保たれると、ガスノズル61からNガスが供給され、続いて駆動部59によりゲート室5のゲートバルブ57が下降し、搬送口38及び排気口53が開放され、当該排気口53から筐体50内のガスが排気されて搬送口38の正面に、ガスノズル61から排気口53に向かうNガス流が形成される(図5(c))。そしてCVDモジュール3の処理容器30に残留した前記成膜ガスや副生成物などのガスが搬送口38を介して、ゲート室5の筐体50に流入すると、それらのガスは図中矢印で示すように前記Nガス流に押し流され、このNガス流と共に排気口53へと流されて排気される。 After the film forming process is finished, when the supply of the film forming gas from the gas shower head 42 is stopped and the inside of the processing container 30 is maintained at a predetermined pressure, N 2 gas is supplied from the gas nozzle 61, and then the drive unit 59 The gate valve 57 of the gate chamber 5 is lowered, the transfer port 38 and the exhaust port 53 are opened, the gas in the housing 50 is exhausted from the exhaust port 53, and the gas nozzle 61 is connected to the exhaust port 53 in front of the transfer port 38. An N 2 gas flow toward is formed (FIG. 5C). When the gas such as the film forming gas and the by-product remaining in the processing container 30 of the CVD module 3 flows into the casing 50 of the gate chamber 5 through the transfer port 38, these gases are indicated by arrows in the figure. The N 2 gas flow is pushed away, and the N 2 gas flow is discharged to the exhaust port 53 together with the N 2 gas flow.

筐体50内にNガス流が形成されると、第2の搬送手段23が処理容器30内に進入し、ウエハWが昇降ピン32bを介してステージ31から第2の搬送手段23に受け渡され、第2の搬送手段23は搬送口51及び搬送口22を介してウエハWを第2の搬送室21に搬送する(図6(a))。然る後、ゲートバルブ57が上昇し、その裏面がOリング38A及び53Aに密着して、その排気口53及び搬送口38が閉鎖され、排気口53からの排気が停止するのと略同時にガスノズル61からのガスの供給が停止する(図6(b))。続いてウエハWは例えば他の各CVDモジュール3に同様に受け渡されて、所定の成膜処理を受け、設定された全ての成膜処理を受けると、第2の搬送手段23によりロードロック室13を介して第1の搬送手段15に受け渡され、その後、第1の搬送手段15によりキャリアCに戻される。 When the N 2 gas flow is formed in the housing 50, the second transfer means 23 enters the processing container 30, and the wafer W is received by the second transfer means 23 from the stage 31 via the lift pins 32b. The second transfer means 23 transfers the wafer W to the second transfer chamber 21 through the transfer port 51 and the transfer port 22 (FIG. 6A). Thereafter, the gate valve 57 is raised, the back surface thereof is in close contact with the O-rings 38A and 53A, the exhaust port 53 and the transport port 38 are closed, and the exhaust from the exhaust port 53 is stopped almost at the same time. The supply of gas from 61 stops (FIG. 6B). Subsequently, the wafer W is delivered to, for example, the other CVD modules 3 in the same manner, undergoes a predetermined film forming process, and when all set film forming processes are received, the second transfer means 23 causes the load lock chamber to be loaded. 13 is transferred to the first conveying means 15 through 13 and then returned to the carrier C by the first conveying means 15.

上記実施形態によれば、CVDモジュール3の処理容器30の搬送口38及びゲート室5の排気口53を開閉するゲートバルブ57と、搬送口38の正面にガスを供給するガスノズル61と、搬送口38の下方に開口した排気口53とが設けられており、処理容器30内にウエハWの処理ガスが供給された後、ゲートバルブ57を開いて搬送口38及び排気口53を開放して、ガスノズル61からNガスを供給すると共に排気口53から前記Nガスを排気し、搬送口38に臨む領域に当該搬送口38から流出する処理容器30内の残留ガスを除去するガス流を形成しているため、第2の搬送室21に前記残留ガスが拡散し、第2の搬送室21が汚染されることが抑えられる。従って残留ガスから生じたパーティクルにより、ウエハWが汚染されたり、ウエハWにクロスコンタミネーションが起きたりすることが抑えられる。またCVDモジュールの処理ガスとして腐食性のガスを用いた場合は、第2の搬送室21の各部がダメージを受けることが抑えられる。
またCVDモジュール3からウエハWの搬送が行われるときにそのCVDモジュール3に接続されたゲート室5にだけ不活性ガスを流すため、例えば第2の搬送室21のNガスの供給量を多くして、第2の搬送室21とCVDモジュール3との圧力差を大きくするような場合に比べてNガスの消費量を抑え、コストを低くすることができる。また、この実施形態においてはゲートバルブ57が搬送口38及び排気口53の両方を開閉するので、搬送口38を開く時には必ず排気口53から排気することができる。
According to the above embodiment, the gate valve 57 that opens and closes the transfer port 38 of the processing container 30 of the CVD module 3 and the exhaust port 53 of the gate chamber 5, the gas nozzle 61 that supplies gas to the front of the transfer port 38, and the transfer port 38, an exhaust port 53 that opens below 38 is provided. After the processing gas for the wafer W is supplied into the processing container 30, the gate valve 57 is opened to open the transfer port 38 and the exhaust port 53, N 2 gas is exhausted the N 2 gas from the exhaust port 53 is supplied from the gas nozzle 61, a gas stream to remove the residual gas in the process container 30 flowing out from the transfer port 38 in a region facing the transfer port 38 Therefore, it is possible to prevent the residual gas from diffusing into the second transfer chamber 21 and contaminating the second transfer chamber 21. Therefore, it is possible to prevent the wafer W from being contaminated by the particles generated from the residual gas and cross contamination from occurring in the wafer W. Further, when a corrosive gas is used as the processing gas for the CVD module, each part of the second transfer chamber 21 can be prevented from being damaged.
In addition, when the wafer W is transferred from the CVD module 3, an inert gas is allowed to flow only to the gate chamber 5 connected to the CVD module 3, and therefore, for example, the supply amount of N 2 gas in the second transfer chamber 21 is increased. Thus, compared to a case where the pressure difference between the second transfer chamber 21 and the CVD module 3 is increased, the consumption amount of N 2 gas can be suppressed and the cost can be reduced. Further, in this embodiment, since the gate valve 57 opens and closes both the transport port 38 and the exhaust port 53, when the transport port 38 is opened, air can be exhausted from the exhaust port 53 without fail.

上記実施形態においてはウエハWの成膜処理の後で、ゲート室5においてNガスの供給と排気とを行い、処理容器30から第2の搬送室21へのガスの拡散を防ぐ例を示したが、例えば成膜処理を行う前に処理容器30内において処理雰囲気を形成するためにガスシャワーヘッド42からガスを供給する場合があり、その場合においても前記ガス供給後、搬送口38を開いたときにNガスの供給及び排気を行って、その処理雰囲気を形成するガスの第2の搬送室21への拡散を防ぐようにすることが有効である。また上記実施形態においてゲートバルブ57が閉じた瞬間にガスノズル61からのガス供給を停止しなくてもよく、これらのタイミングに多少のずれがあってもよい。 In the above embodiment, an example is shown in which N 2 gas is supplied and exhausted in the gate chamber 5 after the film formation process of the wafer W to prevent gas diffusion from the processing container 30 to the second transfer chamber 21. However, for example, there is a case where gas is supplied from the gas shower head 42 to form a processing atmosphere in the processing container 30 before the film forming process is performed. In this case, it is effective to supply and exhaust N 2 gas to prevent diffusion of the gas forming the processing atmosphere into the second transfer chamber 21. In the above embodiment, the gas supply from the gas nozzle 61 does not have to be stopped at the moment when the gate valve 57 is closed, and there may be some deviation in these timings.

また、ゲートバルブ57が搬送口38を閉じている間において、排気口53は塞がれず、ゲート室5内においてガスノズル61からのガス供給と排気口53からの排気とが常時行われてNガスの気流を形成する場合も本発明の技術的範囲に含まれる。ただし、第2の搬送室21内の気流が乱れるのを防ぐために、上記のようにゲートバルブ57を開いている間だけ前記Nガスの気流を形成することが好ましい。また本発明は上記半導体製造装置1のように複数の処理容器を備えたマルチチャンバ方式の真空処理装置に適用されることに限られず、1個の処理容器に搬送手段を備えたロードロック室が接続されている場合にも適用され、この場合ロードロック室が特許請求の範囲でいう搬送室に相当する。 Further, while the gate valve 57 closes the transfer port 38, the exhaust port 53 is not blocked, and the gas supply from the gas nozzle 61 and the exhaust from the exhaust port 53 are always performed in the gate chamber 5 and N 2. The case where a gas flow is formed is also included in the technical scope of the present invention. However, in order to prevent the air flow in the second transfer chamber 21 is disturbed, it is preferred that only by forming a stream of the N 2 gas while opening the gate valve 57 as described above. Further, the present invention is not limited to being applied to a multi-chamber type vacuum processing apparatus having a plurality of processing containers as in the semiconductor manufacturing apparatus 1 described above, and a load lock chamber having a transfer means in one processing container is provided. The present invention is also applied to the case where they are connected. In this case, the load lock chamber corresponds to the transfer chamber in the claims.

また上記実施形態において第2の搬送室21の形状や搬送口38の位置によってガスノズル61及び排気口53により形成されるNガス流に影響が出る場合には排気口27は閉鎖するか、排気口27につながる排気路を閉じてもよい。 In the above embodiment, when the N 2 gas flow formed by the gas nozzle 61 and the exhaust port 53 is affected by the shape of the second transfer chamber 21 and the position of the transfer port 38, the exhaust port 27 is closed or the exhaust port 27 is exhausted. The exhaust path connected to the mouth 27 may be closed.

図7(a)は上記第1の実施形態のゲートバルブの変形例を示しており、この変形例においてはゲートバルブ57とは異なるゲートバルブ66を備えている。ゲートバルブ66におけるゲートバルブ57との相違点としては、その厚さ方向に排気口53に対応する開口部67が形成されており、ゲートバルブ66により処理容器30の搬送口38及び排気口53がシールされるとき、このシールを妨げないように開口部67はOリング38Aの下端とOリング53Aの上端との間の高さに位置するように形成されている。そして図7(b)に示すようにウエハWの搬送時においては、開口部67が排気口53に重なるように下方にスライドして、当該排気口53及び搬送口38が開放されるようになっている。   FIG. 7A shows a modified example of the gate valve of the first embodiment. In this modified example, a gate valve 66 different from the gate valve 57 is provided. The gate valve 66 differs from the gate valve 57 in that an opening 67 corresponding to the exhaust port 53 is formed in the thickness direction, and the transfer port 38 and the exhaust port 53 of the processing container 30 are formed by the gate valve 66. When sealed, the opening 67 is formed so as to be positioned at a height between the lower end of the O-ring 38A and the upper end of the O-ring 53A so as not to disturb the sealing. As shown in FIG. 7B, when the wafer W is transferred, the opening 67 slides downward so as to overlap the exhaust port 53, and the exhaust port 53 and the transfer port 38 are opened. ing.

このような構成とすることで、ゲートバルブ66の移動ストロークが少なくて済み、昇降機構を簡素化できるため、搬送口38が開放されてから、排気口53が開放されるまでの時間が短く抑えられるため、処理容器30から第2の搬送室21へのガスの流入をより確実に抑えることができる。   With such a configuration, the moving stroke of the gate valve 66 can be reduced, and the lifting mechanism can be simplified. Therefore, the time from the opening of the transport port 38 to the opening of the exhaust port 53 is kept short. Therefore, the inflow of gas from the processing container 30 to the second transfer chamber 21 can be more reliably suppressed.

(第2の実施形態)
続いて半導体製造装置の他の実施形態について図8を参照しながら説明する。この半導体製造装置はゲート室5の代わりにゲート室7を備えたことを除き、上記半導体製造装置1と同様に構成されている。このゲート室7のゲート室5との相違点としては、搬送口38を開閉するゲートバルブと、排気口53を開閉するゲートバルブとが夫々別体のゲートバルブ71,72として構成されていることが挙げられる。ゲートバルブ71、ゲートバルブ72は夫々搬送口38、排気口53に対応して矩形状に形成されており、ゲートバルブ71、ゲートバルブ72は例えば支持部58と同様に形成された支持部73,74を介して駆動部75,76に夫々接続されている。そして駆動部75,76は、ゲートバルブ71、ゲートバルブ72を夫々独立して上下方向にスライドさせると共にこれらゲートバルブ71,72の裏面をOリング38A,53Aを介して処理容器30の外壁、筐体50の壁部に夫々密着させることで、搬送口38及び排気口53の開閉を独立して行うことができるようになっている。なお支持部73,74は夫々筐体50の下部に設けられた孔73a,74aを介して筐体50の外に伸長しており、ゲート室5と同様に各孔73a,74aの開口縁に沿ってベローズが設けられ、筐体50内の気密性が保たれているが、便宜上各ベローズの図示は省略する。
(Second Embodiment)
Next, another embodiment of the semiconductor manufacturing apparatus will be described with reference to FIG. This semiconductor manufacturing apparatus is configured in the same manner as the semiconductor manufacturing apparatus 1 except that a gate chamber 7 is provided instead of the gate chamber 5. The gate chamber 7 is different from the gate chamber 5 in that the gate valve for opening and closing the transfer port 38 and the gate valve for opening and closing the exhaust port 53 are configured as separate gate valves 71 and 72, respectively. Is mentioned. The gate valve 71 and the gate valve 72 are formed in a rectangular shape corresponding to the transfer port 38 and the exhaust port 53, respectively. The gate valve 71 and the gate valve 72 are, for example, a support portion 73 formed in the same manner as the support portion 58, 74 are connected to the drive units 75 and 76, respectively. The drive units 75 and 76 slide the gate valve 71 and the gate valve 72 independently in the vertical direction, and the back surfaces of the gate valves 71 and 72 are connected to the outer wall and the housing of the processing vessel 30 through the O-rings 38A and 53A. By bringing the body 50 into close contact with each other, the conveyance port 38 and the exhaust port 53 can be opened and closed independently. The support portions 73 and 74 extend out of the housing 50 through holes 73a and 74a provided in the lower portion of the housing 50, respectively, and at the opening edges of the holes 73a and 74a as in the gate chamber 5. Bellows are provided along the airtightness in the housing 50, but the illustration of the bellows is omitted for convenience.

図9も参照しながら、このゲート室7が適用された半導体製造装置についてCVDモジュール3から第2の搬送室21へ成膜処理されたウエハWが搬送される際の様子を説明する。CVDモジュール3において成膜処理が終わると、図8に示した状態から、駆動部76によりゲートバルブ72が下方へスライドし、排気口53が開放され、当該排気口53から筐体50内が排気される。また排気口53から排気が行われるのと同時か、それに少し遅れてガスノズル61から筐体50内へNガスの供給が行われ、ゲート室5と同様に搬送口38に臨む領域にガスノズル61から排気口53へ向かうNガス流が形成される(図9(a))。 With reference to FIG. 9 as well, a state in which the film-formed wafer W is transferred from the CVD module 3 to the second transfer chamber 21 in the semiconductor manufacturing apparatus to which the gate chamber 7 is applied will be described. When the film forming process is completed in the CVD module 3, the gate valve 72 is slid downward by the driving unit 76 from the state shown in FIG. 8, the exhaust port 53 is opened, and the interior of the housing 50 is exhausted from the exhaust port 53. Is done. The N 2 gas is supplied from the gas nozzle 61 into the housing 50 at the same time as the exhaust from the exhaust port 53 or a little later, and the gas nozzle 61 is disposed in the region facing the transfer port 38 as in the gate chamber 5. An N 2 gas flow toward the exhaust port 53 is formed (FIG. 9A).

ガス流が形成されると、ゲートバルブ71が下方にスライドして、搬送口38が開放され、搬送口38から筐体50へ流出した処理容器30内のガスがNガスと共に排気口53に流入して除去される(図9(b))。ウエハWが処理容器30から搬出された後、ゲートバルブ71が上昇して搬送口38が閉じられ(図9(c))、それに少し遅れてガスノズル61からのNガスの供給が停止すると共にゲートバルブ72が閉じられ、排気口53による排気が停止される。 When the N 2 gas flow is formed, the gate valve 71 slides downward, the transfer port 38 is opened, and the gas in the processing container 30 flowing out from the transfer port 38 to the housing 50 is discharged together with the N 2 gas. It flows into 53 and is removed (FIG. 9B). After the wafer W is unloaded from the processing container 30, the gate valve 71 is raised and the transfer port 38 is closed (FIG. 9C), and the supply of N 2 gas from the gas nozzle 61 is stopped a little later than that. The gate valve 72 is closed and the exhaust through the exhaust port 53 is stopped.

この第2の実施形態によれば、搬送口38を開く前に当該搬送口38に臨む領域にガス流を形成しておくことができ、また搬送口38を閉鎖した後もNガス流の形成を続けることができるので、処理容器30内に残留したガスが搬送口38を介して第2の搬送室21に流入することをより確実に抑えることができる。 According to the second embodiment, a gas flow can be formed in a region facing the transfer port 38 before the transfer port 38 is opened, and the N 2 gas flow can be maintained even after the transfer port 38 is closed. Since formation can be continued, it can suppress more reliably that the gas which remained in the processing container 30 flows in into the 2nd conveyance chamber 21 via the conveyance port 38. FIG.

また例えば第2の実施形態においてゲートバルブ72を設ける代わりに例えば排気口53に接続される排気路54にバルブを介設して、このバルブを開閉させることで排気口53による排気を制御してもよく、この場合も本発明の権利範囲に含まれる。 Further, for example, instead of providing the gate valve 72 in the second embodiment, for example, a valve is provided in the exhaust passage 54 connected to the exhaust port 53, and the exhaust through the exhaust port 53 is controlled by opening and closing the valve. This case is also included in the scope of the right of the present invention.

(第3の実施形態)
続いて半導体製造装置の他の実施形態について図10を参照しながら説明する。この第3の実施形態の半導体製造装置における第1の実施形態の半導体製造装置1との相違点としてはゲート室5においてガスノズル61が設けられていないことが挙げられる。その他の相違点としては、ガス供給路24Aが筐体20の床面に接続される代わりに第2の搬送室21の天井中央部に設けられたガスノズル66に接続されている。ガスノズル66は例えばガスノズル61と同様に構成されており、下方にNガスを供給する。また排気口27が筐体20の側壁に設けられる代わりに例えば第2の搬送室21の床面の中央部付近における第2の搬送手段23の通路に干渉しない位置に開口している。図中78は排気路27Aに介設されたバルブである。後述するように搬送口38が開放される場合を除いてバルブ78は開かれ、排気口27から排気されると共にガスノズル66からNガスが供給されて第2の搬送室21内の圧力が例えば数十〜数百Paに保たれている。
(Third embodiment)
Next, another embodiment of the semiconductor manufacturing apparatus will be described with reference to FIG. The semiconductor manufacturing apparatus according to the third embodiment is different from the semiconductor manufacturing apparatus 1 according to the first embodiment in that the gas nozzle 61 is not provided in the gate chamber 5. As another difference, the gas supply path 24 </ b> A is connected to a gas nozzle 66 provided at the center of the ceiling of the second transfer chamber 21 instead of being connected to the floor surface of the housing 20. The gas nozzle 66 is configured in the same manner as the gas nozzle 61, for example, and supplies N 2 gas downward. Further, instead of providing the exhaust port 27 on the side wall of the housing 20, for example, the exhaust port 27 is opened at a position that does not interfere with the passage of the second transfer means 23 in the vicinity of the center of the floor surface of the second transfer chamber 21. In the figure, reference numeral 78 denotes a valve interposed in the exhaust passage 27A. Valve 78 unless the transfer port 38 is opened as will be described later is opened, the pressure in the second transfer chamber 21 by the N 2 gas is supplied from the gas nozzle 66 while being exhausted from the exhaust port 27 is for example It is kept at several tens to several hundreds Pa.

この第3の実施形態の半導体製造装置において、CVDモジュール3からウエハWが搬送されるときの様子について図11及び図12を参照しながら説明する。ウエハWの成膜処理が終了すると、バルブ78が閉じられ、排気口27からの排気が停止する(図11(a),(b))。その後、ゲート室5のゲートバルブ57が下降して、排気口53から排気が行われて、ガスノズル66から供給されたNガスがウエハWの搬送口22,51を介してゲート室5の筐体50内に流入し、排気口53から排気されることでガスノズル66から排気口53に向かうNガス流が形成される。そして処理容器30から筐体50に残留ガスが流出すると、その残留ガスはこのNガス流に押し流されて排気口53へと流入し、排気される(図11(c))。 In the semiconductor manufacturing apparatus of the third embodiment, a state when the wafer W is transferred from the CVD module 3 will be described with reference to FIGS. When the film forming process for the wafer W is completed, the valve 78 is closed and the exhaust from the exhaust port 27 is stopped (FIGS. 11A and 11B). After that, the gate valve 57 of the gate chamber 5 is lowered, the exhaust is performed from the exhaust port 53, and the N 2 gas supplied from the gas nozzle 66 is transferred to the housing of the gate chamber 5 through the transfer ports 22 and 51 of the wafer W. By flowing into the body 50 and exhausting from the exhaust port 53, an N 2 gas flow from the gas nozzle 66 toward the exhaust port 53 is formed. When the residual gas flows out from the processing container 30 to the housing 50, the residual gas is pushed away by the N 2 gas flow, flows into the exhaust port 53, and is exhausted (FIG. 11 (c)).

第2の搬送手段23によりウエハWが処理容器30から搬出されると、ゲートバルブ57が上昇して搬送口38及び排気口53が閉じられ、排気口53からの排気が停止する。そして排気口53が閉じられるのと略同時かそれに少し遅れてバルブ78が開いて排気口27から排気が行われる(図12)。このような構成としても第1の実施形態と同様の効果が得られる。また、この第3の実施形態においてはバルブ78が閉じられ、排気口27からの排気が停止されるので、ガスノズル66から排気口53に向かうNガス流が効率よく形成される。 When the wafer W is unloaded from the processing container 30 by the second transfer means 23, the gate valve 57 is raised, the transfer port 38 and the exhaust port 53 are closed, and the exhaust from the exhaust port 53 is stopped. Then, the valve 78 is opened approximately at the same time as or a little later than the exhaust port 53 is closed, and exhaust is performed from the exhaust port 27 (FIG. 12). Even with such a configuration, the same effects as those of the first embodiment can be obtained. Further, in the third embodiment, the valve 78 is closed and the exhaust from the exhaust port 27 is stopped, so that an N 2 gas flow from the gas nozzle 66 toward the exhaust port 53 is efficiently formed.

上記第3の実施形態においてはゲート室5に対するガス供給部として、第2の搬送室21内に気流を形成するためのガス供給ノズル66を利用したが、ゲート室5に排気流を形成するための専用の例えばガスノズルなどのガス供給部を第2の搬送室21における各ゲート室5の近傍に設けてもよい。この場合には第2の搬送室21の排気口27からの排気は停止しなくてもよい。   In the third embodiment, the gas supply nozzle 66 for forming an air flow in the second transfer chamber 21 is used as a gas supply unit for the gate chamber 5. However, in order to form an exhaust flow in the gate chamber 5. For example, a gas supply unit such as a gas nozzle may be provided in the vicinity of each gate chamber 5 in the second transfer chamber 21. In this case, exhaust from the exhaust port 27 of the second transfer chamber 21 may not stop.

また第3の実施形態では例えばCVDモジュール3の成膜処理の終了信号が制御部10Aに送信され、対応する処理容器30に接続されたゲート室5においてゲートバルブ57が開き、上記のように排気が行われる。この終了信号は例えば昇降ピン32bが上昇したことの検知信号とすることができる。   In the third embodiment, for example, a film formation process end signal of the CVD module 3 is transmitted to the control unit 10A, the gate valve 57 is opened in the gate chamber 5 connected to the corresponding processing container 30, and the exhaust is performed as described above. Is done. This end signal can be, for example, a detection signal indicating that the elevating pin 32b is raised.

また上記の各実施形態ではウエハ以外にも例えばLCD基板、ガラス基板、セラミックス基板などの基板を処理するようにしてもよい。また各ガスノズル及びガス供給口から供給される不活性ガスとしてNガスを例に挙げたが、この不活性ガスとしてはNに限らず、He(ヘリウム)、Ne(ネオン)、Ar(アルゴン)などの希ガスやH(水素)などのガスを用いてもよい。 In each of the above embodiments, a substrate such as an LCD substrate, a glass substrate, or a ceramic substrate may be processed in addition to the wafer. Further, although an example of N 2 gas as the inert gas supplied from the gas nozzle and the gas supply port, is not limited to N 2 as the inert gas, the He (helium), Ne (neon), Ar (argon ) Or a gas such as H 2 (hydrogen) may be used.

本発明のゲートバルブを含んだ半導体製造装置の上面図である。It is a top view of the semiconductor manufacturing apparatus containing the gate valve of this invention. 前記半導体製造装置に設けられた前記ゲートバルブ、第2の搬送室及びCVDモジュールの縦断側面図である。It is a vertical side view of the gate valve, the second transfer chamber, and the CVD module provided in the semiconductor manufacturing apparatus. 前記ゲートバルブに設けられたガスノズルの構成図である。It is a block diagram of the gas nozzle provided in the said gate valve. 前記ガスノズル、前記ゲートバルブ、排気口及び前記CVDモジュールの基板搬送口の斜視図である。FIG. 3 is a perspective view of the gas nozzle, the gate valve, an exhaust port, and a substrate transfer port of the CVD module. ウエハ搬送時に前記ゲートバルブにおいてガス供給及び排気が行われる様子を示した工程図である。FIG. 5 is a process diagram illustrating a state in which gas supply and exhaust are performed in the gate valve during wafer transfer. ウエハ搬送時に前記ゲートバルブにおいてガス供給及び排気が行われる様子を示した工程図である。FIG. 5 is a process diagram illustrating a state in which gas supply and exhaust are performed in the gate valve during wafer transfer. 他のゲートバルブの構成を示した縦断側面図である。It is the vertical side view which showed the structure of the other gate valve. さらに他のゲートバルブの構成を示した縦断側面図である。It is the vertical side view which showed the structure of the further another gate valve. ウエハ搬送時に前記ゲートバルブにおいてガス供給及び排気が行われる様子を示した工程図である。FIG. 5 is a process diagram illustrating a state in which gas supply and exhaust are performed in the gate valve during wafer transfer. さらに他のゲートバルブ及びそれに接続された基板搬送室の縦断側面図である。It is a vertical side view of another gate valve and a substrate transfer chamber connected thereto. ウエハ搬送時に前記ゲートバルブ及び前記基板搬送室においてガス供給及び排気が行われる様子を示した工程図である。FIG. 5 is a process diagram illustrating a state in which gas supply and exhaust are performed in the gate valve and the substrate transfer chamber during wafer transfer. ウエハ搬送時に前記ゲートバルブ及び前記基板搬送室においてガス供給及び排気が行われる様子を示した工程図である。FIG. 5 is a process diagram illustrating a state in which gas supply and exhaust are performed in the gate valve and the substrate transfer chamber during wafer transfer.

符号の説明Explanation of symbols

1 半導体製造装置
21 第2の搬送室
23 第2の搬送手段
3 CVDモジュール
5 ゲート室
53 排気口
54 排気路
57 ゲートバルブ
61 ガスノズル
DESCRIPTION OF SYMBOLS 1 Semiconductor manufacturing apparatus 21 2nd conveyance chamber 23 2nd conveyance means 3 CVD module 5 Gate chamber 53 Exhaust port 54 Exhaust path 57 Gate valve 61 Gas nozzle

Claims (16)

基板の搬送口が形成され、真空雰囲気にて処理ガスにより基板に対して処理を行う処理容器と、
この処理容器の前記搬送口にゲート室を介して接続されると共に前記搬送口を介して前記処理容器に対して基板の受け渡しを行う搬送手段を備えた真空雰囲気とされる搬送室と、
前記ゲート室に設けられ、前記処理容器にて基板の処理を行うときには前記搬送口を閉じ、処理容器に対して基板の受け渡しを行うときには当該搬送口を開くためのゲートバルブと、
少なくとも前記搬送口が開いている間は、処理容器内の残留ガスの前記搬送室への拡散を抑えるために当該搬送口を臨む位置に不活性ガスの気流を形成するように、前記ゲート室に各々設けられた不活性ガス供給部及び排気口と、を備えたことを特徴とする真空処理装置。
A processing container in which a substrate transfer port is formed and processing the substrate with a processing gas in a vacuum atmosphere;
A transfer chamber that is connected to the transfer port of the processing container via a gate chamber and has a vacuum atmosphere provided with transfer means for delivering the substrate to the processing container via the transfer port;
A gate valve provided in the gate chamber for closing the transfer port when processing a substrate in the processing container, and opening the transfer port when transferring the substrate to the processing container;
At least during the opening of the transfer port, in order to suppress the diffusion of residual gas in the processing container to the transfer chamber, an air flow of an inert gas is formed in the gate chamber so as to face the transfer port. A vacuum processing apparatus comprising an inert gas supply unit and an exhaust port provided respectively.
前記ゲート室内のゲートバルブが閉じているときには、前記不活性ガス供給部
からの不活性ガスの供給が停止されていることを特徴とする請求項1記載の真空処理装置。
2. The vacuum processing apparatus according to claim 1, wherein when the gate valve in the gate chamber is closed, the supply of the inert gas from the inert gas supply unit is stopped.
搬送室には、当該搬送室内に不活性ガスの気流を形成するための不活性ガス供給部及び排気口が設けられていることを特徴とする請求項1または2記載の真空処理装置。   The vacuum processing apparatus according to claim 1, wherein the transfer chamber is provided with an inert gas supply unit and an exhaust port for forming an inert gas flow in the transfer chamber. 前記ゲート室内のゲートバルブが閉じているときには当該ゲート室の排気口が閉じられていることを特徴とする請求項3記載の真空処理装置。   4. The vacuum processing apparatus according to claim 3, wherein when the gate valve in the gate chamber is closed, the exhaust port of the gate chamber is closed. ゲートバルブは搬送口の開閉に合わせてゲート室の排気口を開閉するように構成されている請求項1ないし4のいずれか一に記載の真空処理装置。   The vacuum processing apparatus according to claim 1, wherein the gate valve is configured to open and close an exhaust port of the gate chamber in accordance with opening and closing of the transfer port. ゲートバルブは、搬送口を開いて停止している状態のときには、ゲート室の排気口が開いている状態となるように排気口と重なる位置に開口部が形成されていることを特徴とする請求項1ないし5のいずれか一に記載の真空処理装置。   The gate valve has an opening formed at a position overlapping the exhaust port so that the exhaust port of the gate chamber is open when the transfer port is open and stopped. Item 6. The vacuum processing apparatus according to any one of Items 1 to 5. 前記処理容器は共通の搬送室に各々ゲート室を介して複数接続されていることを特徴とする請求項1または6のいずれか一に記載の真空処理装置。   The vacuum processing apparatus according to claim 1, wherein a plurality of the processing containers are connected to a common transfer chamber via gate chambers. 基板の搬送口が各々形成され、真空雰囲気にて処理ガスにより基板に対して処理を行う複数の処理容器と
これら複数の処理容器の前記搬送口にゲート室を介して接続されると共に、各搬送口を介して処理容器に対して基板の受け渡しを行う搬送手段を備えた真空雰囲気とされる共通の搬送室と、
前記ゲート室に設けられ、前記処理容器にて基板の処理を行うときには前記搬送口を閉じ、処理容器に対して基板の受け渡しを行うときには当該搬送口を開くためのゲートバルブと、
前記処理容器内の残留ガスの前記搬送室への拡散を抑えるために当該搬送口を臨む位置に不活性ガスの気流を形成するように、前記搬送室に設けられた残留ガス拡散防止用の不活性ガス供給部及び前記ゲート室に設けられた排気口と、
前記搬送室に設けられ、当該搬送室内に不活性ガスの気流を形成するための搬送室気流形成用の不活性ガス供給部と、
前記搬送室に設けられ、前記ゲート室内にて不活性ガスの気流を形成するときには閉じられる搬送室排気用の排気口と、を備え、
前記ゲートバルブが閉じられているときには前記ゲート室の排気口は閉じられていることを特徴とする真空処理装置。
Each substrate transport port is formed, and connected to the plurality of processing containers for processing the substrate with the processing gas in a vacuum atmosphere and the transport ports of the plurality of processing containers through the gate chamber, and each transport A common transfer chamber having a vacuum atmosphere provided with transfer means for delivering the substrate to the processing container through the mouth;
A gate valve provided in the gate chamber for closing the transfer port when processing a substrate in the processing container, and opening the transfer port when transferring the substrate to the processing container;
In order to suppress the diffusion of the residual gas in the processing container into the transfer chamber, an inert gas flow is formed in the transfer chamber so as to prevent the residual gas from being diffused at a position facing the transfer port. An exhaust port provided in the active gas supply unit and the gate chamber;
An inert gas supply unit for forming an air flow of an inert gas in the transfer chamber and forming an air flow of an inert gas in the transfer chamber;
An exhaust port for exhausting the transfer chamber, which is provided in the transfer chamber and is closed when an inert gas stream is formed in the gate chamber,
The vacuum processing apparatus according to claim 1, wherein when the gate valve is closed, an exhaust port of the gate chamber is closed.
前記残留ガス拡散防止用の不活性ガス供給部は、各搬送口ごとに設けられていることを特徴とする請求項8記載の真空処理装置。   9. The vacuum processing apparatus according to claim 8, wherein the inert gas supply unit for preventing the residual gas diffusion is provided for each transport port. 前記残留ガス拡散防止用の不活性ガス供給部と、搬送室気流形成用の不活性ガス供給部とは共用化されていることを特徴とする請求項8または9記載の真空処理装置。   10. The vacuum processing apparatus according to claim 8, wherein the inert gas supply unit for preventing residual gas diffusion and the inert gas supply unit for forming a transfer chamber airflow are shared. 基板の搬送口が形成された処理容器と、前記搬送口にゲート室を介して接続されると共に前記搬送口を介して前記処理容器に対して基板の受け渡しを行う搬送手段を備えた真空雰囲気とされる搬送室を備えた真空処理装置を運転する方法において、
前記ゲート室に設けられたゲートバルブにより前記搬送口を閉じた状態で、前記処理容器内にて真空雰囲気で処理ガスにより基板に対して処理を行う工程と、
前記ゲートバルブにより前記搬送口を開いて前記搬送手段により処理容器から基板を搬出する工程と、
少なくとも前記搬送口が開いている間は、前記ゲート室に各々設けられた不活性ガス供給部及び排気口により、処理容器内の残留ガスの前記搬送室への拡散を抑えるために当該搬送口を臨む位置に不活性ガスの気流を形成する工程と、
を備えたことを特徴とする真空処理装置の運転方法。
A processing container in which a substrate transport port is formed; and a vacuum atmosphere provided with a transport means connected to the transport port via a gate chamber and delivering the substrate to the processing container through the transport port; In a method of operating a vacuum processing apparatus provided with a transfer chamber,
A process of processing a substrate with a processing gas in a vacuum atmosphere in the processing container in a state where the transfer port is closed by a gate valve provided in the gate chamber;
Opening the transfer port by the gate valve and unloading the substrate from the processing container by the transfer means;
At least while the transfer port is open, the transfer port is controlled by an inert gas supply unit and an exhaust port provided in the gate chamber in order to suppress diffusion of residual gas in the processing container into the transfer chamber. Forming a flow of inert gas at a position facing the surface;
A method for operating a vacuum processing apparatus, comprising:
前記ゲート室内のゲートバルブが閉じているときに、前記不活性ガス供給部
からの不活性ガスの供給を停止することを特徴とする請求項11記載の真空処理装置の運転方法。
12. The operation method of a vacuum processing apparatus according to claim 11, wherein when the gate valve in the gate chamber is closed, the supply of the inert gas from the inert gas supply unit is stopped.
搬送室に設けられた不活性ガス供給部及び排気口により、当該搬送室内に不活性ガスの気流を形成する工程を含むことを特徴とする請求項11または12記載の真空処理装置の運転方法。   13. The operating method of a vacuum processing apparatus according to claim 11, further comprising a step of forming an inert gas flow in the transfer chamber by an inert gas supply unit and an exhaust port provided in the transfer chamber. 前記ゲート室内のゲートバルブが閉じているときに、当該ゲート室の排気口が閉じられた状態にすることを特徴とする請求項11ないし13のいずれか一に記載の真空処理装置の運転方法。   14. The operating method of a vacuum processing apparatus according to claim 11, wherein when the gate valve in the gate chamber is closed, the exhaust port of the gate chamber is closed. 基板の搬送口が形成された処理容器と、前記搬送口にゲート室を介して接続されると共に前記搬送口を介して前記処理容器に対して基板の受け渡しを行う搬送手段を備えた真空雰囲気とされる搬送室を備えた真空処理装置を運転する方法において、
前記ゲート室に設けられたゲートバルブにより前記搬送口を閉じた状態で、前記処理容器内にて真空雰囲気で処理ガスにより基板に対して処理を行う工程と、
前記ゲートバルブにより前記搬送口を開いて前記搬送手段により処理容器から基板を搬出する工程と、
前記処理容器内の残留ガスの前記搬送室への拡散を抑えるために前記搬送室に設けられた残留ガス拡散防止用の不活性ガス供給部及び前記ゲート室に設けられた排気口により当該搬送口を臨む位置に不活性ガスの気流を形成する工程と、
前記搬送室に設けられた搬送室気流形成用の不活性ガス供給部により当該搬送室に不活性ガスの気流を形成する工程と、
前記搬送室に設けられた搬送室排気用の排気口を、前記ゲート室内にて不活性ガスの気流を形成するときに閉じる工程と、
ゲートバルブが閉じられたときに前記ゲート室に設けられた排気口を閉じる工程と、
を備えたことを特徴とする真空処理装置の運転方法。
A processing container in which a substrate transport port is formed; and a vacuum atmosphere provided with a transport means connected to the transport port via a gate chamber and delivering the substrate to the processing container through the transport port; In a method of operating a vacuum processing apparatus provided with a transfer chamber,
A process of processing a substrate with a processing gas in a vacuum atmosphere in the processing container in a state where the transfer port is closed by a gate valve provided in the gate chamber;
Opening the transfer port by the gate valve and unloading the substrate from the processing container by the transfer means;
In order to suppress the diffusion of the residual gas in the processing container into the transfer chamber, the transfer port is provided by an inert gas supply unit for preventing diffusion of the residual gas provided in the transfer chamber and an exhaust port provided in the gate chamber. Forming a flow of inert gas at a position facing the surface,
A step of forming an air flow of inert gas in the transfer chamber by an inert gas supply unit for forming a transfer chamber air flow provided in the transfer chamber;
A step of closing an exhaust port for exhausting the transfer chamber provided in the transfer chamber when forming an air flow of inert gas in the gate chamber;
Closing the exhaust port provided in the gate chamber when the gate valve is closed;
A method for operating a vacuum processing apparatus, comprising:
基板に対して真空処理を行う真空処理装置に用いられ、コンピュータ上で動作するコンピュータプログラムを格納した記憶媒体であって、
前記コンピュータプログラムは、請求項11ないし15のいずれか一記載の真空処理装置の運転方法を実施するようにステップ群が組まれていることを特徴とする記憶媒体。
A storage medium storing a computer program used on a vacuum processing apparatus for performing vacuum processing on a substrate and operating on a computer,
A storage medium characterized in that the computer program includes a group of steps so as to implement the operating method of the vacuum processing apparatus according to any one of claims 11 to 15.
JP2007088021A 2007-03-29 2007-03-29 Vacuum processing apparatus, operating method of vacuum processing apparatus, and storage medium Expired - Fee Related JP4985031B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2007088021A JP4985031B2 (en) 2007-03-29 2007-03-29 Vacuum processing apparatus, operating method of vacuum processing apparatus, and storage medium
KR1020097020152A KR101220790B1 (en) 2007-03-29 2008-03-26 Vaccum processing apparatus, method of operating the same, and storage medium
CN2011100932017A CN102157420A (en) 2007-03-29 2008-03-26 Vacuum treating apparatus, method of operating the same and recording medium
CN2008800109670A CN101652851B (en) 2007-03-29 2008-03-26 Vacuum treating apparatus, method of operating the same
PCT/JP2008/055680 WO2008120628A1 (en) 2007-03-29 2008-03-26 Vacuum treating apparatus, method of operating the same and recording medium
TW097111204A TW200903693A (en) 2007-03-29 2008-03-28 Vacuum processing apparatus, operating method of the vacuum processing apparatus, and recording medium
US12/568,709 US20100022093A1 (en) 2007-03-29 2009-09-29 Vacuum processing apparatus, method of operating same and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007088021A JP4985031B2 (en) 2007-03-29 2007-03-29 Vacuum processing apparatus, operating method of vacuum processing apparatus, and storage medium

Publications (2)

Publication Number Publication Date
JP2008251631A true JP2008251631A (en) 2008-10-16
JP4985031B2 JP4985031B2 (en) 2012-07-25

Family

ID=39808210

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007088021A Expired - Fee Related JP4985031B2 (en) 2007-03-29 2007-03-29 Vacuum processing apparatus, operating method of vacuum processing apparatus, and storage medium

Country Status (6)

Country Link
US (1) US20100022093A1 (en)
JP (1) JP4985031B2 (en)
KR (1) KR101220790B1 (en)
CN (2) CN101652851B (en)
TW (1) TW200903693A (en)
WO (1) WO2008120628A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012059819A (en) * 2010-09-07 2012-03-22 Tokyo Electron Ltd Substrate transfer method and storage medium
JP2013513951A (en) * 2009-12-10 2013-04-22 インテグリス・インコーポレーテッド Porous barrier to obtain purified gas evenly distributed in the microenvironment
JP2016058480A (en) * 2014-09-08 2016-04-21 信越半導体株式会社 Semiconductor substrate manufacturing method
JP2016539490A (en) * 2013-09-25 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Gas apparatus, system, and method for chamber port
JP2020017645A (en) * 2018-07-26 2020-01-30 株式会社Kokusai Electric Substrate processing apparatus
US20220230897A1 (en) * 2021-01-20 2022-07-21 Kokusai Electric Corporation Substrate processing apparatus
US11967513B2 (en) * 2021-01-20 2024-04-23 Kokusai Electric Corporation Substrate processing apparatus

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2775601C (en) * 2009-09-28 2017-10-03 Qilu Pharmaceutical Co., Ltd 4-(substituted anilino)-quinazoline derivatives useful as tyrosine kinase inhibitors
KR101713799B1 (en) * 2011-04-15 2017-03-09 주식회사 원익아이피에스 Apparatus and method manufacturing for semiconductor
JP2013172015A (en) * 2012-02-21 2013-09-02 Hitachi High-Technologies Corp Deposition device, and substrate transfer mechanism therefor
JP2013197232A (en) * 2012-03-19 2013-09-30 Hitachi Kokusai Electric Inc Substrate processing device, substrate processing method, method for manufacturing semiconductor device, program for executing the method, and recording medium storing program
KR101430661B1 (en) * 2012-08-29 2014-08-18 주식회사 에스에프에이 Slit valve
JP6083769B2 (en) * 2013-02-20 2017-02-22 国立研究開発法人産業技術総合研究所 Small manufacturing apparatus and manufacturing system using the same
US9245783B2 (en) * 2013-05-24 2016-01-26 Novellus Systems, Inc. Vacuum robot with linear translation carriage
US10010912B2 (en) * 2013-06-14 2018-07-03 Applied Materials, Inc. Particle reduction via throttle gate valve purge
US20150118012A1 (en) * 2013-10-31 2015-04-30 Lam Research Corporation Wafer entry port with gas concentration attenuators
CN105789088B (en) * 2014-12-26 2018-12-07 中微半导体设备(上海)有限公司 A kind of Etaching device and its engraving method improving chip processing yield
KR101661618B1 (en) 2015-06-16 2016-09-30 동부대우전자 주식회사 Integral filter type ice maker for refrigerator and manufacturing method for the same
US10731248B2 (en) 2016-01-15 2020-08-04 Tokyo Electron Limited Vacuum processing apparatus and operation method thereof
JP6240695B2 (en) * 2016-03-02 2017-11-29 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
JP6872328B2 (en) * 2016-09-06 2021-05-19 株式会社Screenホールディングス Vacuum drying device, vacuum drying system, vacuum drying method
JP6951923B2 (en) * 2017-09-27 2021-10-20 東京エレクトロン株式会社 Substrate processing equipment, substrate processing method and computer storage medium
WO2021044622A1 (en) * 2019-09-06 2021-03-11 キヤノンアネルバ株式会社 Load lock device
JP7458267B2 (en) * 2020-08-19 2024-03-29 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE TRANSFER METHOD
CN114464550A (en) * 2020-11-09 2022-05-10 东京毅力科创株式会社 Substrate processing system
KR20230104704A (en) * 2020-11-13 2023-07-10 어플라이드 머티어리얼스, 인코포레이티드 Devices and Systems for Delivering Gases to Process Chambers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10163147A (en) * 1996-12-25 1998-06-19 Sugai:Kk Chucking device for substrate cleaning apparatus
JP2001291758A (en) * 2000-11-27 2001-10-19 Tokyo Electron Ltd Vacuum processing equipment

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US7637477B2 (en) * 2004-12-17 2009-12-29 Tokyo Electron Limited Gate valve apparatus of vacuum processing system
JP4594800B2 (en) * 2005-06-02 2010-12-08 東京エレクトロン株式会社 Substrate processing method, substrate processing program, and storage medium

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10163147A (en) * 1996-12-25 1998-06-19 Sugai:Kk Chucking device for substrate cleaning apparatus
JP2001291758A (en) * 2000-11-27 2001-10-19 Tokyo Electron Ltd Vacuum processing equipment

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013513951A (en) * 2009-12-10 2013-04-22 インテグリス・インコーポレーテッド Porous barrier to obtain purified gas evenly distributed in the microenvironment
US9054144B2 (en) 2009-12-10 2015-06-09 Entegris, Inc. Porous barrier for evenly distributed purge gas in a microenvironment
KR101832512B1 (en) 2009-12-10 2018-02-26 엔테그리스, 아이엔씨. Porous barrier for evenly distributed purge gas in a microenvironment
US10032660B2 (en) 2009-12-10 2018-07-24 Entegris, Inc. Porous barrier for evenly distributed purge gas in a microenvironment
JP2012059819A (en) * 2010-09-07 2012-03-22 Tokyo Electron Ltd Substrate transfer method and storage medium
JP2016539490A (en) * 2013-09-25 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Gas apparatus, system, and method for chamber port
US10381247B2 (en) 2013-09-25 2019-08-13 Applied Materials, Inc. Gas systems and methods for chamber ports
JP2016058480A (en) * 2014-09-08 2016-04-21 信越半導体株式会社 Semiconductor substrate manufacturing method
JP2020017645A (en) * 2018-07-26 2020-01-30 株式会社Kokusai Electric Substrate processing apparatus
US20220230897A1 (en) * 2021-01-20 2022-07-21 Kokusai Electric Corporation Substrate processing apparatus
US11967513B2 (en) * 2021-01-20 2024-04-23 Kokusai Electric Corporation Substrate processing apparatus

Also Published As

Publication number Publication date
CN102157420A (en) 2011-08-17
US20100022093A1 (en) 2010-01-28
KR101220790B1 (en) 2013-01-11
TW200903693A (en) 2009-01-16
WO2008120628A1 (en) 2008-10-09
CN101652851A (en) 2010-02-17
JP4985031B2 (en) 2012-07-25
CN101652851B (en) 2011-06-08
KR20100014613A (en) 2010-02-10

Similar Documents

Publication Publication Date Title
JP4985031B2 (en) Vacuum processing apparatus, operating method of vacuum processing apparatus, and storage medium
TWI544168B (en) A gate valve device, a substrate processing device, and a substrate processing method
JP4251580B1 (en) Containment transport system
JP4642619B2 (en) Substrate processing system and method
KR100799415B1 (en) Purge system for a product container and table for use in the purge system
KR101664939B1 (en) Load lock device
JP5208948B2 (en) Vacuum processing system
JP5785712B2 (en) Vacuum processing equipment
CN108428654B (en) Substrate processing system and substrate conveying method
JP6120621B2 (en) Vacuum processing apparatus and operation method thereof
TWI613319B (en) Substrate processing apparatus and method of manufacturing semiconductor apparatus
JP4684310B2 (en) Substrate processing equipment
US11535932B2 (en) Film forming method and film forming apparatus
JP5710194B2 (en) Vacuum processing equipment
JP2012129232A (en) Substrate processing apparatus and manufacturing method of semiconductor device
JP6906559B2 (en) Substrate processing equipment, semiconductor equipment manufacturing methods and programs
JP7379042B2 (en) Vacuum transfer device and vacuum transfer device control method
KR20200108467A (en) Processing device, exhaust system, manufacturing method of semiconductor device
JP2014120618A (en) Vacuum processing apparatus and vacuum processing method
JP7018370B2 (en) Manufacturing methods and programs for substrate processing equipment and semiconductor equipment
JP2011222656A (en) Substrate treatment apparatus
JP7386738B2 (en) Substrate transport method and substrate processing equipment
JP2024020926A (en) Substrate processing equipment, semiconductor device manufacturing method and program
WO2014041656A1 (en) Vacuum processing device
JP2004300464A (en) Film-forming apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120123

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120403

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120416

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees