JP2008028405A - Semiconductor thin-film reforming apparatus - Google Patents

Semiconductor thin-film reforming apparatus Download PDF

Info

Publication number
JP2008028405A
JP2008028405A JP2007205151A JP2007205151A JP2008028405A JP 2008028405 A JP2008028405 A JP 2008028405A JP 2007205151 A JP2007205151 A JP 2007205151A JP 2007205151 A JP2007205151 A JP 2007205151A JP 2008028405 A JP2008028405 A JP 2008028405A
Authority
JP
Japan
Prior art keywords
substrate
thin film
laser
semiconductor thin
irradiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007205151A
Other languages
Japanese (ja)
Other versions
JP4900128B2 (en
Inventor
Hiroshi Tanabe
浩 田邉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2007205151A priority Critical patent/JP4900128B2/en
Publication of JP2008028405A publication Critical patent/JP2008028405A/en
Application granted granted Critical
Publication of JP4900128B2 publication Critical patent/JP4900128B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To exclusively irradiate desired regions with a laser beam by suppressing position deviation between a stage and a substrate. <P>SOLUTION: A semiconductor thin-film reforming apparatus includes a gastight vessel which can accommodate a substrate coated with a semiconductor material on a prescribed substrate setting section, a light source that radiates a light for irradiating the semiconductor material to heat the semiconductor material to a predetermined heat-treatment temperature, a light transmitting window provided on a wall of the gastight vessel for introducing the light from the light source into the gastight vessel by transmitting through the window, a holding means provided on the substrate setting section for fixing and holding the substrate on the substrate setting section, and a pressure controlling means for controlling the atmospheric pressure inside the gastight vessel during the light irradiation so as not to be lower than the vapor pressure of the semiconductor material melted by heating with the light irradiation, wherein the vapor pressure depends on the temperature of the melted semiconductor material. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体薄膜改質装置に関し、特にレーザ結晶化技術(レーザ光を照射することにより、基板上に付着された非晶質半導体材料を多結晶化する技術)を用いた半導体薄膜改質装置に関するものである。   The present invention relates to a semiconductor thin film reformer, and in particular, a semiconductor thin film reformer using a laser crystallization technique (a technique for polycrystallizing an amorphous semiconductor material deposited on a substrate by irradiating a laser beam). It relates to the device.

従来、ディスプレイ、センサ、プリンティングデバイス等の駆動素子としては、ガラス基板等の上に形成された電界効果型薄膜トランジスタ(TFT)が多用されている。このようなTFTを形成する代表的な技術としては、水素化アモルファスシリコンTFT技術、および多結晶シリコンTFT技術が挙げられる。   Conventionally, a field effect thin film transistor (TFT) formed on a glass substrate or the like is frequently used as a driving element for a display, a sensor, a printing device, or the like. Representative techniques for forming such TFTs include hydrogenated amorphous silicon TFT technology and polycrystalline silicon TFT technology.

前者は作製プロセスの最高温度が300℃程度であり、移動度1cm2/Vsec程度のキャリア移動度を実現している。この技術はアクティブマトリクス型(AM−)液晶ディスプレイ(LCD)における各画素のスイッチングトランジスタの製造に用いられる。なお、AM−LCDは、画素毎に駆動用のTFTを有し、画面周辺に配置されたドライバー集積回路(IC、単結晶シリコン基板上に形成されたLSI)によって各画素のTFTを駆動するLCDであり、各画素毎にスイッチング用TFTがついているため、周辺ドライバ回路から液晶駆動用の電気信号を送るパッシブマトリクス型LCDに比べ、クロストーク等が低減され良好な画像品質を得られるという特徴を有する。 In the former, the maximum temperature of the manufacturing process is about 300 ° C., and carrier mobility of about 1 cm 2 / Vsec is realized. This technology is used for manufacturing a switching transistor of each pixel in an active matrix type (AM-) liquid crystal display (LCD). Note that the AM-LCD has a driving TFT for each pixel, and an LCD that drives the TFT of each pixel by a driver integrated circuit (IC, LSI formed on a single crystal silicon substrate) arranged around the screen. Since each pixel has a switching TFT, compared to passive matrix LCDs that send electrical signals for driving liquid crystals from the peripheral driver circuit, crosstalk is reduced and good image quality can be obtained. Have.

一方、後者は例えば石英基板を用い、1000℃程度のLSIと類似した高温プロセスを用いることで、キャリア移動度30〜100cm2/Vsecの性能を得ることができる。このような高いキャリア移動度の実現は、例えば液晶ディスプレイに応用した場合、各画素を駆動する画素TFTと周辺駆動回路部とを同一ガラス基板上に同時に形成することができ、製造プロセスコストの低減およびLCDの小型化に関する利点がある。すなわち、従来のようにタブ接続やワイヤボンディング法を用いてTFTと周辺駆動回路とを接続していたのでは、LCDの小型化や高解像度化によるAM−LCD基板と周辺ドライバー集積回路との接続ピッチの狭小化に対応することは困難である。しかし、このような多結晶シリコンTFT技術は、小型化に関する利点を有する一方で、高温プロセスを用いる場合に前者のプロセスで使用可能な安価な低軟化点ガラスを使用できないという問題点がある。 On the other hand, for the latter, for example, a quartz substrate is used, and by using a high temperature process similar to an LSI of about 1000 ° C., a carrier mobility of 30 to 100 cm 2 / Vsec can be obtained. Realization of such high carrier mobility, for example, when applied to a liquid crystal display, the pixel TFT for driving each pixel and the peripheral drive circuit section can be simultaneously formed on the same glass substrate, thereby reducing the manufacturing process cost. And there is an advantage related to miniaturization of LCD. That is, when the TFT and the peripheral drive circuit are connected by using tab connection or wire bonding as in the prior art, the connection between the AM-LCD substrate and the peripheral driver integrated circuit due to the downsizing and high resolution of the LCD. It is difficult to cope with the narrowing of the pitch. However, such a polycrystalline silicon TFT technology has an advantage in terms of downsizing, but has a problem that an inexpensive low softening point glass that can be used in the former process cannot be used when a high temperature process is used.

そこで、以上の問題点を解決すべく、多結晶シリコンTFTプロセスにおける温度低減が必要であり、レーザ結晶化技術を応用した多結晶シリコン膜の低温形成技術が盛んに研究・開発されている。例えば短波長パルスレーザ光を照射し、非晶質基板上の非晶質シリコン薄膜を結晶化し、薄膜トランジスタに応用する技術が、特許文献1に開示されている。本手法によれば基板全体を高温にすることなく非晶質シリコンの結晶化が可能であるため、液晶ディスプレイ等の大面積かつガラス等の安価な基板上への半導体素子、半導体集積回路を作製できるという利点がある。ところが、上記公報においても述べられているように、短波長レーザによる非晶質シリコン薄膜の結晶化には50〜500mJ/cm2程度の照射強度が必要である。 Therefore, in order to solve the above problems, it is necessary to reduce the temperature in the polycrystalline silicon TFT process, and a technique for forming a polycrystalline silicon film at a low temperature by applying a laser crystallization technique has been actively researched and developed. For example, Patent Document 1 discloses a technique in which an amorphous silicon thin film on an amorphous substrate is crystallized by irradiating a short wavelength pulse laser beam and applied to a thin film transistor. According to this method, it is possible to crystallize amorphous silicon without increasing the temperature of the entire substrate. Therefore, a semiconductor element or a semiconductor integrated circuit is manufactured on a large area such as a liquid crystal display and an inexpensive substrate such as glass. There is an advantage that you can. However, as described in the above publication, irradiation intensity of about 50 to 500 mJ / cm 2 is required for crystallization of an amorphous silicon thin film by a short wavelength laser.

一方、現在一般に入手できるパルスレーザ装置の発光出力は最大1J/パルス程度であり、単純換算によっても一度に照射できる面積は2〜20cm2程度にすぎない。したがって、例えば基板サイズが47×37cm2の基板全面をレーザ結晶化するためには、少なくとも87〜870箇所にレーザ照射が必要となる。また、1m角というように基板サイズが拡大すれば、同様に照射箇所数が増加する。さらに、基板に照射されるビーム形状をライン状(長さ100〜300mm、幅1〜0.1mm程度)とし、幅方向にビームを走査することにより、ビームの走査方向を2軸(x軸およびy軸)から1軸(x軸)とするような試みもなされている。 On the other hand, the light emission output of currently available pulse laser devices is about 1 J / pulse at maximum, and the area that can be irradiated at one time is only about 2 to 20 cm 2 even by simple conversion. Therefore, in order to crystallize the entire surface of the substrate having a substrate size of 47 × 37 cm 2 , for example, at least 87 to 870 points need to be irradiated with laser. In addition, if the substrate size is increased to 1 m square, the number of irradiation points is similarly increased. Furthermore, the beam shape irradiated to the substrate is a line shape (length: 100 to 300 mm, width: about 1 to 0.1 mm), and the beam is scanned in the width direction so that the beam scanning direction is two axes (x axis and Attempts have been made to change from the y-axis) to the 1-axis (x-axis).

一般に、これらのレーザ結晶化は図14に示すような構成のパルスレーザ照射装置により実現される。
図14は、従来のELA装置を示す説明図である。同図に示すように、パルスレーザ光源1401から供給されるレーザ光は、ミラー1402,1403,1405および空間的な強度の均一化を行うべく設置されるビームホモジナイザ1404等の光学素子群によって規定される光路1406を介して、被照射体であるガラス基板1408上のシリコン薄膜1407に到達する。一般に一照射範囲はガラス基板に比べて小さいため、xyステージ1409上のガラス基板1408を移動させることにより、基板上の任意の位置へのレーザ照射が行われている。xyステージ1409を用いる代わりに、上述の光学素子群を移動させたり、光学素子群とステージを組み合わせたりする構成を用いることも可能である。
In general, these laser crystallizations are realized by a pulse laser irradiation apparatus having a configuration as shown in FIG.
FIG. 14 is an explanatory view showing a conventional ELA apparatus. As shown in the figure, the laser light supplied from the pulsed laser light source 1401 is defined by optical elements such as mirrors 1402, 1403, 1405 and a beam homogenizer 1404 installed to make the spatial intensity uniform. The silicon thin film 1407 on the glass substrate 1408 that is an irradiated body is reached through the optical path 1406. In general, since one irradiation range is smaller than that of a glass substrate, laser irradiation is performed on an arbitrary position on the substrate by moving the glass substrate 1408 on the xy stage 1409. Instead of using the xy stage 1409, a configuration in which the above-described optical element group is moved, or a combination of the optical element group and the stage can be used.

ビーム照射形状を基板の一辺と同等の長さの線状にし、基板が配置されたYステージを移動させながらビームを照射することもできる。このとき、ステージの移動とパルス光の供給とは次のような手順で行われる。
1)ステージが一定速度で移動するのと同時に、パルスレーザを一定周期で発振供給する。
2)(ステージを1ステップ移動させて停止)+(パルスレーザを1パルス供給)を繰り返す。
It is also possible to irradiate the beam while moving the Y stage on which the substrate is arranged, by making the beam irradiation shape into a linear shape having a length equivalent to one side of the substrate. At this time, the movement of the stage and the supply of the pulsed light are performed in the following procedure.
1) Simultaneously with the stage moving at a constant speed, the pulse laser is oscillated and supplied at a constant period.
2) Repeat (the stage is moved by one step and stopped) + (one pulse laser is supplied).

図15は、ビームの照射形状を矩形にした場合の従来の照射方法を示す説明図である。一般にガラス基板に比べて一照射範囲1502が小さいため、xyステージ上のガラス基板1501を移動させることにより基板上の任意の位置へのレーザ照射が行われる。xyステージを用いる代わりに、光学素子群の移動(例えばX方向)とステージの移動(Y方向)を組み合わせた構成を用いてもよい。このような方法をとることにより結晶化領域1503を順次形成する。このときのステージ移動とパルス光の供給は次のような手順で行われる。なお、1504はチャンバに設けられたレーザ導入窓である。   FIG. 15 is an explanatory diagram showing a conventional irradiation method when the beam irradiation shape is rectangular. Since one irradiation range 1502 is generally smaller than that of a glass substrate, laser irradiation is performed on an arbitrary position on the substrate by moving the glass substrate 1501 on the xy stage. Instead of using the xy stage, a configuration in which the movement of the optical element group (for example, the X direction) and the movement of the stage (the Y direction) may be used. By using such a method, the crystallized regions 1503 are sequentially formed. The stage movement and supply of pulsed light at this time are performed in the following procedure. Reference numeral 1504 denotes a laser introduction window provided in the chamber.

3)ステージが一定速度で移動するのと同時に、パルスレーザを一定周期で発振供給する。
4)(ステージ1ステップ移動して停止)+(パルスレーザを1パルス以上供給)を繰り返す。
3) Simultaneously with the stage moving at a constant speed, the pulse laser is oscillated and supplied at a constant period.
4) (Stage is moved by one step on the stage and stopped) + (pulse laser is supplied for one pulse or more) is repeated.

以上、線状ビームあるいは矩形ビームを用いたレーザ結晶化において、基板ステージの移動手段が利用される。
レーザ照射は真空チャンバ内で真空中あるいは高純度ガス雰囲気下で行われることもある。また、必要に応じて、図14に示すようなシリコン薄膜付きガラス基板入りカセット1410と基板搬送機構1411とを有し、機械的にカセットとステージ間の基板の取り出し収納を行うこともできる。以上のような手法を用いた場合、ガラス基板はxyステージ上に配置されるのみで、特にステージに固定保持されるような手段は採られなかった。
As described above, the moving means of the substrate stage is used in laser crystallization using a linear beam or a rectangular beam.
Laser irradiation may be performed in a vacuum chamber or in a high purity gas atmosphere in a vacuum chamber. Further, if necessary, it has a cassette 1410 containing a glass substrate with a silicon thin film and a substrate transport mechanism 1411 as shown in FIG. 14, and the substrate can be mechanically taken out and stored between the cassette and the stage. When the above-described method is used, the glass substrate is only disposed on the xy stage, and no means for fixing and holding the glass substrate is used.

特公平7−118443号公報Japanese Patent Publication No.7-118443

しかしながら、ビームの利用効率を高めるためには、所望の領域のみにレーザを照射し、レーザ照射の必要のない部分(例えばデバイス切断時のきりしろ)への照射を避けることによってレーザ照射箇所を削減し、レーザビームの利用効率を高める必要があり、そのためにはデバイス作成領域とレーザ照射領域を一致させる必要があり、ステージ上での基板のずれを防止しなければならない。特にステージが座標系を有し、それを基準にして照射位置制御を行うためには、ずれの防止が必要不可欠である。また、半導体薄膜の成膜や基板の加熱などにより、ガラス基板にたわみが生じると焦点がずれるため、たわみを補正するために基板をステージに密着させる必要がある。
本発明は、このような課題を解決するためのものであり、ステージと基板との位置ずれを抑制することにより、所望の領域のみにレーザを照射することができるようにした半導体薄膜改質装置を提供することを目的とする。
However, in order to increase the beam utilization efficiency, the laser irradiation point is reduced by irradiating only the desired area with the laser and avoiding the irradiation to the part that does not need the laser irradiation (for example, the margin when cutting the device). However, it is necessary to increase the utilization efficiency of the laser beam. For this purpose, it is necessary to match the device creation region and the laser irradiation region, and it is necessary to prevent the substrate from being displaced on the stage. In particular, in order to control the irradiation position based on the coordinate system of the stage, it is indispensable to prevent deviation. In addition, when the glass substrate is bent due to the formation of a semiconductor thin film or the substrate is heated, the focus is shifted. Therefore, it is necessary to bring the substrate into close contact with the stage in order to correct the deflection.
The present invention is for solving such a problem, and a semiconductor thin film reforming apparatus capable of irradiating only a desired region with a laser by suppressing positional deviation between the stage and the substrate. The purpose is to provide.

本発明の半導体薄膜改質装置は、半導体薄膜を有する基板を載置するための基板載置部およびレーザ光を透過するための光透過窓を備えた密閉容器と、前記密閉容器の外部に設置され、前記光透過窓を介して前記半導体薄膜を溶融加熱するためのレーザ光を照射するレーザ光照射手段と、前記基板を前記基板載置部に固定保持するための保持手段と、前記密閉容器内に供給するガス流量を調整することにより、前記レーザ光の照射時における前記密閉容器内の雰囲気圧力を、溶融加熱された前記半導体薄膜の温度によって規定される蒸気圧以上となるように制御する圧力制御手段と、前記レーザ光の光軸に対して、前記基板載置部に固定保持された基板のアライメントを調整するアライメント機構と、前記レーザ光に対して、前記基板載置部に固定保持された基板の焦点合わせ方向の位置を調整する焦点合わせ機構と、前記レーザ光を前記基板へ光学マスクを介して照射可能とするマスクステージとを備え、前記マスクステージは、前記アライメント調整と前記焦点合わせとが完了した時点で、基板上の所望の位置に前記レーザ光を照射すべく移動を開始し、前記レーザ光照射手段は、前記マスクステージが移動を開始した後に、前記アライメント調整の位置から離れた地点に露光する分のオフセット量を考慮して前記レーザ光を照射することを特徴とするものである。   A semiconductor thin film reforming apparatus according to the present invention is installed in a sealed container having a substrate placement portion for placing a substrate having a semiconductor thin film and a light transmission window for transmitting laser light, and outside the sealed container. Laser beam irradiation means for irradiating laser light for melting and heating the semiconductor thin film through the light transmission window, holding means for fixing and holding the substrate on the substrate mounting portion, and the sealed container By adjusting the gas flow rate supplied to the inside, the atmospheric pressure in the sealed container at the time of irradiation with the laser light is controlled to be equal to or higher than the vapor pressure defined by the temperature of the melted and heated semiconductor thin film. A pressure control unit; an alignment mechanism for adjusting alignment of the substrate fixedly held by the substrate platform with respect to the optical axis of the laser beam; and the substrate platform with respect to the laser beam. A focusing mechanism that adjusts the position of the fixedly held substrate in the focusing direction; and a mask stage that enables the laser light to be irradiated onto the substrate through an optical mask. The mask stage includes the alignment adjustment and When the focusing is completed, the laser beam irradiation unit starts moving to irradiate the laser beam to a desired position on the substrate, and the laser beam irradiation unit performs the alignment adjustment after the mask stage starts moving. The laser beam is irradiated in consideration of an offset amount for exposure at a point distant from the position.

また、本発明はその他の態様として以下に示す構成を含むものである。
すなわち、前記アライメント機構及び焦点合わせ機構は、前記基板の露光位置の誤差精度が0.1〜100μmの範囲に入るよう前記基板のアライメント及び焦点合わせ方向の位置を調整する。
前記保持手段は、減圧吸着手段である。
前記保持手段は、静電吸着手段である。
前記基板は、ガラス基板であり、前記半導体薄膜は、シリコン薄膜である。
また、本発明は、前記密閉容器内に窒素または不活性ガスを導入する手段と、前記密閉容器内に酸素ガスを導入する手段とをさらに有する。
前記半導体薄膜改質装置は、電界効果型薄膜トランジスタの製造に用いられる。
前記電界効果型薄膜トランジスタは、アクティブマトリクス型液晶装置の駆動素子として用いられる。
Moreover, this invention includes the structure shown below as another aspect.
That is, the alignment mechanism and the focusing mechanism adjust the position of the substrate in the alignment and focusing directions so that the error accuracy of the exposure position of the substrate falls within the range of 0.1 to 100 μm.
The holding means is a reduced pressure adsorption means.
The holding means is an electrostatic adsorption means.
The substrate is a glass substrate, and the semiconductor thin film is a silicon thin film.
The present invention further includes means for introducing nitrogen or inert gas into the sealed container and means for introducing oxygen gas into the sealed container.
The semiconductor thin film reformer is used for manufacturing a field effect thin film transistor.
The field effect thin film transistor is used as a driving element of an active matrix liquid crystal device.

そのため、本発明を用いることにより、基板のずれが防止されて照射位置が精密に制御可能となるため、レーザ照射箇所を削減し、レーザビームの利用効率を高めることができる。特にステージが座標系を有し、それを基準に照射位置制御を行う場合において、ずれ防止の効果が大きい。また、半導体薄膜の成膜や基板の加熱などにより、ガラス基板にたわみが生じる条件でも、基板がステージに固定されたため、たわみの補正が可能となり、ビームの焦点ずれを防ぐことを実現できる。   Therefore, by using the present invention, displacement of the substrate is prevented and the irradiation position can be precisely controlled, so that the number of laser irradiation points can be reduced and the utilization efficiency of the laser beam can be increased. In particular, when the stage has a coordinate system and the irradiation position is controlled based on the coordinate system, the effect of preventing the deviation is great. Further, since the substrate is fixed to the stage even under a condition in which the glass substrate is bent due to the formation of a semiconductor thin film or the heating of the substrate, the deflection can be corrected and the defocusing of the beam can be prevented.

以上説明したとおり本発明は、非晶質半導体薄膜を有する基板を載置するための基板載置部およびレーザ光を透過するための光透過窓を備えた密閉容器と、前記密閉容器の外部に設置され、前記光透過窓を介して前記非晶質半導体薄膜を溶融加熱するためのレーザ光を照射するレーザ光照射手段と、前記基板を前記基板載置部に固定保持するための保持手段と、前記密閉容器内に供給するガス流量を調整することにより、前記レーザ光の照射時における前記密閉容器内の雰囲気圧力を、溶融加熱された前記非晶質半導体薄膜の温度によって規定される蒸気圧以上となるように制御する圧力制御手段を備える。   As described above, the present invention provides a sealed container provided with a substrate placement portion for placing a substrate having an amorphous semiconductor thin film and a light transmission window for transmitting laser light, and outside the sealed container. A laser beam irradiation unit that is installed and irradiates a laser beam for melting and heating the amorphous semiconductor thin film through the light transmission window; and a holding unit for fixing and holding the substrate on the substrate mounting portion; By adjusting the flow rate of gas supplied into the sealed container, the atmospheric pressure in the sealed container at the time of irradiation with the laser light is controlled by the vapor pressure defined by the temperature of the melted and heated amorphous semiconductor thin film. Pressure control means for controlling to be as described above is provided.

そのため、本発明を用いることにより、基板のずれが防止されて照射位置が精密に制御可能となるため、レーザ照射箇所を削減し、レーザビームの利用効率を高めることができる。特にステージが座標系を有し、それを基準に照射位置制御を行う場合において、ずれ防止の効果が大きい。また、半導体薄膜の成膜や基板の加熱などにより、ガラス基板にたわみが生じる条件でも、基板がステージに固定されたため、たわみの補正が可能となり、ビームの焦点ずれを防ぐことを実現できる。   Therefore, by using the present invention, displacement of the substrate is prevented and the irradiation position can be precisely controlled, so that the number of laser irradiation points can be reduced and the utilization efficiency of the laser beam can be increased. In particular, when the stage has a coordinate system and the irradiation position is controlled based on the coordinate system, the effect of preventing the deviation is great. Further, since the substrate is fixed to the stage even under a condition in which the glass substrate is bent due to the formation of a semiconductor thin film or the heating of the substrate, the deflection can be corrected and the defocusing of the beam can be prevented.

次に、本発明の一つの実施の形態について図を用いて説明する。
レーザ結晶化プロセスにおいては、使用する半導体材料以外の金属不純物の混入防止が必要であり、半導体デバイス製造プロセスで用いられる他のプロセス、例えばCVDプロセスと同様に、10〜5torr(なお、1torr=1/(7.50062×10-3)Pa、以下同じ)を超えるような高真空排気が可能な真空容器が必要とされる。CVDプロセスでは一旦高真空排気された後に、10-4〜10-1torr程度に制御された原料ガス、キャリアガス等が導入され、熱あるいはプラズマをガス分解手段として用いて反応前駆体を形成し基板上に所望の膜を形成する。一方、レーザ結晶化プロセスでは、特に反応性のガスを必要としないため、10-5torrを超えるような高真空雰囲気、あるいは10-4〜10-1torr程度に制御された不活性ガス雰囲気で、そのプロセスが実施されてきた。ところがレーザ結晶化プロセスを大量生産に用いるような場合、レーザ照射により半導体材料が加熱昇温され半導体材料原子が気化し、レーザ導入窓に付着し、その結果レーザの透過率が低下し、照射強度が経時的に変化するという問題が生じている。
Next, an embodiment of the present invention will be described with reference to the drawings.
In the laser crystallization process, it is necessary to prevent the mixing of metal impurities other than the semiconductor material to be used. Similar to other processes used in the semiconductor device manufacturing process, for example, the CVD process, 10 to 5 torr (Note that 1 torr = 1) /(7.50062×10 −3 ) Pa, the same shall apply hereinafter) and a vacuum vessel capable of high vacuum evacuation is required. In the CVD process, after high vacuum evacuation, a source gas, carrier gas, etc. controlled to about 10 −4 to 10 −1 torr are introduced, and a reaction precursor is formed using heat or plasma as a gas decomposition means. A desired film is formed on the substrate. On the other hand, in the laser crystallization process, a reactive gas is not particularly required. Therefore, in a high vacuum atmosphere exceeding 10 −5 torr or an inert gas atmosphere controlled to about 10 −4 to 10 −1 torr. That process has been implemented. However, when the laser crystallization process is used for mass production, the semiconductor material is heated and heated by laser irradiation, and the semiconductor material atoms are vaporized and adhere to the laser introduction window. As a result, the laser transmittance decreases, and the irradiation intensity There is a problem that changes with time.

そこで、本実施の形態においては、このような課題を解決する手段として、チャンバ内に導入する不活性ガスの圧力を大気圧前後まで高く設定することにより、ガス導入窓への半導体材料の付着を防止する。また、高真空雰囲気におかれた場合に比べてステージとの摩擦が小さくなってステージ上での基板のずれが生じやすくなるため、所定の保持手段を使って基板をステージ上に固定保持する。大気圧前後の高い圧力雰囲気下であることから、この保持手段としては減圧吸着手段(真空チャック等)を用いることができる。もちろん、減圧吸着手段に限らず他の手段を用いてもよく、例えば静電吸着手段などを用いてもよい。   Therefore, in the present embodiment, as a means for solving such a problem, the pressure of the inert gas introduced into the chamber is set high up to about atmospheric pressure, so that the semiconductor material adheres to the gas introduction window. To prevent. Further, since the friction with the stage is smaller than that in a high vacuum atmosphere and the substrate is likely to be displaced on the stage, the substrate is fixedly held on the stage using a predetermined holding means. Since it is in a high-pressure atmosphere around atmospheric pressure, a decompression suction means (such as a vacuum chuck) can be used as this holding means. Of course, other means may be used instead of the reduced pressure suction means, and for example, an electrostatic suction means may be used.

また、上記のような不活性ガス等による大気圧前後の高い圧力雰囲気の目安としては、半導体材料の蒸気圧程度の圧力が必要となる。これは半導体材料表面での半導体材料ガス密度が1/2程度以下となり、レーザ導入窓付近ではより小さくなることによるものである。   In addition, as a standard for a high-pressure atmosphere around the atmospheric pressure such as the above-described inert gas, a pressure about the vapor pressure of the semiconductor material is required. This is because the semiconductor material gas density on the surface of the semiconductor material is about ½ or less and becomes smaller near the laser introduction window.

図1は、本発明の実施の形態を示す装置断面図である。同図に示すように、レーザ光源から供給されたレーザ光101は、ビームホモジナイザやミラー等の光学素子群を経て、マスク102に供給される。マスク102を通ったビーム103は、マスク102に形成された開口パターンにより所望のビーム形状に形成され、投影レンズ(図示せず)、窓104を介して基板107の表面に照射される。基板107上に予め堆積されたアモルファス半導体薄膜、すなわち未照射領域106は、レーザ照射により溶融再結晶化されて結晶性薄膜である被照射領域105となる。基板107は保持手段109によってステージ110上に固定保持されている。以上の装置を含む真空容器は、圧力制御装置112によってその雰囲気圧力がコントロールされるとともに、ゲートバルブ108を介して、基板搬送手段を備えた真空容器111に接続されている。すなわち、圧力制御装置112は、光の照射時における容器内の雰囲気圧力を、光照射によって溶融加熱された半導体材料の温度によって規定される蒸気圧を下まわらないように制御する。   FIG. 1 is an apparatus cross-sectional view showing an embodiment of the present invention. As shown in the figure, a laser beam 101 supplied from a laser light source is supplied to a mask 102 through an optical element group such as a beam homogenizer and a mirror. The beam 103 that has passed through the mask 102 is formed into a desired beam shape by an opening pattern formed in the mask 102, and is irradiated onto the surface of the substrate 107 through a projection lens (not shown) and a window 104. The amorphous semiconductor thin film deposited on the substrate 107 in advance, that is, the unirradiated region 106 is melted and recrystallized by laser irradiation to become an irradiated region 105 that is a crystalline thin film. The substrate 107 is fixedly held on the stage 110 by the holding means 109. The vacuum vessel including the above devices is controlled in atmospheric pressure by a pressure control device 112 and connected to a vacuum vessel 111 having a substrate transfer means via a gate valve 108. That is, the pressure control device 112 controls the atmospheric pressure in the container at the time of light irradiation so that the vapor pressure defined by the temperature of the semiconductor material melted and heated by the light irradiation does not fall below.

図2は、本発明の実施の形態を示す装置断面図である。同図に示すように、基板201は基板搬送手段(図示せず)により基板上下機構207のピン上に移載される。ステージ202は基板201の大まかな位置決めをするためのステージ(ガイド部)203およびピン通過口204を有している。基板固定のために設けられた吸着口205は吸着排気ライン206に接続されている。基板搬送手段が退避した後、雰囲気を隔離するためのゲートバルブの閉止、およびガスの導入と前後して、基板上下機構が下降し、基板201がステージ202上に置かれる。このとき吸着機構が作動し、基板201はステージ202上に固定保持される。   FIG. 2 is a sectional view of an apparatus showing an embodiment of the present invention. As shown in the figure, the substrate 201 is transferred onto the pins of the substrate up-and-down mechanism 207 by a substrate transfer means (not shown). The stage 202 has a stage (guide portion) 203 and a pin passage opening 204 for roughly positioning the substrate 201. An adsorption port 205 provided for fixing the substrate is connected to an adsorption exhaust line 206. After the substrate transfer means is retracted, the substrate up-and-down mechanism is lowered and the substrate 201 is placed on the stage 202 before and after closing the gate valve for isolating the atmosphere and introducing the gas. At this time, the suction mechanism is activated, and the substrate 201 is fixedly held on the stage 202.

図3は、本発明の実施の形態を示す斜視図である。第1のエキシマレーザEL1および第2のエキシマレーザEL2から供給されるパルスUV光はミラー類opt3,opt3’、およびレンズ類opt4を介して、ホモジナイザopt20’に導かれる。ここでビームの強度プロファイルが光学マスクopt21で所望の均一度、例えば面内分布±5%、になるように整形される。なお、エキシマレーザから供給されるオリジナルのビームは、その強度プロファイルや総エネルギー量がパルス間毎に変化する場合があるため、光学マスク上での強度が、空間的分布、パルス間ばらつきについて、より均一化されるための機構が設けられることが望ましい。また、ホモジナイザopt20’としては、フライアイレンズやシリンドリカルレンズを用いたものが一般的に用いられる。   FIG. 3 is a perspective view showing an embodiment of the present invention. The pulsed UV light supplied from the first excimer laser EL1 and the second excimer laser EL2 is guided to the homogenizer opt20 'via the mirrors opt3, opt3' and the lenses opt4. Here, the beam intensity profile is shaped by the optical mask opt21 so as to have a desired uniformity, for example, an in-plane distribution of ± 5%. Note that the intensity profile and total energy amount of the original beam supplied from the excimer laser may vary from pulse to pulse, so the intensity on the optical mask is more sensitive to spatial distribution and variations between pulses. It is desirable to provide a mechanism for homogenization. Further, as the homogenizer opt 20 ', those using a fly-eye lens or a cylindrical lens are generally used.

上記光学マスクによって形成された光パターンは縮小投影露光装置opt23’、レーザ導入窓W0を介して、真空チャンバC0内に設置された基板sub0に照射される。基板sub0は、基板ステージS0上に載置されており、基板ステージS0の移動(図中のXまたはYの方向に移動)によって所望の領域、例えばパターン転写領域ex0に光パターンを露光することができる。なお、図3においては縮小投影光学系を示したが、場合によっては等倍、拡大投影を行う光学系を用いても構わない。また、上記光学マスクをマスクステージ(図示せず)上に設置し、上記光学マスクを移動することにより、基板上の所望の位置にビームを照射するようにしてもよい。   The light pattern formed by the optical mask is irradiated onto the substrate sub0 installed in the vacuum chamber C0 through the reduction projection exposure apparatus opt23 'and the laser introduction window W0. The substrate sub0 is placed on the substrate stage S0, and the optical pattern can be exposed to a desired region, for example, the pattern transfer region ex0, by moving the substrate stage S0 (moving in the X or Y direction in the drawing). it can. Although FIG. 3 shows a reduction projection optical system, an optical system that performs enlargement projection at the same magnification may be used in some cases. Further, the optical mask may be placed on a mask stage (not shown), and the optical mask may be moved to irradiate a desired position on the substrate.

次に所望の光パターンを所望の条件で基板上に照射するために必要な機構について例示する。光軸の調整には微妙な調整が必要となるため、一旦調整を終えた光軸を固定して基板の位置を調整する方法を示す。光軸に対する基板照射面の位置は、焦点(Z)方向位置および光軸に対する垂直度を補正する必要がある。したがって、図中θxy傾き補正方向、θxz傾き補正方向、θyz傾き補正方向、X露光領域移動方向、Y露光領域移動方向、Z焦点合わせ方向で示すうち、θxy傾き補正方向、θxz傾き補正方向、θyz傾き補正方向の調整により光軸に対する垂直度を補正する。また、Z焦点合わせ方向を調整することにより光学系の焦点深度にあった位置に基板照射面を配置制御する。   Next, a mechanism necessary for irradiating a substrate with a desired light pattern under desired conditions will be exemplified. Since adjustment of the optical axis requires fine adjustment, a method of adjusting the position of the substrate by fixing the optical axis once adjusted is shown. The position of the substrate irradiation surface with respect to the optical axis needs to correct the position in the focal (Z) direction and the perpendicularity to the optical axis. Accordingly, among the θxy inclination correction direction, θxz inclination correction direction, θyz inclination correction direction, X exposure area movement direction, Y exposure area movement direction, and Z focusing direction in the figure, θxy inclination correction direction, θxz inclination correction direction, θyz The degree of perpendicularity to the optical axis is corrected by adjusting the tilt correction direction. Further, by adjusting the Z focusing direction, the substrate irradiation surface is arranged and controlled at a position corresponding to the focal depth of the optical system.

図4は、上記の調整や基板のアライメント機構を示す側面図である。L0露光軸に対して、光学マスクopt21、縮小投影露光装置opt23’、レーザ導入窓W0が同図のように配置される。真空チャンバC0内に配置された基板sub0は、基板吸着機構付きヒータH0、基板XYZθxyθxzθyzステージS0’上に配置される。真空チャンバを用いているが実際の光照射は、真空排気後置換された不活性ガス、水素、酸素、窒素等の雰囲気中で行われることが望ましく、雰囲気圧も大気圧前後の圧力であってもよい。基板吸着機構付きヒータを用いることによって光照射時に、室温〜400℃程度の基板加熱条件を選ぶことができる。上記のように雰囲気圧を大気圧力程度にすることによって、真空チャック機能による基板の吸着ができるため、チャンバ内での基板ステージの移動等があってもずれを防止でき、投入された基板に多少のそり、たわみがあっても基板ステージに固定することができる。さらに、加熱による基板のそりやたわみによる焦点深度ずれを最小限に抑えることができる。   FIG. 4 is a side view showing the adjustment and the substrate alignment mechanism. With respect to the L0 exposure axis, an optical mask opt21, a reduced projection exposure apparatus opt23 ', and a laser introduction window W0 are arranged as shown in FIG. The substrate sub0 disposed in the vacuum chamber C0 is disposed on the heater H0 with a substrate suction mechanism and the substrate XYZθxyθxzθyz stage S0 ′. Although a vacuum chamber is used, actual light irradiation is preferably performed in an atmosphere of inert gas, hydrogen, oxygen, nitrogen, or the like replaced after evacuation, and the atmospheric pressure is a pressure around atmospheric pressure. Also good. By using a heater with a substrate adsorption mechanism, substrate heating conditions of about room temperature to 400 ° C. can be selected during light irradiation. By setting the atmospheric pressure to the atmospheric pressure as described above, the substrate can be adsorbed by the vacuum chuck function, so that the displacement can be prevented even if the substrate stage moves in the chamber. Even if there is sled or deflection, it can be fixed to the substrate stage. Furthermore, it is possible to minimize the depth of focus shift due to substrate warpage or deflection due to heating.

レーザ干渉計i1,i2は、測長用窓W−i、測長用ミラーopt−iを介して基板のアライメントおよび基板のZ方向位置の測定を行う。アライメントには、基板上のアライメントマークをオフアクシス顕微鏡m0、顕微鏡用光源Lm、顕微鏡用素子opt−mを用いて計測し、レーザ干渉系による基板位置情報を用いて所望の露光位置を計測できる。なお、上述の図2ではオフアクシス法を例示したが、スルーレンズ(Through The Lens)方式やスルーマスク(Through The Mask)方式(またはレチクル(Reticle)方式という )を応用することも可能である。また、複数の計測地点から線形座標を最小2乗法を用いて決定することにより、計測時に生じる測定誤差を平均化する手段をとることもできる。   The laser interferometers i1 and i2 measure the alignment of the substrate and the position in the Z direction of the substrate via the measurement window Wi and the measurement mirror opt-i. For alignment, the alignment mark on the substrate is measured using the off-axis microscope m0, the microscope light source Lm, and the microscope element opt-m, and the desired exposure position can be measured using the substrate position information by the laser interference system. Although the off-axis method is illustrated in FIG. 2 described above, a through lens (Through The Lens) method or a through mask (Through The Mask) method (or a reticle method) can be applied. Further, by determining linear coordinates from a plurality of measurement points using the least square method, it is possible to take means for averaging measurement errors that occur during measurement.

図5(A)〜(C)は、マスクパターンとアライメントマークとの関係を示す説明図である。露光に用いられるマスクは、マスクmsk1(非露光部)とマスクmsk2(露光部)とから構成される。例えばエキシマレーザを光源にする場合、紫外光が透過する石英基板上にアルミニウム、クロム、タングステンなどの金属や、誘電体多層膜といった紫外光を吸収、反射する膜を形成し、フォトリソグラフィとエッチング技術を用いてパターンを形成する。マスク上の所望のパターン(図5(A)において白色部で示される)に応じて、シリコン膜が露光され、図5(B)に示されるように非露光シリコン部501内に露光シリコン部502が形成される。このとき、必要に応じてマスク上マークmrk1が、基板上マークmrk2に一致するように、アライメント調整後露光することによって、シリコン薄膜上の予め設計された位置を露光することが可能となる。   5A to 5C are explanatory views showing the relationship between the mask pattern and the alignment mark. The mask used for exposure is composed of a mask msk1 (non-exposure part) and a mask msk2 (exposure part). For example, when an excimer laser is used as a light source, a film that absorbs and reflects ultraviolet light, such as a metal such as aluminum, chromium, and tungsten, or a dielectric multilayer film, is formed on a quartz substrate that transmits ultraviolet light. Is used to form a pattern. The silicon film is exposed according to a desired pattern on the mask (indicated by the white portion in FIG. 5A), and the exposed silicon portion 502 is included in the non-exposed silicon portion 501 as shown in FIG. 5B. Is formed. At this time, it is possible to expose a pre-designed position on the silicon thin film by performing exposure after alignment adjustment so that the on-mask mark mrk1 matches the on-substrate mark mrk2, if necessary.

また、上記シリコン薄膜を用いた薄膜トランジスタ形成工程において、露光プロセスが位置決めを必要とする第1工程の場合(すなわち、アライメントマークが予め形成されていない場合)、シリコン薄膜への露光工程時に露光形成マークmrk3を同時に露光することによって、a−Siと結晶Siとの光学的色差を利用したアライメントマークが形成できる。したがってこのマークを基準に後工程におけるフォトリソグラフィ等を行うことによって、露光改質された所望の領域に、トランジスタや所望の機構、機能を作り込むことができる。露光工程後シリコン薄膜上にSi酸化膜を形成し、シリコン層の所望の領域がエッチング除去された状態を図5(C)に示す。Si除去部503は積層されたシリコン膜とSi酸化膜がエッチング除去された領域であり、非露光シリコン部501と露光シリコン部502上に、シリコン酸化膜504,505が積層された形状が示されている。このように酸化膜で覆われたシリコン膜からなる島状構造を作り込むことによって素子間分離された薄膜トランジスタのチャネル/ソース・ドレイン領域や後工程のアライメントに必要なマークを形成することができる。 In the thin film transistor forming process using the silicon thin film, when the exposure process is the first process that requires positioning (that is, when the alignment mark is not formed in advance), the exposure formation mark is formed during the exposure process to the silicon thin film. By simultaneously exposing mrk3, an alignment mark using an optical color difference between a-Si and crystalline Si can be formed. Therefore, a transistor, a desired mechanism, and a function can be formed in a desired region subjected to exposure modification by performing photolithography or the like in a later process using this mark as a reference. FIG. 5C shows a state where a Si oxide film is formed on the silicon thin film after the exposure process and a desired region of the silicon layer is removed by etching. The Si removal portion 503 is a region where the laminated silicon film and Si oxide film are removed by etching, and a shape in which silicon oxide films 504 and 505 are laminated on the non-exposed silicon portion 501 and the exposed silicon portion 502 is shown. ing. Thus, by forming an island-shaped structure made of a silicon film covered with an oxide film, channel / source / drain regions of thin film transistors separated between elements and marks necessary for alignment in subsequent steps can be formed.

図6(1),(2)は、主要動作を示すタイミングチャートである。制御例1では基板ステージの動作により所望の露光位置に基板を移動させる。次に焦点合わせやアライメント動作を行い、精密に露光位置を調整する。このとき、例えば0.1μm〜100μm程度といった、所望の設定誤差精度に入るように調整する。その動作が完了した時点で、基板への光照射が実行される。これらの一連の動作を終了した時点で次の露光領域へ基板が移動し、基板上の必要な箇所を照射終了した後、基板が交換され第2の処理基板上で所定の一連の処理を行う。   6A and 6B are timing charts showing main operations. In Control Example 1, the substrate is moved to a desired exposure position by the operation of the substrate stage. Next, focusing and alignment operations are performed to precisely adjust the exposure position. At this time, for example, adjustment is performed so as to enter a desired setting error accuracy of about 0.1 μm to 100 μm. When the operation is completed, light irradiation to the substrate is performed. When these series of operations are completed, the substrate moves to the next exposure region, and after irradiation of necessary portions on the substrate is completed, the substrate is replaced and a predetermined series of processing is performed on the second processing substrate. .

一方、制御例2では基板ステージの動作により所望の露光位置に基板を移動させる。次に焦点合わせやアライメント動作を行い、精密に露光位置を調整する。このとき、例えば0.1μm〜100μm程度といった、所望の設定誤差精度に入るように調整する。その動作が完了した時点で、マスクステージの動作を始動する。始動時の移動ステップ量のばらつきを避けるために、基板への光照射はマスクステージ動作の開始よりもあとから開始されるチャートである。もちろんステージの移動によりアライメント位置から離れた地点に露光されるため、その分のオフセット量は予め考慮する必要があることはいうまでもない。基板への光照射よりも早く光源の運転を開始し、光源の出力強度の安定性が高まった時点で、シャッタ等を開き基板への光照射を行うことも可能である。特にエキシマレーザを光源に用い、発振期間と停止期間とが繰り返されるような使用法をとった場合、初期の数10パルスが特に不安定なことが知られており、これらの不安定なレーザパルスを照射したくない場合には、マスクステージの動作に合わせてビームを遮断する方式をとることができる。これらの一連の動作を終了した時点で次の露光領域へ基板が移動し、基板上の必要な箇所を照射終了した後、基板が交換され第2の処理基板上で所定の一連の処理を行う。   On the other hand, in the control example 2, the substrate is moved to a desired exposure position by the operation of the substrate stage. Next, focusing and alignment operations are performed to precisely adjust the exposure position. At this time, for example, adjustment is performed so as to enter a desired setting error accuracy of about 0.1 μm to 100 μm. When the operation is completed, the operation of the mask stage is started. In order to avoid variation in the amount of movement step at the start, the light irradiation to the substrate is a chart that is started after the start of the mask stage operation. Of course, since exposure is performed at a point away from the alignment position due to the movement of the stage, it is needless to say that the amount of offset needs to be considered in advance. It is also possible to start the operation of the light source earlier than the light irradiation to the substrate, and when the stability of the output intensity of the light source increases, the shutter or the like is opened to perform the light irradiation to the substrate. In particular, when an excimer laser is used as a light source and a usage method in which an oscillation period and a stop period are repeated, it is known that the initial several tens of pulses are particularly unstable. When it is not desired to irradiate the beam, a method of blocking the beam in accordance with the operation of the mask stage can be adopted. When these series of operations are completed, the substrate moves to the next exposure region, and after irradiation of necessary portions on the substrate is completed, the substrate is replaced and a predetermined series of processing is performed on the second processing substrate. .

図7は、本発明の実施の形態(半導体薄膜改質装置)を示す側面図である。プラズマCVD室C2、レーザ照射室C5、基板搬送室C7から構成され、ゲートバルブGV2,GV5を介して、基板の搬送が装置外部の雰囲気に触れることなく真空中、不活性ガス、窒素、水素、酸素等の雰囲気かつ高真空、減圧、加圧状態で可能である。レーザ照射室においては400℃程度まで加熱可能な基板ステージS5上にチャック機構を用いて基板が設置される。プラズマCVD室では、400℃程度まで加熱可能な基板ホルダーS2上に基板が設置される。この例ではガラス基板Sub0上にシリコン薄膜701が形成された状態でレーザ照射室に導入され、表面のシリコン薄膜がレーザ照射により結晶性シリコン薄膜702に改質され、プラズマCVD室に搬送された状態を示している。   FIG. 7 is a side view showing an embodiment (semiconductor thin film reformer) of the present invention. The plasma CVD chamber C2, the laser irradiation chamber C5, and the substrate transfer chamber C7, and the substrate transfer through the gate valves GV2 and GV5 without contacting the atmosphere outside the apparatus, in an inert gas, nitrogen, hydrogen, This is possible in an atmosphere of oxygen or the like and in a high vacuum, reduced pressure, or pressurized state. In the laser irradiation chamber, a substrate is placed on a substrate stage S5 that can be heated to about 400 ° C. using a chuck mechanism. In the plasma CVD chamber, the substrate is placed on the substrate holder S2 that can be heated to about 400 ° C. In this example, the silicon thin film 701 formed on the glass substrate Sub0 is introduced into the laser irradiation chamber, and the silicon thin film on the surface is modified to the crystalline silicon thin film 702 by the laser irradiation and is transferred to the plasma CVD chamber. Is shown.

レーザ照射室に導入されるレーザ光は、第1のエキシマレーザEL1、第2のエキシマレーザEL2から供給されるビームが第1のビームラインL1、第2のビームラインL2を通り、レーザ合成光学装置opt1、ミラーopt11、透過ミラーopt12、レーザ照射光学装置opt2、ホモジナイザopt20、光学マスクステージopt22に固定された光学マスクopt21、投影光学装置opt23、レーザ導入窓W1を介して基板表面に到達する。ここでは2台のエキシマレーザを図示したが、光源としては1台以上所望の台数を設置することもできる。またエキシマレーザに限らず、炭酸ガスレーザ、YAGレーザ等のパルスレーザや、アルゴンレーザ等のCW光源と高速シャッタを用いてパルス上に供給してもよい。   The laser beam introduced into the laser irradiation chamber is a laser combining optical device in which the beams supplied from the first excimer laser EL1 and the second excimer laser EL2 pass through the first beam line L1 and the second beam line L2. It reaches the substrate surface via opt1, mirror opt11, transmission mirror opt12, laser irradiation optical device opt2, homogenizer opt20, optical mask opt21 fixed to optical mask stage opt22, projection optical device opt23, and laser introduction window W1. Although two excimer lasers are illustrated here, one or more desired number of light sources can be installed. In addition to the excimer laser, a pulse laser such as a carbon dioxide laser or a YAG laser, or a CW light source such as an argon laser and a high-speed shutter may be used to supply the pulse.

一方、プラズマCVD室は、RF電極D1とプラズマ閉じ込め電極D3により、プラズマ形成領域D2が基板が配置される領域とは離れた位置に形成される。プラズマ形成領域には例えば酸素とヘリウムを、原料ガス導入装置D4を用いてシランガスを供給することにより、基板上に酸化シリコン膜を形成することができる。   On the other hand, in the plasma CVD chamber, the RF electrode D1 and the plasma confinement electrode D3 form the plasma formation region D2 away from the region where the substrate is disposed. A silicon oxide film can be formed on the substrate by supplying, for example, oxygen and helium to the plasma formation region and silane gas using the source gas introduction device D4.

図8は、本発明の実施の形態(半導体薄膜改質装置)を示す上面図である。ロード/アンロード室C1、プラズマCVD室C2、基板加熱室C3、水素プラズマ処理室C4、レーザ照射室C5、基板搬送室C7がそれぞれゲートバルブGV1〜GV6を介して接続されている。第1のビームラインL1、第2のビームラインL2から供給されるレーザ光がレーザ合成光学装置opt1、レーザ照射光学装置opt2、レーザ導入窓W1を介して基板表面に照射される。また、それぞれのプロセス室、搬送室はガス導入装置gs1〜gs7、排気装置vent1〜vent7が接続されており、所望のガス種の供給、プロセス圧の設定、排気、真空が調整される。同図内の点線で示すように処理基板sub2,sub6が平面上に配置される。   FIG. 8 is a top view showing an embodiment (semiconductor thin film reforming apparatus) of the present invention. The load / unload chamber C1, the plasma CVD chamber C2, the substrate heating chamber C3, the hydrogen plasma processing chamber C4, the laser irradiation chamber C5, and the substrate transfer chamber C7 are connected through gate valves GV1 to GV6, respectively. The laser beam supplied from the first beam line L1 and the second beam line L2 is irradiated onto the substrate surface via the laser synthesis optical device opt1, the laser irradiation optical device opt2, and the laser introduction window W1. Further, gas introduction devices gs1 to gs7 and exhaust devices vent1 to vent7 are connected to the respective process chambers and transfer chambers, and supply of desired gas species, setting of process pressure, exhaust, and vacuum are adjusted. As shown by the dotted lines in the figure, the processing substrates sub2 and sub6 are arranged on a plane.

図9は、図8のプラズマCVD室C2の概略を示す側面図である。高周波電源RF1(13.56MHzあるいはそれ以上の高周波が適する)から電力が高周波電極RF2に供給される。ガス供給穴付き電極RF3と高周波電極との間にプラズマが形成され、反応形成されたラジカルがガス供給穴付き電極を通り基板が配置された領域に導かれる。平面型ガス導入装置RF4によりプラズマに曝すことなく別のガスが導入され、気相反応を経て基板sub2上に薄膜が形成される。   FIG. 9 is a side view schematically showing the plasma CVD chamber C2 of FIG. Electric power is supplied to the high frequency electrode RF2 from the high frequency power source RF1 (high frequency of 13.56 MHz or higher is suitable). Plasma is formed between the electrode RF3 with a gas supply hole and the high-frequency electrode, and the radical formed by the reaction passes through the electrode with the gas supply hole and is guided to the region where the substrate is disposed. Another gas is introduced by the planar gas introduction device RF4 without being exposed to plasma, and a thin film is formed on the substrate sub2 through a gas phase reaction.

基板ホルダーS2は、ヒータ等により室温から500℃程度までの加熱を行うように設計されている。図9に示すように、排気装置vent2、ガス導入装置gs2、酸素ラインgs21、ヘリウムラインgs22、水素ラインgs23、シランラインgs24、ヘリウムラインgs25およびアルゴンラインgs26を用いることにより、酸素ラジカルとシランガスとを反応させて酸化シリコン膜を形成することができる。基板温度300℃、圧力0.1torr、RF電力100W、シラン流量10sccm、酸素流量400sccm、ヘリウム流量400sccmの条件で膜形成を行ったところ、固定酸化膜電荷密度(5×1011cm-2)と良好な特性を有するシリコン酸化膜の形成を確認している。 The substrate holder S2 is designed to heat from room temperature to about 500 ° C. with a heater or the like. As shown in FIG. 9, by using an exhaust device vent2, a gas introduction device gs2, an oxygen line gs21, a helium line gs22, a hydrogen line gs23, a silane line gs24, a helium line gs25 and an argon line gs26, oxygen radicals and silane gas are removed. A silicon oxide film can be formed by reaction. When film formation was performed under conditions of a substrate temperature of 300 ° C., a pressure of 0.1 torr, an RF power of 100 W, a silane flow rate of 10 sccm, an oxygen flow rate of 400 sccm, and a helium flow rate of 400 sccm, the fixed oxide film charge density (5 × 10 11 cm −2 ) The formation of a silicon oxide film having good characteristics has been confirmed.

また、シランに対する酸素流量比を大きくすることでより良好な酸化膜の形成が可能である。プラズマCVD室の形態としては上述のような平行平板型のRFプラズマCVD装置ばかりでなく、減圧CVDや常圧CVDといったプラズマを利用しない方法や、マイクロ波やECR(Electron Cycrotron Resonance)効果を用いたプラズマCVD法を用いることも可能である。   Further, a better oxide film can be formed by increasing the ratio of oxygen flow rate to silane. As a form of the plasma CVD chamber, not only the parallel plate type RF plasma CVD apparatus as described above but also a method not using plasma such as low pressure CVD or atmospheric pressure CVD, or a microwave or ECR (Electron Cycrotron Resonance) effect was used. It is also possible to use a plasma CVD method.

さらに、図9に示すプラズマCVD装置を酸化シリコン膜以外の薄膜形成に用いる場合、必要なガス種としては以下のような原料が使用できる。すなわち、Si34窒化シリコン膜の形成には、N2(窒素)(あるいはアンモニア)、キャリアガスとしてAr(アルゴン)、SiH4(シラン)、キャリアガスとしてアルゴン等を用いることができる。Siシリコン薄膜の形成には、H2(水素)とシラン、水素(キャリアガスとしてアルゴン)とSiF4(4フッ化シラン)(キャリアガスとしてアルゴン)等の原料ガスを用いることができる。また、成膜プロセスではないが、水素プラズマを利用してシリコン薄膜や酸化シリコン膜の水素プラズマ処理も可能である。 Furthermore, when the plasma CVD apparatus shown in FIG. 9 is used for forming a thin film other than a silicon oxide film, the following raw materials can be used as necessary gas species. That is, for the formation of the Si 3 N 4 silicon nitride film, N 2 (nitrogen) (or ammonia), Ar (argon) as the carrier gas, SiH 4 (silane), argon as the carrier gas, or the like can be used. A source gas such as H 2 (hydrogen) and silane, hydrogen (argon as a carrier gas) and SiF 4 (tetrafluorosilane) (argon as a carrier gas) can be used for forming the Si silicon thin film. Although not a film formation process, hydrogen plasma treatment of a silicon thin film or a silicon oxide film is also possible using hydrogen plasma.

図10は、TFTの製造工程を示す断面図である。予めアライメントマークを設け、アライメントマークに応じたレーザ照射を行った場合の実施例について説明する。   FIG. 10 is a cross-sectional view showing the manufacturing process of the TFT. An embodiment in which an alignment mark is provided in advance and laser irradiation corresponding to the alignment mark is performed will be described.

(a)洗浄によって有機物や金属、微粒子等を除去したガラス基板sub0上に基板カバー膜T1、シリコン薄膜T2を順次形成する。基板カバー膜T1としてLPCVD(減圧化学的気相成長)法でシランと酸素ガスを原料とし、450℃で酸化シリコン膜を1μm形成する。LPCVD法を用いることにより基板保持領域を除き基板外表面全体をカバーすることも可能である(図示せず)。あるいはTEOS(テトラエトキシシラン)と酸素を原料としたプラズマCVD、TEOSとオゾンを原料とした常圧CVD、上述の図8に示すようなプラズマCVD等を利用することも可能であり、基板材料(アルカリ金属濃度を極力低減したガラス、表面を研磨加工した石英・ガラス等)が含む半導体デバイスに有害な不純物の拡散防止ができる材料が基板カバー膜として有効である。   (A) A substrate cover film T1 and a silicon thin film T2 are sequentially formed on a glass substrate sub0 from which organic substances, metals, fine particles, and the like have been removed by cleaning. As the substrate cover film T1, silane and oxygen gas are used as raw materials by LPCVD (Low Pressure Chemical Vapor Deposition), and a silicon oxide film of 1 μm is formed at 450 ° C. By using the LPCVD method, it is possible to cover the entire outer surface of the substrate except for the substrate holding region (not shown). Alternatively, plasma CVD using TEOS (tetraethoxysilane) and oxygen as raw materials, atmospheric pressure CVD using TEOS and ozone as raw materials, plasma CVD as shown in FIG. A material capable of preventing diffusion of impurities harmful to semiconductor devices including glass having a reduced alkali metal concentration as much as possible, quartz / glass having a polished surface, and the like is effective as the substrate cover film.

シリコン薄膜はLPCVDでSi26(ジシラン)ガスを原料として500℃で厚さ75nm形成する。この場合膜中に含まれる水素原子濃度が1原子%以下となるため、レーザ照射工程での水素放出による膜荒れ等を防ぐことができる。あるいは図7に示すようなプラズマCVD法や広く普及しているプラズマCVD法を用いても、基板温度や(水素)/(シラン)流量比、(水素)/(4フッ化シラン)流量比等を調整することによって水素原子濃度が低いシリコン薄膜を形成できる。アライメントマークT9の形成のために、フォトリソグラフィとエッチングによりパターン化し、アライメントマークT9を基板上に形成する。次にアライメントマークT9を保護するためにマーク保護膜T10を形成してから、シリコン薄膜T2を形成する。 The silicon thin film is formed by LPCVD with a thickness of 75 nm at 500 ° C. using Si 2 H 6 (disilane) gas as a raw material. In this case, since the concentration of hydrogen atoms contained in the film is 1 atomic% or less, film roughness due to hydrogen release in the laser irradiation process can be prevented. Alternatively, the substrate temperature, the (hydrogen) / (silane) flow rate ratio, the (hydrogen) / (tetrafluorosilane) flow rate ratio, and the like can be obtained by using the plasma CVD method as shown in FIG. It is possible to form a silicon thin film having a low hydrogen atom concentration by adjusting In order to form the alignment mark T9, patterning is performed by photolithography and etching, and the alignment mark T9 is formed on the substrate. Next, a mark protective film T10 is formed to protect the alignment mark T9, and then a silicon thin film T2 is formed.

(b)上記(a)工程で準備した基板を、有機物や金属、微粒子、表面酸化膜等を除去するための洗浄工程を経た後、本発明の薄膜形成装置に導入する。レーザ光L1を照射し、シリコン薄膜T2の所望領域を結晶化シリコン薄膜T2’に改質する。レーザ結晶化は99.9999%以上の高純度窒素700torr以上の雰囲気で行われ、レーザ照射が完了後、酸素ガスを導入する。レーザ光露光時には基板ステージに吸着固定されるとともに、アライメントマークを基準に所望の領域が露光される。その後は、予め設けられたアライメントマークや、結晶化シリコン薄膜パターニングによって形成されるアライメントマーク(図示せず)を基準に、次工程のアライメントを行うことができる。   (B) The substrate prepared in the above step (a) is introduced into the thin film forming apparatus of the present invention after undergoing a cleaning step for removing organic substances, metals, fine particles, surface oxide films and the like. Irradiation with the laser beam L1 modifies the desired region of the silicon thin film T2 to a crystallized silicon thin film T2 '. Laser crystallization is performed in an atmosphere of high purity nitrogen of 700. Torr of 99.9999% or more, and oxygen gas is introduced after completion of laser irradiation. At the time of laser light exposure, it is adsorbed and fixed to the substrate stage, and a desired area is exposed based on the alignment mark. Thereafter, the alignment of the next process can be performed based on an alignment mark provided in advance or an alignment mark (not shown) formed by crystallized silicon thin film patterning.

(c)上記工程を経た基板は、ガスが排気された後基板搬送室を介してプラズマCVD室に搬送される。第1のゲート絶縁膜T3として、シラン、ヘリウム、酸素を原料ガスとして基板温度350度で酸化シリコン膜を10nm堆積する。このあと必要に応じて水素プラズマ処理や加熱アニールを行う。ここまでが本実施の形態に係る薄膜形成装置により処理される。   (C) The substrate that has undergone the above steps is transferred to the plasma CVD chamber through the substrate transfer chamber after the gas is exhausted. As the first gate insulating film T3, a silicon oxide film is deposited to a thickness of 10 nm at a substrate temperature of 350 degrees using silane, helium, and oxygen as source gases. Thereafter, hydrogen plasma treatment or heat annealing is performed as necessary. The processing up to this point is processed by the thin film forming apparatus according to the present embodiment.

(d)次に、フォトリソグラフィとエッチング技術を用いてシリコン薄膜と酸化シリコン膜積層膜のアイランドを形成する。このとき、シリコン薄膜に比べ酸化シリコン膜のエッチングレートが高いエッチング条件を選択することがこのましい。図10に示すようにパターン断面が階段状(あるいはテーパ状)に形成することによってゲートリークを防ぎ、信頼性の高い薄膜トランジスタを提供することができる。   (D) Next, an island of a silicon thin film and a silicon oxide film laminated film is formed using photolithography and etching techniques. At this time, it is preferable to select an etching condition in which the etching rate of the silicon oxide film is higher than that of the silicon thin film. As shown in FIG. 10, when the pattern cross section is formed in a stepped shape (or tapered shape), gate leakage can be prevented and a highly reliable thin film transistor can be provided.

(e)次に、有機物や金属、微粒子等を除去するための洗浄を行った後、上記アイランドを被覆するように第2のゲート絶縁膜T4を形成する。ここでは、LPCVD法でシランと酸素ガスを原料とし、450℃で酸化シリコン膜を30nm形成した。あるいはTEOS(テトラエトキシシラン)と酸素を原料としたプラズマCVD、TEOSとオゾンを原料とした常圧CVD、上述の図8に示すようなプラズマCVD等を利用することも可能である。   (E) Next, after cleaning for removing organic substances, metals, fine particles, etc., a second gate insulating film T4 is formed so as to cover the island. Here, a silane and oxygen gas were used as raw materials by LPCVD, and a silicon oxide film was formed to a thickness of 30 nm at 450 ° C. Alternatively, it is also possible to use plasma CVD using TEOS (tetraethoxysilane) and oxygen as raw materials, atmospheric pressure CVD using TEOS and ozone as raw materials, plasma CVD as shown in FIG.

次いで、ゲート電極としてn+シリコン膜を80nm、タングステンシリサイド膜を110nm形成する。n+シリコン膜はプラズマCVDやLPCVD法で形成された結晶性のリンドープシリコン膜が望ましい。その後、フォトリソグラフィとエッチング工程を経て、パターン化されたゲート電極T5を形成する。以降の工程は、作成するトランジスタの構造に応じて、後述の2工程(f1またはf2)のうちの何れかを用いることができる。 Next, an n + silicon film of 80 nm and a tungsten silicide film of 110 nm are formed as gate electrodes. The n + silicon film is preferably a crystalline phosphorus-doped silicon film formed by plasma CVD or LPCVD. Thereafter, a patterned gate electrode T5 is formed through photolithography and etching processes. In the subsequent steps, one of the following two steps (f1 or f2) can be used depending on the structure of the transistor to be formed.

(f1),(f2)次に、ゲートをマスクとして不純物注入領域T6,T6’を形成する。CMOS型回路を形成する場合は、フォトリソグラフィを併用してn+領域が必要なn-チャネルTFTおよびp+領域を要するp-チャネルTFTを作り分ける。注入される不純物イオンの質量分離を行わないイオンドーピングや、イオン注入、プラズマドーピング、レーザドーピング等の方法を採ることができる。そのとき用途や不純物導入方法により、(f1),(f2)のように表面の酸化シリコン膜を残したまま、あるいは除去した後に不純物の導入を行う。 (F1), (f2) Next, impurity implantation regions T6, T6 ′ are formed using the gate as a mask. When forming a CMOS circuit, in combination with photolithography n + region is required n - separately formed channel TFT - p requiring channel TFT and the p + region. Methods such as ion doping that does not perform mass separation of implanted impurity ions, ion implantation, plasma doping, and laser doping can be employed. At that time, depending on the application and impurity introduction method, impurities are introduced while leaving or removing the silicon oxide film on the surface as shown in (f1) and (f2).

(g1),(g2)層間分離絶縁膜T7,T7’を堆積、コンタクトホールを開口後、金属を堆積、フォトリソグラフィとエッチングにより金属配線T8を形成する。層間分離絶縁膜としては、膜の平坦化が図れるTEOS系酸化膜やシリカ系塗布膜、有機塗布膜を用いることができる。コンタクトホール開口はフォトリソグラフィとエッチングにより、金属配線は抵抗の低いアルミニウム、銅あるいはそれらをベースとした合金、タングステンやモリブデンといった高融点金属が応用できる。以上のような工程を行うことによって、性能、信頼性の高い薄膜トランジスタを形成することができる。   (G1), (g2) Interlayer isolation insulating films T7, T7 'are deposited, contact holes are opened, metal is deposited, and metal wiring T8 is formed by photolithography and etching. As the interlayer isolation insulating film, a TEOS-based oxide film, a silica-based coating film, or an organic coating film that can be flattened can be used. The contact hole opening can be applied by photolithography and etching, and the metal wiring can be applied with a low-resistance aluminum, copper, an alloy based on them, or a high melting point metal such as tungsten or molybdenum. By performing the above steps, a thin film transistor with high performance and reliability can be formed.

図11は、本願発明の実施の形態を表すタイミングチャートである。
図12、図13は、レーザ処理を示すフローチャートである。図11(A)、図12に示すように十分真空排気されたレーザ処理室に基板を導入する。ゲートバルブを閉じ、基板搬送室(あるいはロードロック室、シリコン薄膜形成室等の他の処理室)とのガスの流通を止めた後、窒素(あるいはアルゴン等不活性ガス、水素、またはその混合ガス)を導入する。このとき排気を停止せずに一定の窒素圧力に制御することが望ましいが、排気の停止やガス導入後ある圧力になった時点でガス導入バルブを閉じることも可能である(図示せず)。ここで基板を吸着固定する。上述の基板を配置したステージを所定の位置に移動し、また必要に応じて圧力や基板加熱ヒータの温度が所定の状態になるまで待った後(図示せず)、基板へのレーザ照射を開始する。
FIG. 11 is a timing chart showing the embodiment of the present invention.
12 and 13 are flowcharts showing the laser processing. As shown in FIGS. 11A and 12, the substrate is introduced into a laser processing chamber that is sufficiently evacuated. After closing the gate valve and stopping the gas flow with the substrate transfer chamber (or other processing chamber such as load lock chamber, silicon thin film forming chamber), nitrogen (or inert gas such as argon, hydrogen, or a mixed gas thereof) ). At this time, it is desirable to control the nitrogen pressure to be constant without stopping the exhaust, but it is also possible to close the gas introduction valve when the exhaust pressure is stopped or when a certain pressure is reached after gas introduction (not shown). Here, the substrate is fixed by suction. The stage on which the substrate is placed is moved to a predetermined position, and if necessary, after waiting for the pressure and the temperature of the substrate heater to reach a predetermined state (not shown), laser irradiation to the substrate is started. .

基板ステージあるいは照射ビームを移動させることにより所望の領域をレーザ照射(再結晶化)した後、酸素を導入する。さらに基板ステージの移動や真空装置内に配置された光シャッタ機構などを利用して、処理基板上の有効領域へのレーザ照射を防ぎながら、レーザ導入窓にレーザ光を照射する。導入窓照射、酸素供給、窒素供給を同時に、あるいは順次停止し、排気量を増加させる。基板吸着を解除しレーザ照射室の圧力が所定の範囲になるまで排気したのち、基板搬送室につながるゲートバルブを開き基板を排出する。   A desired region is laser-irradiated (recrystallized) by moving the substrate stage or the irradiation beam, and then oxygen is introduced. Furthermore, the laser introduction window is irradiated with laser light while preventing the laser irradiation to the effective area on the processing substrate by using the movement of the substrate stage or an optical shutter mechanism disposed in the vacuum apparatus. The introduction window irradiation, oxygen supply, and nitrogen supply are stopped simultaneously or sequentially to increase the displacement. After the substrate adsorption is released and the pressure in the laser irradiation chamber is exhausted to a predetermined range, the gate valve connected to the substrate transfer chamber is opened and the substrate is discharged.

一方、以下に示す形態を用いることもできる。図11(B)、図13に示すように十分真空排気されたレーザ処理室に基板を導入する。ゲートバルブを閉じ、基板搬送室(あるいはロードロック室、シリコン薄膜形成室等の他の処理室)とのガスの流通を止めた後、窒素(あるいはアルゴン等不活性ガス、水素、またはその混合ガス)を導入する。ここで基板を吸着固定する。基板を配置したステージを所定の位置に移動し、また必要に応じて圧力や基板加熱ヒータの温度が所定の状態になるまで待った後(図示せず)、基板へのレーザ照射を開始する。基板ステージあるいは照射ビームを移動させることにより所望の領域をレーザ照射(再結晶化)した後、窒素の供給を停止し、酸素を導入する。予め基板搬送室を酸素雰囲気で所定の圧力に制御しておき、レーザ照射室の圧力が所定の圧力に到達した時点で、ゲートバルブを開き基板を排出する。基板を搬送し終えたあとでレーザ導入窓にレーザ光を照射する。導入窓照射、酸素供給、窒素供給を同時に、あるいは順次停止し、排気量を増加させる。 On the other hand, the following forms can also be used. As shown in FIGS. 11B and 13, the substrate is introduced into a laser processing chamber that is sufficiently evacuated. After closing the gate valve and stopping the gas flow with the substrate transfer chamber (or other processing chamber such as load lock chamber, silicon thin film forming chamber), nitrogen (or inert gas such as argon, hydrogen, or a mixed gas thereof) ). Here, the substrate is fixed by suction. The stage on which the substrate is arranged is moved to a predetermined position, and if necessary, after waiting for the pressure and the temperature of the substrate heater to reach a predetermined state (not shown), laser irradiation to the substrate is started. After moving the substrate stage or the irradiation beam to irradiate (recrystallize) a desired region with laser, the supply of nitrogen is stopped and oxygen is introduced. The substrate transfer chamber is previously controlled to a predetermined pressure in an oxygen atmosphere, and when the pressure in the laser irradiation chamber reaches a predetermined pressure, the gate valve is opened and the substrate is discharged. After transporting the substrate, the laser introduction window is irradiated with laser light. The introduction window irradiation, oxygen supply, and nitrogen supply are stopped simultaneously or sequentially to increase the displacement.

上記のような形態においては導入される酸素や混合ガスを構成する不活性ガス、窒素、水素等の単独での純度が99.9999%となるように、ガス純化装置やガスシリンダで供給される高純度ガスを用いた。レーザ結晶化直後に高純度の酸素を真空装置内に導入することによって表面に不純物濃度の低い自然酸化膜を形成し、レーザ照射室、基板搬送室、成膜室等における不純物のシリコン表面(レーザ結晶化後のシリコン薄膜表面は非常に活性な状態となっている。たとえ真空装置内においてもその雰囲気の制御が十分でなければ容易に不純物が付着してしまう)への付着を防ぐことができる。このときラジカル、イオン等の活性な気体を用いる場合に比べ、単プロセスでの効率の点では劣るが、装置内壁等に付着している不純物の取り込みを低減できるという効果がある。その結果、装置内のクリーニングやメンテナンスによる装置稼働率低下を抑制し、総合的な製造効率を高めることに成功した。また、シリコン酸化膜、シリコン酸化膜界面中に存在する炭素を低減することができたため、本装置および本製造方法を用いることでリーク電流の小さい薄膜トランジスタの製造が可能になった。   In the above-described form, oxygen is supplied by a gas purifier or a gas cylinder so that the purity of the inert gas, nitrogen, hydrogen, etc. constituting the mixed gas alone is 99.9999%. High purity gas was used. Immediately after laser crystallization, high-purity oxygen is introduced into the vacuum apparatus to form a natural oxide film having a low impurity concentration on the surface, and the impurity silicon surface (laser in the laser irradiation chamber, substrate transfer chamber, film formation chamber, etc.) The surface of the silicon thin film after crystallization is in a very active state, and even if it is not sufficiently controlled in the vacuum atmosphere, impurities can easily adhere to the surface. . At this time, compared with the case where active gases such as radicals and ions are used, the efficiency in a single process is inferior, but there is an effect that the incorporation of impurities adhering to the inner wall of the apparatus can be reduced. As a result, we succeeded in improving the overall production efficiency by suppressing the decrease in the device operation rate due to the cleaning and maintenance in the device. In addition, since the carbon present in the silicon oxide film and the silicon oxide film interface can be reduced, it is possible to manufacture a thin film transistor having a small leak current by using the present apparatus and the present manufacturing method.

本発明は、レーザ結晶化技術を用いた半導体薄膜改質装置に適用することができる。   The present invention can be applied to a semiconductor thin film reforming apparatus using a laser crystallization technique.

本発明の実施の形態を示す装置断面図である。It is an apparatus sectional view showing an embodiment of the invention. 本発明の実施の形態を示す装置断面図である。It is an apparatus sectional view showing an embodiment of the invention. 本発明の実施の形態を示す斜視図である。It is a perspective view which shows embodiment of this invention. 基板のアライメント機構を示す側面図である。It is a side view which shows the alignment mechanism of a board | substrate. (A)〜(C)マスクパターンとアライメントマークとの関係を示す説明図である。(A)-(C) It is explanatory drawing which shows the relationship between a mask pattern and an alignment mark. (1),(2)主要動作を示すタイミングチャートである。(1) and (2) are timing charts showing main operations. 本発明の実施の形態(半導体薄膜改質装置)を示す側面図である。It is a side view which shows embodiment (semiconductor thin film modifier) of this invention. 本発明の実施の形態(半導体薄膜改質装置)を示す上面図である。It is a top view which shows embodiment (semiconductor thin film modifier) of this invention. 図8のプラズマCVD室C2の概略を示す側面図である。It is a side view which shows the outline of the plasma CVD chamber C2 of FIG. TFTの製造工程を示す断面図である。It is sectional drawing which shows the manufacturing process of TFT. 本発明の実施の形態を表すタイミングチャートである。It is a timing chart showing embodiment of this invention. 本発明の実施の形態(レーザ処理)を示すフローチャートである。It is a flowchart which shows embodiment (laser processing) of this invention. 本発明の実施の形態(レーザ処理)を示すフローチャートである。It is a flowchart which shows embodiment (laser processing) of this invention. 従来のELA装置を示す説明図である。It is explanatory drawing which shows the conventional ELA apparatus. ビームの照射形状を矩形にした場合の従来の照射方法を示す説明図である。It is explanatory drawing which shows the conventional irradiation method at the time of making the irradiation shape of a beam into a rectangle.

符号の説明Explanation of symbols

101…レーザ、102…マスク、103…マスクを通ったビーム、104…窓、105…被照射領域、106…未照射領域、107…基板、108…ゲートバルブ、109…保持手段、110…ステージ、111…基板搬送手段を備えた真空容器、112…圧力制御装置、201…基板、202…ステージ、203…ステージ(ガイド部)、204…ピン通過口、205…吸着口、206…吸着排気ライン、207…基板上下機構、EL1…第1のエキシマレーザ、EL2…第2のエキシマレーザ、opt20’…ホモジナイザ、opt21…光学マスク、opt23’…縮小投影露光装置、opt3,opt3’…ミラー類、opt4…レンズ類、W0…レーザ導入窓、C0…真空チャンバ、sub0…基板、S0…基板ステージ、ex0…パターン転写領域、θxy,θxz,θyz…傾き補正方向、X,Y…露光領域移動方向、Z…焦点合わせ方向、L0…路光軸、H0…基板吸着機構付きヒータ、S0’…基板XYZθxyθxzθyzステージ、i1,i2…レーザ干渉計、m0…オフアクシス顕微鏡、Lm…顕微鏡用光源、opt−m…顕微鏡用素子、msk1…マスク(非露光部)、msk2…マスク(露光部)、mrk1…マスク上マーク、mrk2…基板上マーク、mrk3…露光形成マーク、501…非露光Si、502…露光Si、503…Si除去部、504,505…Si酸化膜、C2…プラズマCVD室、C5…レーザ照射室、C7…基板搬送室、GV2,GV5…ゲートバルブ、S2…基板ホルダー、S5…基板ステージ、Sub0…ガラス基板、701…シリコン薄膜、702…結晶性シリコン薄膜、D1…RF電極、D2…プラズマ形成領域、D3…プラズマ閉じ込め電極、D4…原料ガス導入装置、opt1…レーザ合成光学装置、opt11…ミラー、opt12…透過ミラー、opt2…レーザ照射光学装置、opt20…ホモジナイザ、opt21…光学マスク、opt22…光学マスクステージ、opt23…投影光学装置、C1…ロード/アンロード室、C3…基板加熱室、C4…水素プラズマ室、GV1〜GV6…ゲートバルブ、gs1〜gs7…ガス導入装置、vent1〜vent7…排気装置、sub2,sub6…処理基板、RF1…高周波電源、RF2…高周波電極、RF3…ガス供給穴付き電極、RF4…平面型ガス導入装置、gs21…酸素ライン、gs22…ヘリウムライン、gs23…水素ライン、gs24…シランライン、gs25…ヘリウムライン、gs26…アルゴンライン、L0…レーザ光、T1…基板カバー膜、T2…シリコン薄膜、T2’…結晶化シリコン薄膜、T3…第1のゲート絶縁膜、T4…第2のゲート絶縁膜、T5…パターン化されたゲート電極、T6,T6’…不純物注入領域、T7,T7’…層間分離絶縁膜、T8…金属配線、T9…アライメントマーク、T10…マーク保護膜。 DESCRIPTION OF SYMBOLS 101 ... Laser, 102 ... Mask, 103 ... Beam which passed through mask, 104 ... Window, 105 ... Irradiated area, 106 ... Unirradiated area, 107 ... Substrate, 108 ... Gate valve, 109 ... Holding means, 110 ... Stage, DESCRIPTION OF SYMBOLS 111 ... Vacuum container provided with board | substrate conveyance means, 112 ... Pressure control apparatus, 201 ... Board | substrate, 202 ... Stage, 203 ... Stage (guide part), 204 ... Pin passage port, 205 ... Adsorption port, 206 ... Adsorption exhaust line, 207 ... Substrate up / down mechanism, EL1 ... first excimer laser, EL2 ... second excimer laser, opt20 '... homogenizer, opt21 ... optical mask, opt23' ... reduced projection exposure apparatus, opt3, opt3 '... mirrors, opt4 ... Lenses, W0 ... laser introduction window, C0 ... vacuum chamber, sub0 ... substrate, S0 ... substrate stage, ex ... Pattern transfer area, θxy, θxz, θyz ... Tilt correction direction, X, Y ... Exposure area movement direction, Z ... Focusing direction, L0 ... Path optical axis, H0 ... Heater with substrate suction mechanism, S0 '... Substrate XYZθxyθxzθyz stage , I1, i2 ... laser interferometer, m0 ... off-axis microscope, Lm ... light source for microscope, opt-m ... element for microscope, msk1 ... mask (non-exposed part), msk2 ... mask (exposed part), mrk1 ... on mask Mark, mrk2 ... mark on substrate, mrk3 ... exposure formation mark, 501 ... non-exposed Si, 502 ... exposed Si, 503 ... Si removal part, 504,505 ... Si oxide film, C2 ... plasma CVD chamber, C5 ... laser irradiation chamber C7: substrate transfer chamber, GV2, GV5: gate valve, S2: substrate holder, S5: substrate stage, Sub0: glass substrate, 7 DESCRIPTION OF SYMBOLS 1 ... Silicon thin film, 702 ... Crystalline silicon thin film, D1 ... RF electrode, D2 ... Plasma formation area | region, D3 ... Plasma confinement electrode, D4 ... Source gas introduction apparatus, opt1 ... Laser synthetic | combination optical apparatus, opt11 ... Mirror, opt12 ... Transmission Mirror, opt2 ... laser irradiation optical device, opt20 ... homogenizer, opt21 ... optical mask, opt22 ... optical mask stage, opt23 ... projection optical device, C1 ... load / unload chamber, C3 ... substrate heating chamber, C4 ... hydrogen plasma chamber, GV1 to GV6: gate valve, gs1 to gs7 ... gas introduction device, vent1 to vent7 ... exhaust device, sub2, sub6 ... treatment substrate, RF1 ... high frequency power supply, RF2 ... high frequency electrode, RF3 ... electrode with gas supply hole, RF4 ... flat surface Mold gas introduction device, gs21 ... oxygen line, gs2 2 ... helium line, gs23 ... hydrogen line, gs24 ... silane line, gs25 ... helium line, gs26 ... argon line, L0 ... laser beam, T1 ... substrate cover film, T2 ... silicon thin film, T2 '... crystallized silicon thin film, T3 ... first gate insulating film, T4 ... second gate insulating film, T5 ... patterned gate electrode, T6, T6 '... impurity implanted region, T7, T7' ... interlayer isolation insulating film, T8 ... metal wiring, T9: Alignment mark, T10: Mark protective film.

Claims (8)

半導体薄膜を有する基板を載置するための基板載置部およびレーザ光を透過するための光透過窓を備えた密閉容器と、
前記密閉容器の外部に設置され、前記光透過窓を介して前記半導体薄膜を溶融加熱するためのレーザ光を照射するレーザ光照射手段と、
前記基板を前記基板載置部に固定保持するための保持手段と、
前記密閉容器内に供給するガス流量を調整することにより、前記レーザ光の照射時における前記密閉容器内の雰囲気圧力を、溶融加熱された前記半導体薄膜の温度によって規定される蒸気圧以上となるように制御する圧力制御手段と、
前記レーザ光の光軸に対して、前記基板載置部に固定保持された基板のアライメントを調整するアライメント機構と、
前記レーザ光に対して、前記基板載置部に固定保持された基板の焦点合わせ方向の位置を調整する焦点合わせ機構と、
前記レーザ光を前記基板へ光学マスクを介して照射可能とするマスクステージとを備え、
前記マスクステージは、前記アライメント調整と前記焦点合わせとが完了した時点で、基板上の所望の位置に前記レーザ光を照射すべく移動を開始し、
前記レーザ光照射手段は、前記マスクステージが移動を開始した後に、前記アライメント調整の位置から離れた地点に露光する分のオフセット量を考慮して前記レーザ光を照射することを特徴とする半導体薄膜改質装置。
A hermetically sealed container having a substrate placement portion for placing a substrate having a semiconductor thin film and a light transmission window for transmitting laser light;
A laser beam irradiation means that is installed outside the sealed container and irradiates a laser beam for melting and heating the semiconductor thin film through the light transmission window;
Holding means for fixing and holding the substrate on the substrate mounting portion;
By adjusting the flow rate of the gas supplied into the sealed container, the atmospheric pressure in the sealed container at the time of irradiation with the laser light is equal to or higher than the vapor pressure defined by the temperature of the melted and heated semiconductor thin film. Pressure control means to control,
An alignment mechanism for adjusting the alignment of the substrate fixedly held on the substrate mounting portion with respect to the optical axis of the laser beam;
A focusing mechanism that adjusts a position in a focusing direction of the substrate fixedly held on the substrate mounting portion with respect to the laser beam;
A mask stage capable of irradiating the substrate with the laser light through an optical mask,
The mask stage starts moving to irradiate the laser beam to a desired position on the substrate when the alignment adjustment and the focusing are completed.
The laser beam irradiation unit irradiates the laser beam in consideration of an offset amount for exposure at a point away from the alignment adjustment position after the mask stage starts to move. Reformer.
請求項1において、
前記アライメント機構及び焦点合わせ機構は、前記基板の露光位置の誤差精度が0.1〜100μmの範囲に入るよう前記基板のアライメント及び焦点合わせ方向の位置を調整することを特徴とする半導体薄膜改質装置。
In claim 1,
The alignment mechanism and the focusing mechanism adjust the position of the alignment and focusing direction of the substrate so that the error accuracy of the exposure position of the substrate falls within a range of 0.1 to 100 μm. apparatus.
請求項1又は2において、
前記保持手段は、減圧吸着手段であることを特徴とする半導体薄膜改質装置。
In claim 1 or 2,
The semiconductor thin film reforming apparatus, wherein the holding means is a reduced pressure adsorption means.
請求項1又は2において、
前記保持手段は、静電吸着手段であることを特徴とする半導体薄膜改質装置。
In claim 1 or 2,
The semiconductor thin film reforming apparatus, wherein the holding means is an electrostatic adsorption means.
請求項1又は2において、
前記基板は、ガラス基板であり、
前記半導体薄膜は、シリコン薄膜であることを特徴とする半導体薄膜改質装置。
In claim 1 or 2,
The substrate is a glass substrate;
The semiconductor thin film reforming apparatus, wherein the semiconductor thin film is a silicon thin film.
請求項5において、
前記密閉容器内に窒素または不活性ガスを導入する手段と、前記密閉容器内に酸素ガスを導入する手段とをさらに有することを特徴とする半導体薄膜改質装置。
In claim 5,
A semiconductor thin film reforming apparatus further comprising means for introducing nitrogen or an inert gas into the sealed container and means for introducing oxygen gas into the sealed container.
請求項1又は2において、
前記半導体薄膜改質装置は、電界効果型薄膜トランジスタの製造に用いられることを特徴とする半導体薄膜改質装置。
In claim 1 or 2,
The semiconductor thin film reforming apparatus is used for manufacturing a field effect thin film transistor.
請求項1又は2において、
前記電界効果型薄膜トランジスタは、アクティブマトリクス型液晶装置の駆動素子として用いられることを特徴とする半導体薄膜改質装置。
In claim 1 or 2,
The field effect thin film transistor is used as a driving element of an active matrix liquid crystal device.
JP2007205151A 2007-08-07 2007-08-07 Semiconductor thin film modification method Expired - Fee Related JP4900128B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007205151A JP4900128B2 (en) 2007-08-07 2007-08-07 Semiconductor thin film modification method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007205151A JP4900128B2 (en) 2007-08-07 2007-08-07 Semiconductor thin film modification method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP36809599A Division JP2001185503A (en) 1999-12-24 1999-12-24 Semiconductor thin film modifying device

Publications (2)

Publication Number Publication Date
JP2008028405A true JP2008028405A (en) 2008-02-07
JP4900128B2 JP4900128B2 (en) 2012-03-21

Family

ID=39118657

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007205151A Expired - Fee Related JP4900128B2 (en) 2007-08-07 2007-08-07 Semiconductor thin film modification method

Country Status (1)

Country Link
JP (1) JP4900128B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011249787A (en) * 2010-04-28 2011-12-08 Semiconductor Energy Lab Co Ltd Method for measuring transistor
CN114096374A (en) * 2019-07-18 2022-02-25 东京毅力科创株式会社 Processing apparatus and processing method

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57181537A (en) * 1981-05-01 1982-11-09 Agency Of Ind Science & Technol Light pattern projector
JPH01259530A (en) * 1988-04-11 1989-10-17 Tokyo Electron Ltd Processing apparatus
JPH0396219A (en) * 1989-09-08 1991-04-22 Hitachi Ltd Aligning method
JPH04290217A (en) * 1991-03-19 1992-10-14 Hitachi Ltd Lighography
JPH04342111A (en) * 1991-05-20 1992-11-27 Hitachi Ltd Method and apparatus for projection exposure
JPH07118443B2 (en) * 1984-05-18 1995-12-18 ソニー株式会社 Manufacturing method of semiconductor device
JPH0963984A (en) * 1995-08-18 1997-03-07 Semiconductor Energy Lab Co Ltd Laser annealing method and laser annealing device
JPH09167593A (en) * 1995-12-14 1997-06-24 Nissin Electric Co Ltd Ion implantation device
JPH11176739A (en) * 1997-12-10 1999-07-02 Nikon Corp Ligner and aligning method
JPH11176752A (en) * 1997-12-09 1999-07-02 Nec Corp Method and apparatus for forming silicon wafer
JPH11251230A (en) * 1998-03-03 1999-09-17 Nikon Corp Position detecting device, aligner, and position detecting method
JPH11288867A (en) * 1998-04-02 1999-10-19 Nikon Corp Alignment method, formation of alignment mark, and aligner and method for exposure
JP2001023918A (en) * 1999-07-08 2001-01-26 Nec Corp Semiconductor thin-film forming apparatus

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57181537A (en) * 1981-05-01 1982-11-09 Agency Of Ind Science & Technol Light pattern projector
JPH07118443B2 (en) * 1984-05-18 1995-12-18 ソニー株式会社 Manufacturing method of semiconductor device
JPH01259530A (en) * 1988-04-11 1989-10-17 Tokyo Electron Ltd Processing apparatus
JPH0396219A (en) * 1989-09-08 1991-04-22 Hitachi Ltd Aligning method
JPH04290217A (en) * 1991-03-19 1992-10-14 Hitachi Ltd Lighography
JPH04342111A (en) * 1991-05-20 1992-11-27 Hitachi Ltd Method and apparatus for projection exposure
JPH0963984A (en) * 1995-08-18 1997-03-07 Semiconductor Energy Lab Co Ltd Laser annealing method and laser annealing device
JPH09167593A (en) * 1995-12-14 1997-06-24 Nissin Electric Co Ltd Ion implantation device
JPH11176752A (en) * 1997-12-09 1999-07-02 Nec Corp Method and apparatus for forming silicon wafer
JPH11176739A (en) * 1997-12-10 1999-07-02 Nikon Corp Ligner and aligning method
JPH11251230A (en) * 1998-03-03 1999-09-17 Nikon Corp Position detecting device, aligner, and position detecting method
JPH11288867A (en) * 1998-04-02 1999-10-19 Nikon Corp Alignment method, formation of alignment mark, and aligner and method for exposure
JP2001023918A (en) * 1999-07-08 2001-01-26 Nec Corp Semiconductor thin-film forming apparatus

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011249787A (en) * 2010-04-28 2011-12-08 Semiconductor Energy Lab Co Ltd Method for measuring transistor
CN114096374A (en) * 2019-07-18 2022-02-25 东京毅力科创株式会社 Processing apparatus and processing method
CN114096374B (en) * 2019-07-18 2024-04-09 东京毅力科创株式会社 Processing apparatus and processing method

Also Published As

Publication number Publication date
JP4900128B2 (en) 2012-03-21

Similar Documents

Publication Publication Date Title
KR100382868B1 (en) Apparatus for heating semiconducting thin layer
JP3491571B2 (en) Method of forming semiconductor thin film
JP3393469B2 (en) Thin film semiconductor device manufacturing method and thin film semiconductor forming apparatus
KR100738295B1 (en) Thin film processing method and thin film processing apparatus
KR100534501B1 (en) Method for processing thin film and apparatus for processing thin film
JP3586558B2 (en) Method for reforming thin film and apparatus used for implementing the method
US8710507B2 (en) Semiconductor thin film, thin film transistor, method for manufacturing same, and manufacturing equipment of semiconductor thin film
EP1067593B1 (en) Semiconductor thin film forming system
US6863733B1 (en) Apparatus for fabricating thin-film semiconductor device
JP4322373B2 (en) Film body part reforming apparatus and film body part reforming method
JP4900128B2 (en) Semiconductor thin film modification method
JP3204188B2 (en) Method for forming silicon thin film and apparatus for forming silicon thin film
JP3496678B1 (en) Semiconductor thin film
JP2008098310A (en) Crystallization method, crystallized substrate, manufacturing method of thin film transistor, thin film transistor, and display unit

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101130

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110315

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110607

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111219

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees