JP4322373B2 - Film body part reforming apparatus and film body part reforming method - Google Patents

Film body part reforming apparatus and film body part reforming method Download PDF

Info

Publication number
JP4322373B2
JP4322373B2 JP32440299A JP32440299A JP4322373B2 JP 4322373 B2 JP4322373 B2 JP 4322373B2 JP 32440299 A JP32440299 A JP 32440299A JP 32440299 A JP32440299 A JP 32440299A JP 4322373 B2 JP4322373 B2 JP 4322373B2
Authority
JP
Japan
Prior art keywords
film body
substrate
optical path
moving
processed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP32440299A
Other languages
Japanese (ja)
Other versions
JP2001142094A (en
Inventor
浩 田邉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP32440299A priority Critical patent/JP4322373B2/en
Publication of JP2001142094A publication Critical patent/JP2001142094A/en
Application granted granted Critical
Publication of JP4322373B2 publication Critical patent/JP4322373B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Liquid Crystal (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、膜体部改質装置及び膜体部改質方法に関するものであり、特に詳しくは、レーザ光を使用して膜体の所望の部位を効率的に改質する事が可能な膜体部改質装置及び膜体部改質方法に関するものである。
【0002】
【従来の技術】
従来から、膜体部改質装置或いは膜体部改質方法の一例として、ガラス基板上に薄膜トランジスタ(TFT)を形成する方法が代表的な技術として知られており、係る技術に関してはより具体的には、水素化アモルファスシリコンTFT技術及び、多結晶シリコンTFT技術が挙げられる。
【0003】
前者は作製プロセス最高温度300℃程度であり、移動度1cm /Vsec程度のキャリア移動度を実現している。この技術は、アクティブマトリクス型液晶ディスプレイ(AM−LCD)における各画素のスイッチングトランジスタとして用いられ、画面周辺に配置されたドライバー集積回路(IC、単結晶シリコン基板上に形成されたLSI)によって駆動される。
【0004】
各画素毎にスイッチング素子TFTがついているため、周辺ドライバ回路から液晶駆動用の電気信号を送るパッシブマトリクス型LCDに比べ、クロストーク等が低減され良好な画像品質を得られるという特徴を有する。
【0005】
一方後者は、例えば石英基板を用い1000°C程度のLSIと類似した高温プロセスを用いることで、キャリア移動度30〜100cm /Vsecの性能を得ることができる。
【0006】
このような高いキャリア移動度の実現は、たとえば液晶ディスプレイに応用した場合、各画素を駆動する画素TFTと同時に、周辺駆動回路部までもが同一ガラス基板上に同時に形成することができるという製造プロセスコストの低減、小型化に関する利点がある。
【0007】
つまり、小型化、高解像度化によりAM−LCD基板と周辺ドライバー集積回路の接続ピッチが狭小化し、タブ接続やワイヤボンディング法では対処しきれないからである。
【0008】
ところが、多結晶シリコンTFT技術において、上述のような高温プロセスを用いる場合、前者のプロセスが用いることができる安価な低軟化点ガラスを用いることができない。そこで多結晶シリコンTFTプロセスの温度低減が必要になっており、レーザ結晶化技術を応用した多結晶シリコン膜の低温形成技術が開発されてきた。
【0009】
一般に、レーザ結晶化用の光の供給方法は、図11に示すような構成によって行われる。
【0010】
つまり、パルスレーザ光源から供給されるレーザ光は複数のミラー群及び空間的な強度の均一化を行うべく設置されるビームホモジナイザ、ビームエキスパンダ等の光学素子群によって構成される光路を介し、被照射体、つまり被処理膜体部であるガラス基板上のシリコン薄膜1101に到達する。
【0011】
ビーム照射形状を線状1102にし、基板が配置されたYステージを移動させながらビームを照射することにより、シリコン薄膜の所望の領域1103が結晶化される。
【0012】
このとき、レーザ光源の発振制御と、Yステージの移動は図11(B)に示すようなタイミングで行われる。このときのステージ移動とパルス光の供給は、例えば次のような方法で行われる。
【0013】
1)ステージが一定速度で移動、同時にパルスレーザが一定周期で発振供給
2)(ステージ1ステップ移動して停止)+(パルスレーザを1パルス供給)を繰り返す
また、ビームの照射形状を矩形にした場合の従来の照射方法を図12に示す。
【0014】
一般にガラス基板に比べ照射範囲1102が小さいため、XYステージ上のガラス基板1101を移動させることにより基板上の任意の位置へのレーザ照射が行われる。
【0015】
XYステージの代わりに、光学素子群の移動(例えばX方向)とステージの移動(Y方向)を組み合わせる方法も用いられる。
【0016】
このような方法をとることにより結晶化領域1103を順次形成する。
【0017】
このときのステージ移動とパルス光の供給は次のような方法で行われる。
【0018】
3)ステージが一定速度で移動、同時にパルスレーザが一定周期で発振供給
4)(ステージ1ステップ移動して停止)+(パルスレーザを1パルス以上供給)を繰り返す
以上線状ビームあるいは矩形ビームを用いたレーザ結晶化において、2)、4)のような場合においては、基板ステージの移動の代わりに、光路の移動を用いることも試みられているが、1)、3)のような場合では、光路の移動手段を利用した照射方法は採られなかった。
【0019】
【発明が解決しようとする課題】
上記のような方法を用いた場合、ビームの照射エリア内でシリコン薄膜が溶融し、ランダムに核が形成され多結晶薄膜あるいは微結晶薄膜が得られる。このように形成された多結晶薄膜、微結晶薄膜における結晶粒径(あるいは結晶子サイズ)にたいし、その薄膜を用いて形成する薄膜トランジスタの活性領域のサイズ(チャネル長、チャネル幅)が十分に大きければ、隣接するあるいは基板上の薄膜トランジスタの特性均一性は良好となる。
【0020】
ところが、高い駆動能力を要求されるにあたり、薄膜トランジスタの微細化(すなわちチャネル長を短くする)が進んできた。結晶粒径とチャネルサイズが同等のレベルに達すると、薄膜トランジスタ中に存在する結晶粒界の多寡がその特性に大きく影響する。したがって、隣接するトランジスタ間においても特性差が顕著になるという問題があった。
【0021】
以上のような問題を克服する手段として、例えば、数ミクロンの線幅をもったビームをサブミクロン〜ミクロン単位でステップしながらシリコン薄膜に照射し、大粒径シリコン結晶を得ようとする技術が、例えば、R. Sposili and J. Im, “Sequential lateral solidification of thin silicon films on SiO2”, Applied Physics Letters誌、vol. 69, (1996), 2864に開示されている。
【0022】
さて、このような手法を液晶ディスプレイのような大面積デバイスの製造に利用する場合、動作精度の高いステージが要求される。
【0023】
また、一般に製造に使用される基板サイズは数100ミリメータ単位の大きさであること自動運転による基板搬送機構ではロボットとの基板の受け渡し位置が固定されていること等から、照射終了後にロボットとの基板受渡し位置に戻る動作において、このステージは高速動作が要求される。したがって、動作精度、特に位置精度と高速動作の両方の特性を有するステージが必要であった。
【0024】
その他、再公表特許WO97/23806号公報には、基板に形成されたアモルファスシリコン膜をレーザーによりアニールする方法が開示されているが、当該公報では、レーザービームは固定されており、基板のみが適宜の移動速度で移動せしめられる技術が開示されているのみで、基板の移動と同時にレーザビームの光路を移動させる技術に関しては開示が無い。
【0025】
又、特開平10−41244号公報には、被処理物の結晶性を均一化する為に複数の線状のレーザビームを使用する事が開示されているが、何れのレーザビームも固定されており、単に被処理物を搭載するステージが移動する様に構成されたものであって、ステージの移動と同時にレーザビームの光路を移動させる技術に関しては開示が無い。
【0026】
本発明の目的は、上記した従来技術の欠点を改良し、大型のデバイスを製造するに際しても、被処理膜体部の改質処理操作を容易に且つ効率的に行う事の可能な膜体部改質装置及び膜体部改質方法を提供するものである。
【0027】
【課題を解決するための手段】
本発明は上記した目的を達成するため、基本的には以下に記載されたような技術構成を採用するものである。
即ち、本発明に係わる膜体部改質装置の態様としては、
光源、当該光源から出射される光ビームを所望の形状に成形すると共に、当該成形された光ビームを所望の方向に指向させる光路移動手段、及び被処理膜体部を含む基板を搭載し、当該被処理膜体部の所望の部位を当該成形された光ビームに対応せしめる様に移動可能に構成された基板移動手段とから構成された膜体部改質装置であって、当該光路移動手段の動作精度は、当該基板移動手段の動作精度よりも高くなる様に構成されており、当該光路移動手段は、当該光源から出射される光ビームの断面積よりも小さな断面積を有する複数種の成形光ビームを形成する為の複数個のマスクパターンを有しており、当該光路移動手段に於ける当該マスクパターンには、アラインメントマーク形成用のマスクパターンを含んでいる膜体部改質装置において、
当該被処理膜体部は、非結晶化シリコンであり、改質後に結晶化シリコンが得られ、
当該膜体部改質装置は、制御手段を含み、当該制御手段は、当該基板上に搭載されている当該被処理膜体部に於ける所望の部位を改質すべく、所望の形状を有する成形光ビームを当該被処理膜体部に於ける所望の部位に指向せしめる為に、当該複数個のマスクパターンから所望のパターンを選択するパターン選択制御手段と、当該選択されたマスクパターンを使用して成形された当該成形光ビームによる光路の移動範囲領域内に、当該被処理膜体部に於ける所望の部位を移動させる為に、当該基板を所望の位置に移動させる第1の照射位置制御手段と、当該基板上に形成されたアラインメントマークを参照しながら当該光路移動手段を所望の位置に移動させる第2の照射位置制御手段と、当該光源を駆動させる光源駆動制御手段とから構成されており、
当該制御手段により、所望の照射位置に当該基板に搭載された当該被処理膜体部の所望の部位を移動させるステップと、アラインメント動作を行い照射位置を調整するステップと、当該基板に搭載された当該被処理膜体部へ光照射するステップとを順次実行する事を特徴とするものである。
【0028】
【発明の実施の形態】
本発明に係る当該膜体部改質装置及び当該膜体部改質方法は、上記した様な技術構成を採用しているので、例えば、液晶ディスプレイのような大面積デバイスの製造に利用する場合においても、動作精度の高いステージと高速動作が要求されるステージの両立を実現することにより、スループットの高い被処理膜体部の改質装置並びに被処理膜体部の改質方法を提供することができる。
【0029】
シリコン膜が堆積された基板上に形成されたマークに対しアライメント機能を利用したビームの位置合わせをおこなうことにより、所望の領域へμmオーダ以上の位置精度を持たせて露光することが可能になった。
【0030】
つまり、本発明に係る当該膜体部改質装置及び当該膜体部改質方法は、より具体的には、結晶性シリコン薄膜トランジスタに用いるシリコン薄膜及び電界効果型トランジスタに応用するための良質な半導体−絶縁膜界面の形成装置或いは半導体−絶縁膜界面の形成方法として実用化されうるものであり、又、パルスレーザ光を用いた半導体薄膜の製造装置及びその製造方法としても実用化しえるものである。
【0031】
さらには、本発明は、上記半導体薄膜あるいは電界効果型薄膜トランジスタにより構成されるディスプレイ、センサー等の駆動素子または駆動回路の製造装置並びにその製造方法としても使用可能である。
【0032】
従って、本発明に於ける当該膜体部改質装置のより具体的な態様としては、光源から供給される光を所望の強度分布をもって半導体層を有する基板表面に照射する半導体薄膜形成装置において、基板の移動機構に比べ動作精度の高い光路の移動機構を有する半導体薄膜形成装置であっても良く、それによって、高速動作を基板ステージに、高位置精度動作を光路ステージにそれぞれ担わせることによって、動作精度と高速動作の両立をはかることができる。
【0033】
又、本発明に於けるより詳細な具体的としては、上記した半導体薄膜形成装置において、基板の移動機構による第1の照射位置制御、光路または基板の移動機構によるアライメント制御、及び上記光路の移動による第2の照射位置制御とを順次行い、上記第2の位置制御工程と光の供給とが同時にまたは交互に行われるよう制御される半導体薄膜形成装置で有っても良い。
【0034】
【実施例】
以下に、本発明に係る膜体部改質装置及び膜体部改質方法の一具体例の構成を図面を参照しながら詳細に説明する。
【0035】
即ち、図1及び図2は、本発明に係る膜体部改質装置100の一具体例の構成の概略を示す平面図及び側面断面図であり、図中、光源10、当該光源10から出射される光ビーム20を所望の形状に成形すると共に、当該成形された光ビーム25を所望の方向に指向させる光路移動手段3、及び被処理膜体部2を含む基板4を搭載し、当該被処理膜体部2の所望の部位30を当該成形された光ビーム25に対応せしめる様に移動可能に構成された基板移動手段1とから構成された膜体部改質装置100であって、当該光路移動手段3の動作精度は、当該基板移動手段1の動作精度よりも高くなる様に構成されている膜体部改質装置100が示されている。本発明に於ける当該光路移動手段3の移動範囲は、当該基板移動手段1の移動範囲よりも狭くなる様に構成されている事が望ましく、又、当該光路移動手段3の移動速度は、当該基板移動手段1の移動速度よりも遅くなる様に構成されている事も望ましい。
【0036】
一方、本発明に於ける当該光路移動手段3及び当該基板移動手段1は、互いに同一方向に移動する様に構成されていても良く、又、両者は互いに反対方向に移動可能に構成されていても良い。
【0037】
又、本発明に於いては、当該光路移動手段3及び当該基板移動手段1は、互いに同時に移動する様に制御されるもので有っても良く、又両者は、個別的に移動する様に制御されるものであっても良い。
【0038】
更に、本発明に於ける当該光路移動手段3は、図5(A)に示す様なマスクパターン8を有するものであり、当該マスクパターンには、例えば、スリット状の開口部91、斜めの短いスリット開口部92、鉤状の開口部93、環状開口部94等が所定の間隔で所定の個数配置形成されている。
【0039】
更に、当該マスクパターン8には、アラインメントマーク形成用のスリット開口部95、96等が設けられていても良い。
【0040】
又、当該マスクパターン8には、アラインメントマークを検出する為の開口部97が設けられていても良い。
【0041】
即ち、本発明に於ける当該光路移動手段3には、当該光源10から出射される光ビーム20の断面積よりも小さな断面積を有する一つ若しくは複数種の成形光ビームを形成する為の一つ若しくは複数個のマスクパターン91〜96を有している事が望ましい。
【0042】
更に、当該光路移動手段3に於ける当該マスクパターン8には、アラインメントマーク形成用のマスクパターン97を含んでいる事も望ましい。
【0043】
本発明に於いては、当該光路移動手段3を移動操作するに際して、当該マスクパターンの少なくとも一つを選択して、その成形ビーム25の光路26を当該被処理膜体部2の所定の部位に移動する様に制御するものであるが、その際に、一つのマスクパターンを選択するのみでなく、図1(B)に示す様に、複数のマスクパターンを選択し、同時に複数本の成形ビーム25、25’を形成して出力する事も可能である。
【0044】
又、本発明に於いては、当該基板4上には、予め定められた位置にアラインメントマーク5が設けられているもので有っても良く、又、当該基板4上には、当初は当該アラインメントマーク5を形成しておかず、第1回目の当該改質処理操作を実行する際に、当該マスクパターン8に設けられたアラインメントマーク95又は96を使用して、当該基板4上にアラインメントマーク5を形成する様にしても良く、その後は、順次、前回の操作で形成された当該アラインメントマーク95、96を検出しながらアラインメント操作を実行する様にする事も可能である。
【0045】
つまり、当該光路移動手段3に於ける当該マスクパターン8に設けられたアラインメントマーク96、95は、上記した様な態様に於て使用されるものである。
【0046】
尚、本発明に係る被処理膜体部としては、特に限定されるものではないが、例えば、当該基板4上に形成された薄膜状の化学物質であり、薄膜半導体装置、薄膜トランジスタ等の製造に使用しうる膜材料が考えられる。
【0047】
又、本発明に於ける当該被処理膜体部の改質としても、特に特定されるものではないが、例えば、当該膜材料に対するアニーリング処理、非結晶化部分を結晶化処理する加熱処理、樹脂成分の硬化処理或いは溶融化処理、レジストに対する変成化処理等が考えられる。
【0048】
此処で、本発明に係る当該膜体部改質装置100及び当該膜体部改質方法の一具体例を当該被処理膜体部が基板4上に形成された半導体膜で、アモルファスシリコン成分の一部を結晶化シリコンに改質する場合に付いてより詳細に以下に説明する。
【0049】
即ち、図2は、図1に示した本発明に係る膜体部改質装置100に於ける膜体部改質処理操作に於ける具体例を説明した平面図である。
【0050】
以下に示す本発明に於ける具体例に於いては、半導体膜2付き基板4が基板ステージと称される基板移動手段1上に配置される。
【0051】
当該基板ステージである当該基板移動手段1は、従来から公知のXステージ及びYステージとから構成されており、その結果、当該被処理膜体部2を搭載した基板4は、XY平面上を任意の方向に移動可能である。
【0052】
当該XYステージの動作速度は、例えば100mm/sec、位置精度は1μmである。
【0053】
一方、当該光路移動手段3に於て、当該成形された光ビーム25のレーザ照射範囲31は、基本的には、当該光路移動手段3の移動可能範囲と当該マスクパターン8の表面に照射される当該光源10から出射された光ビーム20の断面積によって制限されるものであり、ここでは当該レーザマスク8表面に照射される照射範囲を示す。
【0054】
又、レーザ結晶化領域32はすでにレーザ照射が完了し結晶化された部分である。更に、本具体例に於いては、レーザ光源10を当該膜体部改質装置100とは分離して構成し、当該光源10から出射される光ビーム20を、適宜のレーザ導入窓(図示せず)を介して当該被処理膜体部の所定の部位に導入することによって、当該基板4は真空チャンバ(図示せず)内に配置することも可能である。
【0055】
一方、本具体例に於ける当該マスクパターン8は、当該光路移動手段3を構成するマスクステージによって、マスクステージ動作方向、例えば図2に示すY方向に0.5ミクロンの位置精度で動作する。
【0056】
図2(B)に示すように、当該基板移動手段1を構成するXYステージ動作により所望の位置に基板が配置された後、Y方向にマスクステージを含む光路移動手段3が移動し、それと同時にレーザが連続発振される。
【0057】
当該光路移動手段3を構成する当該マスク移動ステージを150μm/sec、レーザ光源を300Hzでそれぞれ運転することにより、レーザビームは0.5μmステップ毎に基板に搭載された当該被処理膜体部の所望の部位の表面に照射される。
【0058】
以上の動作を図1(B)の側面図を用いて説明する。
【0059】
即ち、半導体膜2付き基板4に成形されたレーザビーム25、25'が照射され、レーザ結晶化領域32が形成される。
【0060】
当該レーザ光25、25'はレーザ光源10から出射されたレーザービーム20が、マスクパターン8に対応するレーザマスク上においてレーザ照射範囲31内に照射され、その結果、当該マスクパターン8を有するマスクステージつまり光路移動手段3の所定の方向への移動動作により、当該被処理膜体部2に於ける所望の部位に所望のマスクパターン91〜94の何れかのパターンを通過して成形された一本若しくは複数本のレーザ光25が照射される。
【0061】
又、図3は、本発明の他の具体例の構成を示す図である。
【0062】
即ち、第1のエキシマレーザEL1及び第2のエキシマレーザEL2から供給されるパルス状UV光は、それぞれミラー34、35及び36を介してホモジナイザ41に導かれる。
【0063】
ここで、当該ビームの強度プロファイルが、前記した光路移動手段3に於けるマスクパターン8に相当する光学マスク39に於いて、所望の均一度、例えば面内分布±5%になるように整形する。
【0064】
尚、エキシマレーザEL1及びEL2から供給されるオリジナルなビーム20は、その強度プロファイルや総エネルギー量が、パルス間毎に変化する場合があるため、マスクパターン8である光学マスク上での強度が、空間的分布、パルス間ばらつきについて、より均一化されるための機構が設けられることが望ましい。
【0065】
ホモジナイザ41としては、フライアイレンズやシリンドリカルレンズを用いたものが一般的に用いられる。
【0066】
当該ホモジナイザ41から出力される当該光ビーム20は、ミラー37、光学レンズ38を介して、マスクパターン8である光学マスク39に照射される。
【0067】
上記光学マスク39によって成形された光ビーム25は縮小投影露光装置40を経て、例えば、真空チャンバ43に設けられたレーザ導入窓42を介して、真空チャンバ43内に設置された、基板4の表面に搭載されている当該被処理膜体部2の所望の部位に照射される。
【0068】
当該基板4は、適宜の基板移動手段1上に載置されており、当該基板移動手段1は、XYテーブルを構成していて、当該被処理膜体部2の任意の部位を当該成形された光ビーム25の照射位置に移動せしめる事が可能な様に構成されている。
【0069】
つまり、当該基板移動手段1からなる基板ステージの動作によって、当該被処理膜体部2の所望の領域、例えばパターン転写領域30に当該成形させた光ビームを露光することができる。
【0070】
図3に示す本具体例に於いては、当該マスクパターン8で成形された光ビーム25を縮小投影光学系40を使用して、縮小された光ビーム25のパターンを当該被処理膜体部2の所定の部位に照射する例を示したが、場合によっては、当該光ビーム25のパターンを、等倍或いは拡大投影を行ってもかまわない。
【0071】
即ち、本具体例に於いては、基板ステージである当該基板移動手段1の移動(図3に於けるX、Y方向)によって、基板4上に設けられた当該被処理膜体部2に於ける任意の領域30に、当該光ビーム25を照射せしめる事が出来る。
【0072】
また、上記光学マスク39を構成するマスクパターン8は、当該光路移動手段3を構成するマスクステージ(図示せず)上に設置され、当該光源から出力される光ビーム20の露光可能領域内であれば、上記光学マスク39を、適宜移動して基板上に設けられた当該被処理膜体部2の任意の部位に照射される光ビーム25を操作することが可能である。
【0073】
次に所望の光パターン25を所望の条件で基板上に設けられた当該被処理膜体部2の任意の部位に照射するために必要な機構について例示する。
【0074】
光軸の調整には微妙な調整が必要となるため、いったん調整を終えた光軸を固定しておき、基板側つまり基板移動手段1を移動させて、被処理膜体部の所望の位置を当該光ビーム25の照射位置に対応する様に調整する方が望ましく、本具体例に於いては、係る調整方法に付いての具体例を示す。
【0075】
つまり、光軸に対する被処理膜体部2の表面部の位置は、焦点(Z)方向位置及び光軸に対する垂直度を補正する必要がある。
【0076】
したがって、図3中、θxy傾き補正方向、θxz傾き補正方向、θyz傾き補正方向、x露光領域移動方向、y露光領域移動方向、z焦点合わせ方向で示すうち、θxy傾き補正方向、θxz傾き補正方向、θyz傾き補正方向の調整により光軸に対する垂直度を補正する。
【0077】
また、z焦点合わせ方向を調整することにより光学系の焦点深度にあった位置に基板照射面を配置制御する。
【0078】
図4は上記の調整や基板のアライメント機構の一例の構成を示す側面図である。
【0079】
図中、露光軸LOに対し、マスクパターン8に対応する光学マスク39、縮小投影露光装置40、レーザ導入窓42が図のように配置される。
【0080】
又、真空チャンバ43内に配置された、被処理膜体部2を搭載する基板4は、基板吸着機構付きヒータ等を有する基板移動手段1を構成するθxyθxzθyzステージ上に配置される。
【0081】
本具体例に於いては、真空チャンバ43を用いているが、実際の光照射は真空排気後、置換された不活性ガス、水素、酸素、窒素等の雰囲気中で行われることが望ましく、雰囲気圧も大気圧前後の圧力であってもよい。
【0082】
又、本具体例に於いて、基板吸着機構付きヒータを用いることによって光照射時に、室温〜400℃程度の基板加熱条件を選ぶことができる。
【0083】
上記のように、雰囲気圧を大気圧力程度にすることによって、真空チャック機能による基板の吸着ができるため、チャンバ内での基板ステージの移動等があってもずれを防止でき、投入された基板に多少のそり、たわみがあっても基板ステージに固定することができる。さらに加熱による基板のそり、たわみによる焦点深度ずれを最小限に抑えることができる。
【0084】
本具体例に於ける44及び45は、レーザ干渉計であり、又、46は、測長用窓である。
【0085】
又、本具体例に於いては、当該基板4の端部側面に測長用ミラー47を設ける事によって、当該基板4のアライメント及び基板4のZ方向位置の測定を行う事が可能である。
【0086】
一方、本具体例に於いて、アライメントを実行する場合には、当該基板4上のアライメントマーク5を、例えばオフアクシス顕微鏡48、顕微鏡用光源49、顕微鏡用素子50を用いて計測し、レーザ干渉計44又は45による基板位置情報を用いて所望の露光位置を計測できる。
【0087】
本具体例に於いては、当該アラインメントを実行する場合の一例として、オフアクシス法を例示したが、スルーザレンズ(Through The Lens)方式やスルーザマスク(Through The Mask (Reticle))方式を応用することも可能である。
【0088】
また、複数の計測地点から線形座標を最小2乗法を用いて決定することにより、計測時に生じる測定誤差を平均化する手段をとることもできる。
【0089】
又、前記した様に、図5(A)〜図5(C)にマスクパターン8とアライメントマーク95、96の関係について示した。
【0090】
即ち、本具体例に於いて使用される当該マスクパターン8に於いて、当該マスク部は非露光部81と露光部82とから構成される。例えば、エキシマレーザを光源にする場合、紫外光が透過する石英基板上にアルミニウム、クロム、タングステンなどの金属や、誘電体多層膜といった紫外光を吸収、反射する膜を形成し、フォトリソグラフィとエッチング技術を用いてパターンを形成する。
【0091】
マスクパターン8上の所望の選択されるパターン(図5(A)において白色部で示される)91〜94に応じて、被処理膜体部2の一例であるシリコン膜が露光され図5(B)に示されるように非露光シリコン81’内に露光シリコン82’が形成される。
【0092】
この時、必要に応じて当該マスクパターン8上に設けられたアラインメントマーク形成95、96が、当該基板4上に設けられたアラインメントマーク5と一致する様にアライメント調整後露光することによって、シリコン薄膜上の予め設計された位置を露光することが可能となる。
【0093】
また、上記シリコン薄膜を用いた薄膜トランジスタ形成工程において、露光プロセスが位置決めを必要とする第1工程の場合(すなわちアライメントマークが予め形成されていない場合)、シリコン薄膜への露光工程時に、露光形成マーク95又は96を同時に露光することによって、アモルファスシリコンa−Siと結晶Siとの光学的色差を利用したアライメントマーク82’が形成できる。
【0094】
従って、当該アラインメントマーク82’を基準に後工程におけるフォトリソグラフィ等を行うことによって、露光改質された所望の領域に、トランジスタや所望の機構、機能を作り込むことができる。
【0095】
露光工程後シリコン薄膜上にSi酸化膜を形成し、シリコン層の所望の領域がエッチング除去された状態を図5(C)とそのA−A断面図に示す。
【0096】
シリコン除去部85は、積層されたシリコン膜とシリコン酸化膜がエッチング除去された領域であり、非露光シリコン81’と露光シリコン82’上にシリコン酸化膜86、87が積層された形状が示されている。
【0097】
このように、酸化膜で覆われたシリコン膜からなる島状構造を作り込むことによって、素子間分離された薄膜トランジスタのチャネル/ソース・ドレイン領域や後工程のアライメントに必要なマークを形成することができる。
【0098】
図6(A)及び図6(B)に本具体例に於ける膜体部改質方法での主要動作のタイミングチャートを示す。
【0099】
即ち、図6(A)の制御例1では基板ステージを構成する基板移動手段1の動作により、所望の露光位置に基板4に搭載された当該被処理膜体部2の所望の部位を移動させる。
【0100】
次に焦点合わせやアライメント動作を行い精密に露光位置を調整する。このとき、例えば0.1μm〜100μm程度といった、所望の設定誤差精度にはいるように調整する。
【0101】
その動作が完了した時点で、基板4に搭載された当該被処理膜体部2への光照射が実行される。
【0102】
これらの一連の動作を終了した時点で、次の露光領域へ基板が移動し、基板上に搭載されている当該被処理膜体部2の必要な箇所を更に照射終了した後、基板4が交換され、第2の処理基板4上に設けられた当該被処理膜体部2に対して、所定の一連の処理を行う。
【0103】
又図6(B)に示す本発明に係る当該膜体部改質方法の制御例2では、基板ステージ1の動作により所望の露光位置に基板を移動させる。
【0104】
次に焦点合わせやアライメント動作を行い精密に露光位置を調整する。このとき、例えば0.1μm〜100μm程度といった、所望の設定誤差精度にはいるように調整する。
【0105】
その動作が完了した時点で、マスクステージである光路移動手段3の動作を始動する。
【0106】
当該光路移動手段3の始動時の移動ステップ量のばらつきを避けるために、基板への光照射はマスクステージ動作の開始よりもあとから開始されるチャートである。
【0107】
もちろんステージの移動によりアライメント位置から離れた地点に露光されるため、その分のオフセット量は予め考慮する必要があることはいうまでもない。
又、本発明に於いては、当該基板に搭載された当該被処理膜体部2への光照射よりも早く光源の運転を開始し、光源10の出力強度の安定性が高まった時点で、シャッタ等を開き基板4への光照射を行うことも可能である。
【0108】
特にエキシマレーザを光源に用い、発振期間と停止期間とが繰り返されるような使用法をとった場合、初期の数10パルスが特に不安定なことが知られており、これらの不安定なレーザパルスを照射したくない場合には、マスクステージの動作に合わせてビームを遮断する方式をとることができる。
【0109】
これらの一連の動作を終了した時点で、次の露光領域へ基板が移動し、基板4上の当該被処理膜体部2に於ける必要な箇所を照射終了した後、基板が交換され第2の処理基板4上で所定の一連の処理を行う。
【0110】
膜厚75nmのa−Si薄膜に対して1mm×50μmのビームを短軸方向に0.5μmピッチで走査した。
【0111】
一つの光源10を用いてレーザ照射強度は照射面で470mJ/cm としたところ、走査方向に連続する単結晶シリコン薄膜が得られた。
【0112】
さらに、第2光源を照射面で150mJ/cm となるように、100nsec遅延させて照射した条件では1.0μmの走査ピッチ条件でも走査方向に連続する単結晶シリコン薄膜が得られた。
【0113】
上記結晶化シリコン膜中のトラップ準位密度は1012cm−2より低い値を示した。
【0114】
図7は、本発明の実施の形態を示す半導体薄膜形成装置の側面図である。
【0115】
即ち、図中、プラズマCVD室70、レーザ照射室71、基板搬送室72から構成され、ゲートバルブ73、74を介して基板4の搬送が装置外部の雰囲気に触れることなく真空中、不活性ガス、窒素、水素、酸素等の雰囲気かつ高真空、減圧、加圧状態で可能である。
【0116】
レーザ照射室71においては、400℃程度まで加熱可能な、基板移動手段1を構成する基板ステージ1上に適宜のチャック機構を用いて基板4が設置される。又、当該基板4の表面には、所望の被処理膜体部2が配置形成されている。
【0117】
当該プラズマCVD室70では、400℃程度まで加熱可能な基板ホルダー75上に被処理膜体部2を有する基板4が設置される。
【0118】
この例ではガラス基板4上にシリコン薄膜2が形成された状態でレーザ照射室71に導入され、表面のシリコン薄膜2がレーザ照射により結晶性シリコン薄膜に改質され、プラズマCVD室70に搬送された状態を示している。
【0119】
レーザ照射室71に導入されるレーザ光25は、エキシマレーザEL1或いはエキシマレーザEL2から供給されるビーム20が第1のビームラインL1及び第2のビームラインL2をそれぞれ通過して、ミラー77及び透過ミラー78を有するレーザ合成光学装置76及び、ホモジナイザ79、光学マスクステージを構成する光路移動手段3に固定された光学マスク80及び投影光学装置182とから構成されるレーザ照射光学装置83を通過した後、レーザ導入窓84を介して基板表面の被処理膜体部2の所望の部位に到達する。
【0120】
ここでは2台のエキシマレーザを図示したが、光源10としては1台以上所望の台数を設置することもできる。またエキシマレーザに限らず、炭酸ガスレーザ、YAGレーザ等のパルスレーザや、アルゴンレーザ等のCW光源と高速シャッタを用いてパルス上に供給してもよい。
【0121】
一方、プラズマCVD室70はRF電極185とプラズマ閉じこめ電極187により形成されるプラズマ形成領域186が基板4が配置される領域とは離れた位置に形成される。
【0122】
当該プラズマ形成領域186には、例えば、酸素とヘリウムを、原料ガス導入装置188を用いてシランガスを供給することにより、基板4上に酸化シリコン膜を形成することができる。
【0123】
又、図8には、本発明の一具体例の形態を示す半導体薄膜形成装置200の平面図を示す。
【0124】
図中、ロード/アンロード室89、プラズマCVD室90、基板加熱室191、水素プラズマ処理室192、レーザ照射室193、基板搬送室194がそれぞれゲートバルブGV1〜GV6を介して接続されている。
【0125】
第1のビームラインL1と第2のビームラインL2から、個別に供給されるレーザ光10、10'がレーザ合成光学装置195、レーザ照射光学装置196、レーザ導入窓84を介して基板4に設けられた当該被処理膜体部2の表面に照射される。
【0126】
また、それぞれのプロセス室、搬送室はガス導入装置97−1〜97−7、排気装置Vent1〜Vent7が接続されており、所望のガス種の供給、プロセス圧の設定、排気、真空が調整される。
【0127】
図8中、点線で示すように処理基板4−2、4−6が平面上に配置される。
【0128】
図9は、本発明に於いて使用されるプラズマCVD室70の一例の構成を示す概略図である。
【0129】
即ち、13.56MHzあるいはそれ以上の高周波が好ましくは使用される高周波電源101から電力が高周波電極102に供給される。その結果、ガス供給穴付き電極103と高周波電極102の間にプラズマが形成され、反応形成されたラジカルがガス供給穴付き電極103を通り基板4が配置された領域に導かれる。
【0130】
一方、平面型ガス導入装置104によりプラズマに曝すことなく別のガスが導入され、気相反応を経て基板4上に薄膜2が形成される。
【0131】
又、当該基板4を支持する、基板移動手段に相当する基板ホルダー1は、ヒータ等により室温から500℃程度までの加熱行うように設計した。
【0132】
図示のように、排気装置105、ガス導入装置106、酸素ライン107、ヘリウムライン108、水素ライン109シランライン110、ヘリウムライン111、アルゴンライン112等を用いて酸素ラジカルとシランガスを反応させることによって酸化シリコン膜2を形成できる。
【0133】
本具体例に於ける成膜条件は、基板温度300℃、圧力0.1torr、RF電力100W、シラン流量10sccm、酸素流量400sccm、ヘリウム流量400sccmの条件で膜形成を行ったところ、固定酸化膜電荷密度(5×1011cm−2)と良好な特性を有するシリコン酸化膜の形成を確認している。
【0134】
また、シランに対する酸素流量比を大きくすることでより良好な酸化膜の形成が可能である。
【0135】
プラズマCVD室70の形態としては上述のような平行平板型のRFプラズマCVD装置ばかりでなく、減圧CVDや常圧CVDといったプラズマを利用しない方法や、マイクロ波やECR(Electron Cycrotron Resonance)効果を用いたプラズマCVD法を用いることも可能である。
【0136】
図9に示すプラズマCVD装置70を酸化シリコン膜以外の薄膜形成に用いる場合に必要なガス種として以下のような原料が使用できる。
【0137】
例えば、Si 窒化シリコン膜の形成にはN (窒素)(あるいはアンモニア)、キャリアガスとしてAr (アルゴン)、SiH (シラン)、キャリアガスとしてアルゴン等を用いることができる。
【0138】
又、シリコン薄膜の形成には水素とシラン、水素(キャリアガスとしてアルゴン)とSiF 4フッ化シラン(キャリアガスとしてアルゴン)等の原料ガスを用いることができる。また、成膜プロセスではないが、水素プラズマを利用してシリコン薄膜や酸化シリコン膜の水素プラズマ処理も可能である。
【0139】
図10は、本発明に於いて、TFTを製造する際に、予めアライメントマークを設け、アライメントマークに応じたレーザ照射を行う場合の具体例について、当該TFT製造工程フローをもとに説明する。
【0140】
図10(a)に示す様に、先ず、洗浄によって有機物や金属、微粒子等を除去したガラス基板4上に基板カバー膜121、シリコン薄膜2を順次形成する。
【0141】
基板カバー膜121としてLPCVD(減圧化学的気相成長)法でシランと酸素ガスを原料とし、450℃で酸化シリコン膜を1μm形成する。
【0142】
LPCVD法を用いることにより基板保持領域を除き基板外表面全体をカバーすることも可能である(図示せず)。
【0143】
あるいは、テトラエトキシシラン(TEOS)と酸素を原料としたプラズマCVD、TEOSとオゾンを原料とした常圧CVD、図8に示すようなプラズマCVD等を利用することも可能であり、基板材料(アルカリ金属濃度を極力低減したガラス、表面を研磨加工した石英・ガラス等)が含む半導体デバイスに有害な不純物の拡散防止ができる材料が基板カバー膜として有効である。
【0144】
シリコン薄膜はLPCVDでジシランガスを原料として500℃で厚さ75nm形成する。この場合膜中に含まれる水素原子濃度が1原子%以下となるため、レーザ照射工程での水素放出による膜荒れ等を防ぐことができる。
【0145】
あるいは、図7に示すようなプラズマCVD法や広く普及しているプラズマCVD法を用いても、基板温度や水素/シラン流量比、水素/4フッ化シラン流量比等を調整することによって水素原子濃度が低いシリコン薄膜2を形成できる。
更に、アライメントマークの形成のために、フォトリソグラフィとエッチングによりパターン化しアライメントマーク129を基板4上に形成する。
【0146】
次にアライメントマーク129を保護するためにマーク保護膜130を形成し、シリコン薄膜2を形成する。
【0147】
続いて、図10(b)に示す様に、上記した図10(a)の工程で準備した基板4を、有機物や金属、微粒子、表面酸化膜等を除去するための洗浄工程を経た後、本発明に係る図9で例示する薄膜形成装置に導入する。
【0148】
レーザ光LOが照射し、シリコン薄膜2を結晶化シリコン薄膜2’に改質する。レーザ結晶化は99.9999%以上の高純度窒素700torr以上の雰囲気で行われ、レーザ照射が完了後、酸素ガスを導入する。
【0149】
レーザ光露光時にはアライメントマーク129を基準に所望の領域が露光される。その後は、予め設けられたアライメントマーク129や、結晶化シリコン薄膜パターニングによって形成されるアライメントマーク(図示せず)を基準に、次工程のアライメントを行うことができる。
【0150】
上記工程を経た基板4は、図10(c)に示す様に、ガスが排気された後、基板搬送室を介してプラズマCVD室に搬送される。
【0151】
先ず、第1のゲート絶縁膜123として、シラン、ヘリウム、酸素を原料ガスとして基板温度350度で酸化シリコン膜を10nm堆積する。このあと必要に応じて水素プラズマ処理や加熱アニールを行う。ここまでが本発明の薄膜形成装置において処理される。
【0152】
次に、図10(d)に示す様に、フォトリソグラフィとエッチング技術を用いてシリコン薄膜2’と酸化シリコン膜積層膜123のアイランドを形成する。このとき、シリコン薄膜2’に比べ酸化シリコン膜123のエッチングレートが高いエッチング条件を選択することが好ましい。
【0153】
図に示すようにパターン断面が階段状(あるいはテーパ状)に形成することによって、ゲートリークを防ぎ信頼性の高い薄膜トランジスタを提供できる。
【0154】
次に、図10(e)に示す様に、有機物や金属、微粒子等を除去するための洗浄を行った後、上記アイランドを被覆するように第2のゲート絶縁膜124を形成する。ここでは、LPCVD法でシランと酸素ガスを原料とし、450℃で酸化シリコン膜を30nm形成した。
【0155】
あるいはTEOSと酸素を原料としたプラズマCVD、TEOSとオゾンを原料とした常圧CVD、図8に示すようなプラズマCVD等を利用することも可能である。
【0156】
次にゲート電極としてn シリコン膜を80nm、タングステンシリサイド膜を110nm形成する。
【0157】
シリコン膜はプラズマCVDやLPCVD法で形成された結晶性のリンドープシリコン膜が望ましい。その後、フォトリソグラフィとエッチング工程を経て、パターン化されたゲート電極125を形成する。
【0158】
次に、図10(f)及び図10(g)に示す様に、ゲートをマスクとして不純物を注入して不純物注入領域126, 126’を形成する。
【0159】
つまり、CMOS型回路を形成する場合は、フォトリソグラフィを併用して、図10(f)に示す、n 領域が必要なn−チャネルTFTと図10(g)に示す、p 領域が必要なp−チャネルTFTとを作り分ける。
【0160】
注入される不純物イオンの質量分離を行わないイオンドーピングや、イオン注入、プラズマドーピング、レーザドーピング等の方法を採ることができる。そのとき用途や不純物導入方法によって図10(f)及び図10(g)に示す様に、表面の酸化シリコン膜を残したまま、あるいは除去した後に不純物の導入を行う。
【0161】
その後、図10(h)及び図10(i)に示す様に、層間分離絶縁膜127、127’をそれぞれ個別に堆積し、コンタクトホールを開口後、金属を堆積、フォトリソグラフィとエッチングにより金属配線128を形成する。
【0162】
層間分離絶縁膜127、127’としては、膜の平坦化が図れるTEOS系酸化膜やシリカ系塗布膜、有機塗布膜を用いることができる。
【0163】
コンタクトホール開口はフォトリソグラフィとエッチングにより、金属配線は抵抗の低いアルミニウム、銅あるいはそれらをベースとした合金、タングステンやモリブデンといった高融点金属が応用できる。以上のような工程を行うことによって、性能、信頼性の高い薄膜トランジスタを形成することができる。
【0164】
上記した各具体例の構成から明らかな様に、本発明に係る当該膜体部改質装置100に於いては、当該光路移動手段3に於ける当該所望の成形された光ビーム25と当該基板移動手段1に於ける当該被処理膜体部2の所望の被処理部位30との位置合わせ操作は、当該基板4上に設けられている当該アラインメントマーク5、129を参照して実行されるものである。
【0165】
より具体的には、本発明に於ける当該光路移動手段3に於ける当該所望の成形された光ビーム25と当該基板移動手段1に於ける当該被処理膜体部2の所望の被処理部位30との位置合わせ操作は、当該基板4上に設けられている当該アラインメントマーク5、129と当該光路移動手段3に設けられている当該アラインメントマーク検出手段、例えば、開口部97とを一致させる様に制御するものである。
【0166】
本発明に於ける当該アラインメントマークを利用して当該基板4上の被処理膜体部2と当該光路移動手段3との位置合わせ方法は、公知の方法を利用する事が可能であり、例えば、画像認識手段、二次電子検出手段、レーザー干渉計等を利用する事が可能である。
【0167】
係る制御を実行する為に本発明に於ける膜体部改質装置100に於いては、図1(A)に示す様に、当該基板4上に搭載されている被処理膜体部2に於ける所望の部位を改質する為に所望の形状を有する成形光ビーム25を当該被処理膜体部2に於ける所望の部位30に指向せしめる為に、当該マスクパターン8から所望のパターンを選択するパターン選択制御手段58、当該選択されたマスクパターンを使用して成形された成形光ビーム25による光路の移動範囲領域内に、当該被処理膜体部2に於ける所望の部位30を移動させる為に、当該基板4を所望の位置に移動させる第1の照射位置制御手段40、当該基板4上に形成されたアラインメントマーク5を参照しながら当該光路移動手段3を所望の位置に移動させる第2の照射位置制御手段50、当該基板4上に形成されたアラインメントマーク5と当該光路移動手段3のマスクパターン8内に形成されているアラインメントマーク検出手段97とが一致するか否かを判断する検出手段6、当該光源10を駆動させる光源駆動制御手段60、及び上記各手段を総合的に制御する制御演算手段65とから構成される制御手段66を有している事が望ましい。
【0168】
本発明に於ける当該基板4上に形成されている当該アラインメントマーク5又は129は、予め当該基板上に形成されたものであっても良く、又、当該マスクパターン8に於けるアラインメントマーク用パターン97を使用して当該被処理膜体部2の処理操作中に形成されたものであっても良い。
【0169】
本発明に於ける当該被処理膜体部は、一例としては、非結晶化シリコンであり、改質後に結晶化シリコンを得る様に処理されるものである。
【0170】
又、本発明に於ける別の態様としての膜体部改質方法に於いては、光源10、当該光源から出射される光ビーム20を所望の形状に成形すると共に、当該成形された光ビーム25を所望の方向に指向させる光路移動手段3、及び被処理膜体部2を含む基板4を搭載し、当該被処理膜体部2の所望の部位を当該成形された光ビーム25に対応せしめる様に移動可能に構成された基板移動手段1とから構成された膜体部改質装置100であって、当該光路移動手段3の動作精度を当該基板移動手段1の動作精度よりも高くなる様に設定して当該被処理膜体部を改質処理する様に構成されている事が望ましい。
【0171】
係る本発明の膜体部改質方法に於いては、当該光路移動手段3の移動範囲は、当該基板移動手段1の移動範囲よりも狭くなる様に設定する事も好ましい。
【0172】
更に、当該光路移動手段3の移動速度は、当該基板移動手段1の移動速度よりも遅くなる様に設定する事も望ましい。
【0173】
当該光路移動手段3及び当該基板移動手段1は、互いに同一方向若しくは互いに反対方向に移動せしめられる様に構成されており、又、当該光路移動手段3及び当該基板移動手段1は、互いに同時に移動させるか、個別的に移動せしめる制御せしめられる様に構成されても良い。
【0174】
当該光路移動手段3は、当該光源10から出射される光ビーム20の断面積よりも小さな断面積を有する一つ若しくは複数種の成形光ビーム25を形成する為の一つ若しくは複数個のマスクパターンを有している事が望ましく、又、当該マスクパターン8には、アラインメントマーク形成用のマスクパターン97或いはアラインメントマーク検出用の開口部が含まれている事も望ましい。
【0175】
本発明に於いては、当該光路移動手段3に於ける当該所望の成形された光ビーム25と当該基板移動手段1に於ける当該被処理膜体部2の所望の被処理部位5との位置合わせ操作は、当該基板4上に設けられている当該アラインメントマーク5と当該光路移動手段3に設けられている当該アラインメントマーク又はアラインメントマーク検出手段97とを一致させる様に制御するものである。
【0176】
本発明に於ける当該膜体部改質方法は、上記した様に、当該膜体部改質装置は、制御手段を含み、当該制御手段は、当該基板上に搭載されている被処理膜体部に於ける所望の部位を改質する為に所望の形状を有する成形光ビームを当該被処理膜体部に於ける所望の部位に指向せしめる為に、当該マスクパターンから所望のパターンを選択するパターン選択する工程、当該選択されたマスクパターンを使用して成形された成形光ビームによる光路の移動範囲領域内に、当該被処理膜体部に於ける所望の部位を移動させる為に、当該基板を所望の位置に移動させる工程、当該基板上に形成されたアラインメントマークを参照しながら当該光路移動手段を所望の位置に移動させる工程及び当該光源を駆動させる工程とから構成されている事が好ましい。
【0177】
【発明の効果】
本発明に係る当該膜体部改質装置及び膜体部改質方法は、上記した様な技術構成を採用しているので、例えば、半導体装置に於ける配線部等の所望の大きさ、面積を有する部分に於ける化学物質からなる被処理膜体部を所望の特性を有する様に効率的に且つ容易に改質する事が可能である。
【0178】
特に、本発明に於ける膜体部改質装置に於いては、液晶ディスプレイのような大面積デバイスの製造に利用する場合においても、動作精度の高いステージと高速動作が要求されるステージの両立を実現することにより、スループットの高い半導体薄膜製造装置を提供することができる。
【0179】
シリコン膜が堆積された基板上に形成されたマークに対しアライメント機能を利用したビームの位置合わせをおこなうことにより、所望の領域へμmオーダ以上の位置精度を持たせて露光することが可能になった。
【図面の簡単な説明】
【図1】図1は、本発明に係る膜体部改質装置の一具体例の構成を説明するブロック図である。
【図2】図2は、本発明に係る膜体部改質装置の一具体例に於ける動作の例を説明する平面図である。
【図3】図3は、本発明に係る膜体部改質装置の一具体例の構成の概要を説明する斜視図である。
【図4】図4は、本発明にかかる膜体部改質装置に於けるアラインメント機構の一具体例を説明するブロック図である。
【図5】図5は、本発明の膜体部改質装置に於いて使用されるマスクパターンとその使用方法の一例を説明する図である。
【図6】図6は、本発明に於ける膜体部改質方法に於ける制御の一具体例を説明するタイミングチャートである。
【図7】図7は、本発明の膜体部改質装置と関連して使用される半導体薄膜形成装置、搬送室、プラズマCVD室の一具体例の構成を示す側部断面図である。
【図8】図8は、本発明の膜体部改質装置と関連して使用される半導体薄膜形成装置、搬送室、プラズマCVD室等の配置状態を説明する平面図である。
【図9】図9は、本発明の膜体部改質装置と関連して使用されるプラズマCVD室の一例の構成を示す側面断面図である。
【図10】図10は、本発明に係る膜体部改質方法をTFT製造プロセスに応用した場合の製造工程の手順を示す図である。
【図11】図11は、従来に於けるエキシマレーザアニール装置の一例を説明する平面図である。
【図12】図12は、従来のエキシマレーザアニール装置の動作を説明する図である。
【符号の説明】
1...光路移動手段
2...被処理膜体部
2'...改質薄膜
4...基板
5、129...アラインメントマーク
6...アラインメントマーク検出手段
8...マスクパターン
100...膜体部改質装置
10...光源
20...光ビーム
21...光路移動手段の動作範囲
25、25'...成形された光ビーム
26...光路
30...被処理膜体部の所望の部位、パターン転写領域
31...レーザ照射範囲
34、35、36、37...ミラー
38...光学レンズ
39...光学マスク
40...縮小投影露光装置
41...ホモジナイザ
42...レーザ導入窓
43...真空チャンバ
44、45...レーザ干渉計
46...測長用窓47...測長用ミラー
48...オフアクシス顕微鏡
49...顕微鏡用光源
50...顕微鏡用素子
70、90...プラズマCVD室
71...レーザ照射室
72...基板搬送室
73、74...ゲートバルブ
75...基板ホルダー
76...レーザ合成光学装置
77...ミラー
78...透過ミラー
79...ホモジナイザ
80...光学マスク
81...非露光部
81'...非露光シリコン
82...露光部
82'...露光シリコン
83'...アライメントマーク
84...レーザ導入窓
85...シリコン除去部
86、87...シリコン酸化膜
89...ロード/アンロード室
91...スリット状の開口部
92...斜めの短いスリット開口部
93...鉤状の開口部
94...環状開口部
95、96...アラインメントマーク形成用のスリット開口部
97...アラインメントマーク検出用開口部
101...高周波電源
102...高周波電極
103...ガス供給穴付き電極
104...平面型ガス導入装置
105...排気装置
106...ガス導入装置
107...酸素ライン
108...ヘリウムライン
109...水素ライン
110...シランライン
111...ヘリウムライン
112...アルゴンライン
121...基板カバー膜
123...酸化シリコン膜積層膜
124...ゲート絶縁膜
125...ゲート電極
126, 126'...不純物注入領域
127...層間分離絶縁膜
128...金属配線
130...マーク保護膜
182...投影光学装置
83...レーザ照射光学装置
185...RF電極
187...プラズマ閉じこめ電極
186...プラズマ形成領域
188...原料ガス導入装置
191...基板加熱室
192...水素プラズマ処理室
193...レーザ照射室
194...基板搬送室
195...レーザ合成光学装置
196...レーザ照射光学装置
97−1〜97−7...ガス導入装置
Vent1〜Vent7...排気装
00...半導体薄膜形成装置
1101...シリコン薄膜
1102...線状ビーム照射範囲
1103...結晶化領域
1104...レーザ導入窓
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a film body part reforming apparatus and a film body part reforming method, and in particular, a film capable of efficiently modifying a desired part of a film body using a laser beam. The present invention relates to a body part reforming apparatus and a film body part reforming method.
[0002]
[Prior art]
Conventionally, a method for forming a thin film transistor (TFT) on a glass substrate has been known as a representative technique as an example of a film body part reforming apparatus or a film body part reforming method. Includes hydrogenated amorphous silicon TFT technology and polycrystalline silicon TFT technology.
[0003]
The former has a maximum manufacturing process temperature of about 300 ° C and a mobility of 1 cm.2 / Vsec carrier mobility is realized. This technology is used as a switching transistor for each pixel in an active matrix liquid crystal display (AM-LCD) and is driven by a driver integrated circuit (IC, LSI formed on a single crystal silicon substrate) arranged around the screen. The
[0004]
Since each pixel is provided with a switching element TFT, it has a feature that crosstalk and the like can be reduced and good image quality can be obtained as compared with a passive matrix LCD that transmits an electric signal for driving liquid crystal from a peripheral driver circuit.
[0005]
On the other hand, the latter uses, for example, a quartz substrate and a high temperature process similar to an LSI of about 1000 ° C., so that the carrier mobility is 30 to 100 cm.2 / Vsec performance can be obtained.
[0006]
The realization of such high carrier mobility is a manufacturing process in which, for example, when applied to a liquid crystal display, the pixel TFT for driving each pixel and the peripheral drive circuit section can be simultaneously formed on the same glass substrate. There are advantages relating to cost reduction and downsizing.
[0007]
In other words, the connection pitch between the AM-LCD substrate and the peripheral driver integrated circuit is narrowed by downsizing and high resolution, and the tab connection or wire bonding method cannot cope with it.
[0008]
However, in the polycrystalline silicon TFT technology, when the high temperature process as described above is used, an inexpensive low softening point glass that can be used by the former process cannot be used. Therefore, it is necessary to reduce the temperature of the polycrystalline silicon TFT process, and a technique for forming a polycrystalline silicon film at a low temperature by applying a laser crystallization technique has been developed.
[0009]
In general, a method of supplying light for laser crystallization is performed by a configuration as shown in FIG.
[0010]
That is, the laser beam supplied from the pulsed laser light source is subjected to an optical path constituted by a plurality of mirror groups and an optical element group such as a beam homogenizer and a beam expander installed to make the spatial intensity uniform. It reaches the silicon thin film 1101 on the glass substrate which is the irradiated body, that is, the film body to be processed.
[0011]
A desired area 1103 of the silicon thin film is crystallized by changing the beam irradiation shape to a linear line 1102 and irradiating the beam while moving the Y stage on which the substrate is arranged.
[0012]
At this time, the oscillation control of the laser light source and the movement of the Y stage are performed at a timing as shown in FIG. The stage movement and supply of pulsed light at this time are performed by the following method, for example.
[0013]
1) The stage moves at a constant speed, and at the same time, a pulse laser oscillates at a constant cycle.
2) Repeat (stage one step move and stop) + (pulse laser supply 1 pulse)
FIG. 12 shows a conventional irradiation method when the beam irradiation shape is rectangular.
[0014]
  Generally compared to glass substratesBeshoSince the irradiation range 1102 is small, laser irradiation to an arbitrary position on the substrate is performed by moving the glass substrate 1101 on the XY stage.
[0015]
Instead of the XY stage, a method of combining the movement of the optical element group (for example, the X direction) and the movement of the stage (Y direction) is also used.
[0016]
By using such a method, the crystallized regions 1103 are sequentially formed.
[0017]
The stage movement and supply of pulsed light at this time are performed by the following method.
[0018]
3) The stage moves at a constant speed, and at the same time, the pulse laser oscillates at a constant cycle.
4) (Stage is moved by 1 step on stage) + (Supply more than 1 pulse of pulse laser) is repeated
In laser crystallization using a linear beam or a rectangular beam, in cases such as 2) and 4), it has been attempted to use movement of the optical path instead of movement of the substrate stage. In the case of 3), an irradiation method using a moving means of the optical path was not taken.
[0019]
[Problems to be solved by the invention]
When the above method is used, the silicon thin film is melted in the beam irradiation area, and nuclei are randomly formed to obtain a polycrystalline thin film or a microcrystalline thin film. The size (channel length, channel width) of the active region of the thin film transistor formed using the thin film is sufficiently larger than the crystal grain size (or crystallite size) in the polycrystalline thin film and microcrystalline thin film thus formed. If it is large, the characteristic uniformity of the thin film transistor adjacent to or on the substrate is good.
[0020]
However, miniaturization of the thin film transistor (that is, shortening the channel length) has progressed when high driving capability is required. When the crystal grain size and the channel size reach the same level, the number of crystal grain boundaries existing in the thin film transistor greatly affects the characteristics. Therefore, there is a problem that the characteristic difference becomes remarkable between adjacent transistors.
[0021]
As a means for overcoming the above problems, for example, there is a technique for irradiating a silicon thin film while stepping a beam having a line width of several microns in submicron to micron units to obtain a large grain silicon crystal. R. Sposili and J. Im, “Sequential lateral solidification of thin silicon films on SiO 2”, Applied Physics Letters, vol. 69, (1996), 2864.
[0022]
When such a method is used for manufacturing a large area device such as a liquid crystal display, a stage with high operation accuracy is required.
[0023]
  In general, the substrate size used for manufacturing is several hundreds of millimeters.,The board transfer mechanism with automatic operation has a fixed board transfer position with the robot.,From the above, in the operation of returning to the substrate delivery position with the robot after completion of irradiation, this stage is required to operate at high speed. Therefore, a stage having characteristics of both operation accuracy, particularly position accuracy and high-speed operation is required.
[0024]
In addition, the republished patent WO 97/23806 discloses a method of annealing an amorphous silicon film formed on a substrate with a laser. However, in this publication, the laser beam is fixed, and only the substrate is appropriately used. However, there is no disclosure regarding a technique for moving the optical path of the laser beam simultaneously with the movement of the substrate.
[0025]
Japanese Patent Laid-Open No. 10-41244 discloses that a plurality of linear laser beams are used in order to make the crystallinity of the object to be processed uniform, but any laser beam is fixed. The stage on which the object to be processed is simply moved is configured to move, and there is no disclosure regarding a technique for moving the optical path of the laser beam simultaneously with the movement of the stage.
[0026]
The object of the present invention is to improve the above-mentioned drawbacks of the prior art, and to manufacture a large-sized device, a film body part capable of easily and efficiently performing a modification treatment operation of the film body part to be processed. A reforming apparatus and a film body portion reforming method are provided.
[0027]
[Means for Solving the Problems]
  In order to achieve the above-described object, the present invention basically employs a technical configuration as described below.
  That is, in the present inventionRelated film body reformerAs an aspect of
  A light source, a light beam emitted from the light source is shaped into a desired shape, an optical path moving means for directing the shaped light beam in a desired direction, and a substrate including a film body to be processed are mounted, The desired part of the film body to be processedShaped light beamThe film body portion reforming apparatus is configured to be movable so as to correspond to the above, and the operation accuracy of the optical path moving unit is higher than the operation accuracy of the substrate moving unit. Is composed ofThe optical path moving means has a plurality of mask patterns for forming a plurality of types of shaped light beams having a cross-sectional area smaller than the cross-sectional area of the light beam emitted from the light source, and the optical path moving means In the film body portion reforming apparatus including the mask pattern for alignment mark formation, the mask pattern in
  The film body to be processed is non-crystallized silicon, and crystallized silicon is obtained after modification,
  The film body part reforming apparatus includes a control unit, and the control unit is a molding having a desired shape so as to reform a desired part of the film body part to be processed mounted on the substrate. In order to direct the light beam to a desired site in the film body to be processed, pattern selection control means for selecting a desired pattern from the plurality of mask patterns and the selected mask pattern are used. First irradiation position control means for moving the substrate to a desired position in order to move a desired part in the film body to be processed within the movement range region of the optical path by the shaped light beam thus formed. A second irradiation position control means for moving the optical path moving means to a desired position while referring to an alignment mark formed on the substrate, and a light source drive control means for driving the light source. And,
  Control meansBy the desiredIrradiationpositionConcernedMoving a desired part of the target film body mounted on the substrate;alignmentDo the actionIrradiationAdjusting the position;ConcernedSequentially irradiating light onto the target film body mounted on the substrate.ThingsCharacterized byIs.
[0028]
DETAILED DESCRIPTION OF THE INVENTION
Since the film body part reforming apparatus and the film body part reforming method according to the present invention employ the technical configuration as described above, for example, when used for manufacturing a large area device such as a liquid crystal display. However, it is possible to provide a high-throughput reforming apparatus for a film body to be processed and a method for reforming a film body to be processed by realizing both a stage with high operation accuracy and a stage that requires high-speed operation. Can do.
[0029]
By aligning the beam using the alignment function to the mark formed on the substrate on which the silicon film is deposited, it becomes possible to expose a desired region with a positional accuracy of the order of μm or more. It was.
[0030]
That is, the film body part reforming apparatus and the film body part reforming method according to the present invention are more specifically a high-quality semiconductor for application to a silicon thin film and a field effect transistor used for a crystalline silicon thin film transistor. -It can be put into practical use as an insulating film interface forming apparatus or a semiconductor-insulating film interface forming method, and can also be put into practical use as a semiconductor thin film manufacturing apparatus and its manufacturing method using pulsed laser light. .
[0031]
Furthermore, the present invention can also be used as a manufacturing device and a manufacturing method of a driving element or driving circuit such as a display or a sensor constituted by the semiconductor thin film or the field effect thin film transistor.
[0032]
Therefore, as a more specific aspect of the film body portion reforming apparatus in the present invention, in the semiconductor thin film forming apparatus for irradiating the surface of the substrate having the semiconductor layer with a desired intensity distribution with light supplied from a light source, It may be a semiconductor thin film forming apparatus having an optical path moving mechanism with higher operation accuracy than the substrate moving mechanism, thereby allowing high-speed operation to the substrate stage and high-position accuracy operation to the optical path stage, respectively. Both operation accuracy and high-speed operation can be achieved.
[0033]
More specifically, in the present invention, in the semiconductor thin film forming apparatus described above, the first irradiation position control by the substrate movement mechanism, the alignment control by the optical path or the substrate movement mechanism, and the movement of the optical path. The second irradiation position control may be sequentially performed, and the semiconductor thin film forming apparatus may be controlled so that the second position control process and the light supply are performed simultaneously or alternately.
[0034]
【Example】
Hereinafter, the configuration of a specific example of the film body portion reforming apparatus and the film body portion reforming method according to the present invention will be described in detail with reference to the drawings.
[0035]
  That is, FIG. 1 and FIG. 2 are a plan view and a side sectional view showing an outline of a configuration of a specific example of the film body portion reforming apparatus 100 according to the present invention, in which the light source 10 and the light source 10 emit light. The light beam 20 to be formed is shaped into a desired shape, and the substrate 4 including the optical path moving means 3 for directing the shaped light beam 25 in a desired direction and the film body 2 to be processed is mounted. The desired part 30 of the treatment film body part 2 isShaped light beam 25The film body portion reforming apparatus 100 is configured to be movable so as to correspond to the above-mentioned, and the operation accuracy of the optical path moving unit 3 is higher than the operation accuracy of the substrate moving unit 1. The film body part reforming apparatus 100 configured to be higher is also shown. The moving range of the optical path moving means 3 in the present invention is preferably configured to be narrower than the moving range of the substrate moving means 1, and the moving speed of the optical path moving means 3 is It is also desirable to be configured so as to be slower than the moving speed of the substrate moving means 1.
[0036]
On the other hand, the optical path moving unit 3 and the substrate moving unit 1 in the present invention may be configured to move in the same direction, and both are configured to be movable in opposite directions. Also good.
[0037]
  In the present invention, the optical path moving means 3 and the substrate moving means 1 may be controlled so as to move simultaneously with each other, or both may move individually. It can be controlledYes.
[0038]
Further, the optical path moving means 3 according to the present invention has a mask pattern 8 as shown in FIG. 5A. The mask pattern includes, for example, a slit-shaped opening 91 and an oblique short. A predetermined number of slit openings 92, bowl-shaped openings 93, annular openings 94, and the like are arranged at predetermined intervals.
[0039]
Further, the mask pattern 8 may be provided with slit openings 95 and 96 for forming alignment marks.
[0040]
Further, the mask pattern 8 may be provided with an opening 97 for detecting the alignment mark.
[0041]
That is, the optical path moving means 3 in the present invention is one for forming one or plural kinds of shaped light beams having a cross-sectional area smaller than the cross-sectional area of the light beam 20 emitted from the light source 10. It is desirable to have one or a plurality of mask patterns 91-96.
[0042]
Further, it is desirable that the mask pattern 8 in the optical path moving means 3 includes a mask pattern 97 for forming alignment marks.
[0043]
In the present invention, when the optical path moving means 3 is moved, at least one of the mask patterns is selected, and the optical path 26 of the shaped beam 25 is set to a predetermined part of the processed film body 2. In this case, not only one mask pattern is selected, but also a plurality of mask patterns are selected and a plurality of shaped beams are simultaneously selected as shown in FIG. It is also possible to form and output 25 and 25 ′.
[0044]
  In the present invention, the substrate 4 may be provided with an alignment mark 5 at a predetermined position. On the substrate 4,InitiallyThe alignment mark 5 is not formed, and the alignment mark 95 or 96 provided on the mask pattern 8 is used for alignment on the substrate 4 when the first modification processing operation is performed. The mark 5 may be formed, and thereafter, the alignment operation may be executed while detecting the alignment marks 95 and 96 formed in the previous operation sequentially.
[0045]
That is, the alignment marks 96 and 95 provided on the mask pattern 8 in the optical path moving means 3 are used in the above-described manner.
[0046]
The film body to be processed according to the present invention is not particularly limited. For example, it is a thin film-like chemical substance formed on the substrate 4, and is used for manufacturing a thin film semiconductor device, a thin film transistor, and the like. Possible membrane materials are possible.
[0047]
Further, the modification of the film body to be treated in the present invention is not particularly specified. For example, annealing treatment for the film material, heat treatment for crystallizing the non-crystallized portion, resin A component curing process or a melting process, a modification process for a resist, and the like can be considered.
[0048]
Here, a specific example of the film body part reforming apparatus 100 and the film body part reforming method according to the present invention is a semiconductor film in which the film body part to be processed is formed on the substrate 4 and the amorphous silicon component. The case where a part is modified to crystallized silicon will be described in detail below.
[0049]
That is, FIG. 2 is a plan view for explaining a specific example of the film body portion reforming operation in the film body portion reforming apparatus 100 according to the present invention shown in FIG.
[0050]
In a specific example of the present invention described below, a substrate 4 with a semiconductor film 2 is disposed on a substrate moving means 1 called a substrate stage.
[0051]
The substrate moving means 1 which is the substrate stage is composed of a conventionally known X stage and Y stage. As a result, the substrate 4 on which the film body 2 to be processed is mounted can be arbitrarily placed on the XY plane. It is possible to move in the direction of
[0052]
The operation speed of the XY stage is, for example, 100 mm / sec, and the position accuracy is 1 μm.
[0053]
On the other hand, in the optical path moving unit 3, the laser irradiation range 31 of the shaped light beam 25 is basically applied to the movable range of the optical path moving unit 3 and the surface of the mask pattern 8. This is limited by the cross-sectional area of the light beam 20 emitted from the light source 10, and here, the irradiation range irradiated on the surface of the laser mask 8 is shown.
[0054]
The laser crystallization region 32 is a portion that has already been crystallized after laser irradiation. Further, in this specific example, the laser light source 10 is configured separately from the film body portion reforming apparatus 100, and the light beam 20 emitted from the light source 10 is sent to an appropriate laser introduction window (not shown). The substrate 4 can be placed in a vacuum chamber (not shown) by introducing it into a predetermined part of the film body to be processed through
[0055]
On the other hand, the mask pattern 8 in this specific example is operated with a positional accuracy of 0.5 microns in the mask stage operation direction, for example, the Y direction shown in FIG. 2, by the mask stage constituting the optical path moving means 3.
[0056]
As shown in FIG. 2B, after the substrate is arranged at a desired position by the XY stage operation that constitutes the substrate moving means 1, the optical path moving means 3 including the mask stage moves in the Y direction, and at the same time. The laser oscillates continuously.
[0057]
By operating the mask moving stage constituting the optical path moving unit 3 at 150 μm / sec and the laser light source at 300 Hz, the laser beam is desired for the target film body portion mounted on the substrate every 0.5 μm step. The surface of the area is irradiated.
[0058]
The above operation will be described with reference to the side view of FIG.
[0059]
  That is, the laser beam 25, 25 ′ formed on the substrate 4 with the semiconductor film 2 is irradiated to form a laser crystallization region.32Is formed.
[0060]
  The laser beams 25 and 25 ′ are irradiated with the laser beam 20 emitted from the laser light source 10 in the laser irradiation range 31 on the laser mask corresponding to the mask pattern 8, and as a result, the mask stage having the mask pattern 8. That is, any one of the desired mask patterns 91 to 94 passes through a desired portion in the film body 2 to be processed by the movement operation of the optical path moving means 3 in a predetermined direction.TeseiOne or a plurality of shaped laser beams 25 are irradiated.
[0061]
FIG. 3 is a diagram showing the configuration of another specific example of the present invention.
[0062]
That is, the pulsed UV light supplied from the first excimer laser EL1 and the second excimer laser EL2 is guided to the homogenizer 41 via the mirrors 34, 35, and 36, respectively.
[0063]
Here, the intensity profile of the beam is shaped so as to have a desired uniformity, for example, in-plane distribution ± 5%, in the optical mask 39 corresponding to the mask pattern 8 in the optical path moving means 3 described above. .
[0064]
In addition, since the intensity profile and the total energy amount of the original beam 20 supplied from the excimer lasers EL1 and EL2 may change between pulses, the intensity on the optical mask which is the mask pattern 8 is It is desirable to provide a mechanism for making the spatial distribution and variation between pulses more uniform.
[0065]
As the homogenizer 41, one using a fly-eye lens or a cylindrical lens is generally used.
[0066]
The light beam 20 output from the homogenizer 41 is applied to the optical mask 39 that is the mask pattern 8 via the mirror 37 and the optical lens 38.
[0067]
The light beam 25 formed by the optical mask 39 passes through the reduction projection exposure apparatus 40, and, for example, the surface of the substrate 4 installed in the vacuum chamber 43 via the laser introduction window 42 provided in the vacuum chamber 43. The desired portion of the film body 2 to be processed mounted on is irradiated.
[0068]
The substrate 4 is placed on an appropriate substrate moving means 1, and the substrate moving means 1 constitutes an XY table, and an arbitrary part of the processed film body portion 2 is formed. It is configured so that it can be moved to the irradiation position of the light beam 25.
[0069]
That is, the formed light beam can be exposed to a desired region of the film body 2 to be processed, for example, the pattern transfer region 30 by the operation of the substrate stage including the substrate moving unit 1.
[0070]
In this specific example shown in FIG. 3, the light beam 25 formed by the mask pattern 8 is reduced by using the reduction projection optical system 40, and the pattern of the reduced light beam 25 is changed to the processed film body portion 2. However, depending on the case, the pattern of the light beam 25 may be projected at the same magnification or enlarged.
[0071]
That is, in this specific example, in the film body portion 2 to be processed provided on the substrate 4 by the movement of the substrate moving means 1 which is a substrate stage (X and Y directions in FIG. 3). It is possible to irradiate the light beam 25 to an arbitrary region 30.
[0072]
Further, the mask pattern 8 constituting the optical mask 39 is placed on a mask stage (not shown) constituting the optical path moving means 3 and within the exposure possible region of the light beam 20 output from the light source. For example, the optical mask 39 can be moved as appropriate to operate the light beam 25 that is applied to any part of the target film body portion 2 provided on the substrate.
[0073]
Next, an example of a mechanism necessary for irradiating a desired light pattern 25 to an arbitrary part of the target film body portion 2 provided on the substrate under desired conditions will be described.
[0074]
Since the adjustment of the optical axis requires delicate adjustment, the optical axis that has been adjusted once is fixed, and the substrate side, that is, the substrate moving means 1 is moved so that the desired position of the film body to be processed is determined. It is desirable to adjust so as to correspond to the irradiation position of the light beam 25. In this specific example, a specific example of the adjustment method will be shown.
[0075]
That is, the position of the surface portion of the film body 2 to be processed with respect to the optical axis needs to correct the focus (Z) direction position and the perpendicularity to the optical axis.
[0076]
Therefore, in FIG. 3, the θxy inclination correction direction, the θxz inclination correction direction, the θyz inclination correction direction, the x exposure area movement direction, the y exposure area movement direction, and the z focusing direction are indicated by the θxy inclination correction direction and the θxz inclination correction direction. , Θyz inclination correction direction is adjusted to correct the perpendicularity to the optical axis.
[0077]
Further, by adjusting the z focusing direction, the substrate irradiation surface is arranged and controlled at a position corresponding to the focal depth of the optical system.
[0078]
FIG. 4 is a side view showing the configuration of an example of the above adjustment and substrate alignment mechanism.
[0079]
In the figure, an optical mask 39 corresponding to the mask pattern 8, a reduced projection exposure apparatus 40, and a laser introduction window 42 are arranged as shown in the figure with respect to the exposure axis LO.
[0080]
Further, the substrate 4 on which the film body 2 to be processed disposed in the vacuum chamber 43 is disposed on the θxyθxzθyz stage constituting the substrate moving means 1 having a heater with a substrate suction mechanism and the like.
[0081]
In this specific example, the vacuum chamber 43 is used, but actual light irradiation is preferably performed in an atmosphere of a substituted inert gas, hydrogen, oxygen, nitrogen or the like after evacuation. The pressure may be a pressure around atmospheric pressure.
[0082]
In this specific example, by using a heater with a substrate adsorption mechanism, substrate heating conditions of about room temperature to about 400 ° C. can be selected during light irradiation.
[0083]
  as mentioned above,Atmospheric pressureSince the substrate can be adsorbed by the vacuum chuck function by setting the pressure to about atmospheric pressure, the displacement can be prevented even if the substrate stage moves in the chamber, etc., and there is some warping and deflection of the loaded substrate. Can be fixed to the substrate stage. Further, it is possible to minimize the depth of focus shift due to the warp or deflection of the substrate due to heating.
[0084]
In this specific example, 44 and 45 are laser interferometers, and 46 is a measuring window.
[0085]
In this specific example, by providing a length measuring mirror 47 on the side surface of the end of the substrate 4, the alignment of the substrate 4 and the measurement of the position of the substrate 4 in the Z direction can be performed.
[0086]
On the other hand, in this specific example, when alignment is performed, the alignment mark 5 on the substrate 4 is measured using, for example, an off-axis microscope 48, a microscope light source 49, and a microscope element 50, and laser interference is performed. A desired exposure position can be measured using the substrate position information obtained by the total 44 or 45.
[0087]
In this specific example, the off-axis method is exemplified as an example of executing the alignment. However, the through-the-lens (Through The Mask) method and the through-the-mask (Through The Mask (Reticle)) method are applied. It is also possible to do.
[0088]
Further, by determining linear coordinates from a plurality of measurement points using the least square method, it is possible to take means for averaging measurement errors that occur during measurement.
[0089]
Further, as described above, the relationship between the mask pattern 8 and the alignment marks 95 and 96 is shown in FIGS.
[0090]
That is, in the mask pattern 8 used in this specific example, the mask portion is composed of a non-exposed portion 81 and an exposed portion 82. For example, when an excimer laser is used as a light source, a film that absorbs and reflects ultraviolet light such as a metal such as aluminum, chromium, and tungsten or a dielectric multilayer film is formed on a quartz substrate that transmits ultraviolet light, and photolithography and etching. A pattern is formed using a technique.
[0091]
A silicon film, which is an example of the film body 2 to be processed, is exposed in accordance with a desired selected pattern (indicated by a white portion in FIG. 5A) 91 to 94 on the mask pattern 8 as shown in FIG. ), Exposed silicon 82 ′ is formed in non-exposed silicon 81 ′.
[0092]
At this time, if necessary, the alignment mark formations 95 and 96 provided on the mask pattern 8 are exposed after alignment adjustment so that the alignment marks 5 and 96 provided on the substrate 4 coincide with the silicon thin film. It is possible to expose the above pre-designed position.
[0093]
Further, in the thin film transistor forming process using the silicon thin film, when the exposure process is the first process that requires positioning (that is, when the alignment mark is not formed in advance), the exposure forming mark is used during the silicon thin film exposure process. By exposing 95 or 96 simultaneously, an alignment mark 82 ′ using an optical color difference between amorphous silicon a-Si and crystalline Si can be formed.
[0094]
Therefore, by performing photolithography or the like in a later process with reference to the alignment mark 82 ', a transistor, a desired mechanism, and a function can be formed in a desired region that has been modified by exposure.
[0095]
FIG. 5C and a cross-sectional view taken along the line A-A show a state where a Si oxide film is formed on the silicon thin film after the exposure process and a desired region of the silicon layer is removed by etching.
[0096]
The silicon removal portion 85 is a region where the laminated silicon film and the silicon oxide film are removed by etching, and shows a shape in which silicon oxide films 86 and 87 are laminated on the non-exposed silicon 81 ′ and the exposed silicon 82 ′. ing.
[0097]
In this manner, by forming an island-shaped structure made of a silicon film covered with an oxide film, it is possible to form channel / source / drain regions of thin film transistors separated between elements and marks necessary for alignment in subsequent processes. it can.
[0098]
6A and 6B are timing charts of main operations in the film body portion reforming method in the present specific example.
[0099]
That is, in the control example 1 in FIG. 6A, the desired portion of the film body 2 to be processed mounted on the substrate 4 is moved to the desired exposure position by the operation of the substrate moving means 1 constituting the substrate stage. .
[0100]
Next, focusing and alignment operations are performed to precisely adjust the exposure position. At this time, for example, adjustment is made so as to fall within a desired setting error accuracy such as about 0.1 μm to 100 μm.
[0101]
When the operation is completed, light irradiation is performed on the target film body 2 mounted on the substrate 4.
[0102]
When these series of operations are completed, the substrate moves to the next exposure region, and after the necessary portions of the film body 2 to be processed mounted on the substrate are further irradiated, the substrate 4 is replaced. Then, a predetermined series of processing is performed on the target film body portion 2 provided on the second processing substrate 4.
[0103]
In the control example 2 of the film body portion modification method according to the present invention shown in FIG. 6B, the substrate is moved to a desired exposure position by the operation of the substrate stage 1.
[0104]
Next, focusing and alignment operations are performed to precisely adjust the exposure position. At this time, for example, adjustment is made so as to fall within a desired setting error accuracy such as about 0.1 μm to 100 μm.
[0105]
When the operation is completed, the operation of the optical path moving means 3 that is a mask stage is started.
[0106]
In order to avoid variations in the amount of movement steps at the start of the optical path moving means 3, the light irradiation onto the substrate is a chart that starts after the start of the mask stage operation.
[0107]
Of course, since exposure is performed at a point away from the alignment position due to the movement of the stage, it is needless to say that the amount of offset needs to be considered in advance.
In the present invention, the light source is started earlier than the light irradiation to the target film body portion 2 mounted on the substrate, and when the stability of the output intensity of the light source 10 is increased, It is also possible to irradiate the substrate 4 with light by opening a shutter or the like.
[0108]
In particular, when an excimer laser is used as a light source and a usage method in which an oscillation period and a stop period are repeated, it is known that the initial several tens of pulses are particularly unstable. When it is not desired to irradiate the beam, a method of blocking the beam in accordance with the operation of the mask stage can be adopted.
[0109]
When these series of operations are completed, the substrate moves to the next exposure region, and after the necessary portions of the target film body portion 2 on the substrate 4 are irradiated, the substrate is replaced and the second is changed. A predetermined series of processing is performed on the processing substrate 4.
[0110]
An a-Si thin film having a thickness of 75 nm was scanned with a 1 mm × 50 μm beam at a 0.5 μm pitch in the minor axis direction.
[0111]
Using one light source 10, the laser irradiation intensity is 470 mJ / cm on the irradiated surface.2 As a result, a single crystal silicon thin film continuous in the scanning direction was obtained.
[0112]
Furthermore, the second light source is 150 mJ / cm at the irradiated surface.2 Thus, a single crystal silicon thin film continuous in the scanning direction was obtained even under a scanning pitch condition of 1.0 μm under the condition of irradiation with a delay of 100 nsec.
[0113]
The trap state density in the crystallized silicon film is 1012cm-2It showed a lower value.
[0114]
FIG. 7 is a side view of a semiconductor thin film forming apparatus showing an embodiment of the present invention.
[0115]
That is, in the drawing, it is composed of a plasma CVD chamber 70, a laser irradiation chamber 71, and a substrate transfer chamber 72, and the transfer of the substrate 4 via the gate valves 73 and 74 is performed in an inert gas in a vacuum without touching the atmosphere outside the apparatus. , In an atmosphere of nitrogen, hydrogen, oxygen, etc. and in a high vacuum, reduced pressure, or pressurized state.
[0116]
In the laser irradiation chamber 71, the substrate 4 is installed on the substrate stage 1 constituting the substrate moving unit 1 that can be heated to about 400 ° C. using an appropriate chuck mechanism. Further, a desired film body 2 to be processed is disposed on the surface of the substrate 4.
[0117]
In the plasma CVD chamber 70, the substrate 4 having the film body 2 to be processed is placed on the substrate holder 75 that can be heated to about 400 ° C.
[0118]
In this example, the silicon thin film 2 is formed on the glass substrate 4 and introduced into the laser irradiation chamber 71, and the silicon thin film 2 on the surface is reformed into a crystalline silicon thin film by laser irradiation and is transferred to the plasma CVD chamber 70. Shows the state.
[0119]
  The laser beam 25 introduced into the laser irradiation chamber 71 is transmitted through the mirror 77 through the first beam line L1 and the second beam line L2 of the beam 20 supplied from the excimer laser EL1 or the excimer laser EL2. A laser irradiation optical device comprising a laser synthesizing optical device 76 having a mirror 78, a homogenizer 79, an optical mask 80 fixed to the optical path moving means 3 constituting the optical mask stage, and a projection optical device 182.83After passing through, a desired part of the target film body portion 2 on the substrate surface is reached via the laser introduction window 84.
[0120]
Although two excimer lasers are illustrated here, one or more desired light sources 10 can be installed. In addition to the excimer laser, a pulse laser such as a carbon dioxide laser or a YAG laser, or a CW light source such as an argon laser and a high-speed shutter may be used to supply the pulse.
[0121]
On the other hand, in the plasma CVD chamber 70, a plasma formation region 186 formed by the RF electrode 185 and the plasma confinement electrode 187 is formed at a position away from the region where the substrate 4 is disposed.
[0122]
In the plasma formation region 186, for example, a silicon oxide film can be formed on the substrate 4 by supplying oxygen and helium with silane gas using the source gas introduction device 188.
[0123]
FIG. 8 is a plan view of a semiconductor thin film forming apparatus 200 showing an embodiment of the present invention.
[0124]
In the figure, a load / unload chamber 89, a plasma CVD chamber 90, a substrate heating chamber 191, a hydrogen plasma processing chamber 192, a laser irradiation chamber 193, and a substrate transfer chamber 194 are connected through gate valves GV1 to GV6, respectively.
[0125]
  The laser beams 10 and 10 ′ supplied individually from the first beam line L 1 and the second beam line L 2 are a laser combining optical device 195, a laser irradiation optical device 196, and a laser introduction window.84Then, the surface of the film body 2 to be processed provided on the substrate 4 is irradiated.
[0126]
  Also, each process chamber and transfer chamber is a gas introduction device97-1 to 97-7, Exhaust systemVent1 to Vent7Are connected, and supply of desired gas species, setting of process pressure, exhaust, and vacuum are adjusted.
[0127]
In FIG. 8, the processing substrates 4-2 and 4-6 are arranged on a plane as indicated by dotted lines.
[0128]
FIG. 9 is a schematic view showing a configuration of an example of a plasma CVD chamber 70 used in the present invention.
[0129]
That is, power is supplied to the high-frequency electrode 102 from a high-frequency power source 101 that preferably uses a high frequency of 13.56 MHz or higher. As a result, plasma is formed between the electrode 103 with the gas supply hole and the high-frequency electrode 102, and the radical formed by the reaction passes through the electrode 103 with the gas supply hole and is guided to the region where the substrate 4 is disposed.
[0130]
On the other hand, another gas is introduced by the planar gas introduction device 104 without being exposed to plasma, and the thin film 2 is formed on the substrate 4 through a gas phase reaction.
[0131]
The substrate holder 1 that supports the substrate 4 and corresponds to the substrate moving means was designed to be heated from room temperature to about 500 ° C. by a heater or the like.
[0132]
  As shown, an exhaust device 105, a gas introduction device 106, an oxygen line 107, a helium line 108, and a hydrogen line 109.,The silicon oxide film 2 can be formed by reacting oxygen radicals and silane gas using the silane line 110, the helium line 111, the argon line 112, and the like.
[0133]
The film formation conditions in this specific example are as follows: when the film was formed under conditions of a substrate temperature of 300 ° C., a pressure of 0.1 torr, an RF power of 100 W, a silane flow rate of 10 sccm, an oxygen flow rate of 400 sccm, and a helium flow rate of 400 sccm, the fixed oxide film charge Density (5 × 1011cm-2And the formation of a silicon oxide film having good characteristics.
[0134]
Further, a better oxide film can be formed by increasing the ratio of oxygen flow rate to silane.
[0135]
As a form of the plasma CVD chamber 70, not only the parallel plate type RF plasma CVD apparatus as described above but also a method not using plasma such as low pressure CVD or atmospheric pressure CVD, a microwave or an ECR (Electron Cycrotron Resonance) effect is used. It is also possible to use the conventional plasma CVD method.
[0136]
The following raw materials can be used as gas species necessary when the plasma CVD apparatus 70 shown in FIG. 9 is used for forming a thin film other than a silicon oxide film.
[0137]
For example, Si3 N4 N is used to form a silicon nitride film.2 (Nitrogen) (or ammonia), Ar (argon), SiH as carrier gas4 (Silane), argon or the like can be used as a carrier gas.
[0138]
In addition, the silicon thin film is formed by hydrogen and silane, hydrogen (argon as a carrier gas) and SiF.4 A source gas such as tetrafluorosilane (argon as a carrier gas) can be used. Although not a film formation process, hydrogen plasma treatment of a silicon thin film or a silicon oxide film is also possible using hydrogen plasma.
[0139]
FIG. 10 illustrates a specific example in which an alignment mark is provided in advance and laser irradiation corresponding to the alignment mark is performed when manufacturing a TFT in the present invention, based on the TFT manufacturing process flow.
[0140]
As shown in FIG. 10A, first, a substrate cover film 121 and a silicon thin film 2 are sequentially formed on a glass substrate 4 from which organic substances, metals, fine particles and the like have been removed by cleaning.
[0141]
As the substrate cover film 121, a silicon oxide film having a thickness of 1 μm is formed at 450 ° C. using silane and oxygen gas as raw materials by LPCVD (low pressure chemical vapor deposition).
[0142]
By using the LPCVD method, it is possible to cover the entire outer surface of the substrate except for the substrate holding region (not shown).
[0143]
Alternatively, plasma CVD using tetraethoxysilane (TEOS) and oxygen as raw materials, atmospheric pressure CVD using TEOS and ozone as raw materials, plasma CVD as shown in FIG. 8, and the like can be used. A material capable of preventing diffusion of impurities harmful to semiconductor devices including glass whose metal concentration is reduced as much as possible, quartz / glass whose surface is polished, and the like is effective as the substrate cover film.
[0144]
The silicon thin film is formed by LPCVD using disilane gas as a raw material at 500 ° C. and a thickness of 75 nm. In this case, since the concentration of hydrogen atoms contained in the film is 1 atomic% or less, film roughness due to hydrogen release in the laser irradiation process can be prevented.
[0145]
Alternatively, even if a plasma CVD method as shown in FIG. 7 or a widely used plasma CVD method is used, hydrogen atoms can be adjusted by adjusting the substrate temperature, the hydrogen / silane flow rate ratio, the hydrogen / 4 fluorinated silane flow rate ratio, or the like. A silicon thin film 2 having a low concentration can be formed.
Further, in order to form an alignment mark, the alignment mark 129 is formed on the substrate 4 by patterning by photolithography and etching.
[0146]
Next, a mark protective film 130 is formed to protect the alignment mark 129, and the silicon thin film 2 is formed.
[0147]
Subsequently, as shown in FIG. 10 (b), the substrate 4 prepared in the process of FIG. 10 (a) is subjected to a cleaning process for removing organic substances, metals, fine particles, surface oxide film, and the like. The thin film forming apparatus illustrated in FIG. 9 according to the present invention is introduced.
[0148]
Irradiation with laser light LO modifies the silicon thin film 2 into a crystallized silicon thin film 2 '. Laser crystallization is performed in an atmosphere of high purity nitrogen of 700. Torr of 99.9999% or more, and oxygen gas is introduced after completion of laser irradiation.
[0149]
At the time of laser light exposure, a desired area is exposed with reference to the alignment mark 129. Thereafter, the alignment of the next process can be performed based on the alignment mark 129 provided in advance or an alignment mark (not shown) formed by crystallized silicon thin film patterning.
[0150]
As shown in FIG. 10C, the substrate 4 that has undergone the above steps is transported to the plasma CVD chamber through the substrate transport chamber after the gas is exhausted.
[0151]
First, as the first gate insulating film 123, a silicon oxide film is deposited to a thickness of 10 nm at a substrate temperature of 350 degrees using silane, helium, and oxygen as source gases. Thereafter, hydrogen plasma treatment or heat annealing is performed as necessary. The processing up to this point is processed in the thin film forming apparatus of the present invention.
[0152]
Next, as shown in FIG. 10D, islands of the silicon thin film 2 ′ and the silicon oxide film laminated film 123 are formed using photolithography and etching techniques. At this time, it is preferable to select an etching condition in which the etching rate of the silicon oxide film 123 is higher than that of the silicon thin film 2 ′.
[0153]
As shown in the figure, a thin film transistor with high reliability can be provided by preventing gate leakage by forming the pattern cross section in a step shape (or taper shape).
[0154]
Next, as shown in FIG. 10E, after cleaning for removing organic substances, metals, fine particles, and the like, a second gate insulating film 124 is formed so as to cover the island. Here, a silane and oxygen gas were used as raw materials by LPCVD, and a silicon oxide film was formed to a thickness of 30 nm at 450 ° C.
[0155]
Alternatively, it is also possible to use plasma CVD using TEOS and oxygen as raw materials, atmospheric pressure CVD using TEOS and ozone as raw materials, plasma CVD as shown in FIG.
[0156]
Next, n as a gate electrode+ A silicon film is formed to 80 nm and a tungsten silicide film is formed to 110 nm.
[0157]
n+ The silicon film is preferably a crystalline phosphorus-doped silicon film formed by plasma CVD or LPCVD. Thereafter, a patterned gate electrode 125 is formed through photolithography and etching processes.
[0158]
Next, as shown in FIGS. 10F and 10G, impurities are implanted using the gate as a mask to form impurity implantation regions 126 and 126 '.
[0159]
That is, in the case of forming a CMOS type circuit, n is shown in FIG.+ An n-channel TFT that requires a region and p shown in FIG.+ A p-channel TFT that requires a region is separately formed.
[0160]
Methods such as ion doping that does not perform mass separation of implanted impurity ions, ion implantation, plasma doping, and laser doping can be employed. At that time, as shown in FIGS. 10 (f) and 10 (g), impurities are introduced while leaving or removing the silicon oxide film on the surface, depending on the application and impurity introduction method.
[0161]
Thereafter, as shown in FIGS. 10 (h) and 10 (i), interlayer isolation insulating films 127 and 127 ′ are individually deposited, contact holes are opened, metal is deposited, and metal wiring is formed by photolithography and etching. 128 is formed.
[0162]
As the interlayer isolation insulating films 127 and 127 ′, a TEOS-based oxide film, a silica-based coating film, or an organic coating film that can be flattened can be used.
[0163]
The contact hole opening can be applied by photolithography and etching, and the metal wiring can be applied with a low-resistance aluminum, copper, an alloy based on them, or a high melting point metal such as tungsten or molybdenum. By performing the above steps, a thin film transistor with high performance and reliability can be formed.
[0164]
  As is clear from the configuration of each specific example described above, in the film body portion reforming apparatus 100 according to the present invention, the desired path in the optical path moving means 3 is obtained.Shaped light beam 25And the alignment operation of the substrate moving unit 1 with the desired portion 30 to be processed are performed with reference to the alignment marks 5 and 129 provided on the substrate 4. It is what is done.
[0165]
  More specifically, the desired path in the optical path moving means 3 in the present invention.Shaped light beam 25And the substrate moving means 1 are aligned with the desired portion 30 of the film body 2 to be processed by the alignment marks 5 and 129 provided on the substrate 4 and the optical path moving means. 3 is controlled so as to coincide with the alignment mark detecting means provided in 3, for example, the opening 97.
[0166]
As a method for aligning the film body 2 to be processed 2 on the substrate 4 and the optical path moving means 3 using the alignment mark in the present invention, a known method can be used, for example, Image recognition means, secondary electron detection means, laser interferometer, and the like can be used.
[0167]
  In order to execute such control, in the film body portion reforming apparatus 100 according to the present invention, as shown in FIG. 1A, the film body portion 2 to be processed mounted on the substrate 4 is applied. In order to direct a shaped light beam 25 having a desired shape to a desired portion 30 in the film body 2 to be processed in order to modify the desired portion in the mask pattern 8, the desired pattern is changed from the mask pattern 8. Pattern selection control means to select58In order to move the desired portion 30 in the film body 2 to be processed into the movement range region of the optical path by the shaped light beam 25 shaped using the selected mask pattern, the substrate 4 The first irradiation position control means 40 for moving the optical path to the desired position, and the second irradiation position control means for moving the optical path moving means 3 to the desired position while referring to the alignment mark 5 formed on the substrate 4 50. Detection means 6 for determining whether or not the alignment mark 5 formed on the substrate 4 and the alignment mark detection means 97 formed in the mask pattern 8 of the optical path moving means 3 match, the light source It is desirable to have a control means 66 composed of a light source drive control means 60 for driving 10 and a control calculation means 65 for comprehensively controlling each of the above means.
[0168]
The alignment mark 5 or 129 formed on the substrate 4 in the present invention may be previously formed on the substrate, or the alignment mark pattern in the mask pattern 8. 97 may be formed during the processing operation of the film body part 2 to be processed.
[0169]
The film body to be processed in the present invention is, for example, non-crystallized silicon, and is processed so as to obtain crystallized silicon after modification.
[0170]
  In the film body portion modification method according to another aspect of the present invention, the light source 10 and the light beam 20 emitted from the light source are formed into a desired shape, and the shaped light beam is formed. The optical path moving means 3 for directing 25 in a desired direction and the substrate 4 including the processed film body portion 2 are mounted, and the desired site of the processed film body portion 2 isShaped light beam 25The film body portion reforming apparatus 100 is configured to be movable so as to correspond to the above, and the operation accuracy of the optical path moving unit 3 is higher than the operation accuracy of the substrate moving unit 1. It is desirable that the film body portion to be processed be modified so as to be higher.
[0171]
In the film body portion modification method of the present invention, it is preferable that the moving range of the optical path moving unit 3 is set to be narrower than the moving range of the substrate moving unit 1.
[0172]
Furthermore, it is desirable to set the moving speed of the optical path moving means 3 to be slower than the moving speed of the substrate moving means 1.
[0173]
The optical path moving means 3 and the substrate moving means 1 are configured to be moved in the same direction or in the opposite directions to each other, and the optical path moving means 3 and the substrate moving means 1 are moved simultaneously with each other. Alternatively, it may be configured to be controlled to move individually.
[0174]
The optical path moving means 3 includes one or a plurality of mask patterns for forming one or a plurality of types of shaped light beams 25 having a cross-sectional area smaller than that of the light beam 20 emitted from the light source 10. The mask pattern 8 also preferably includes an alignment mark forming mask pattern 97 or an alignment mark detection opening.
[0175]
  In the present invention, the desired path in the optical path moving means 3 is obtained.Shaped light beam 25And the substrate moving means 1 are aligned with the desired portion 5 of the film body 2 to be processed by the alignment mark 5 and the optical path moving means 3 provided on the substrate 4. Control is performed so that the provided alignment mark or alignment mark detecting means 97 is matched.
[0176]
In the film body portion reforming method according to the present invention, as described above, the film body portion reforming apparatus includes a control means, and the control means is a film body to be processed mounted on the substrate. A desired pattern is selected from the mask pattern in order to direct a shaped light beam having a desired shape to a desired portion in the film body to be processed in order to modify a desired portion in the portion. In order to move a desired part in the film body to be processed into a moving range region of an optical path by a shaped light beam formed using the selected mask pattern, a pattern selecting step, Preferably, a step of moving the optical path moving means to a desired position while referring to an alignment mark formed on the substrate, and a step of driving the light source. Better .
[0177]
【The invention's effect】
Since the film body part reforming apparatus and the film body part reforming method according to the present invention employ the technical configuration as described above, for example, a desired size and area of a wiring part or the like in a semiconductor device. It is possible to efficiently and easily modify the film body portion made of a chemical substance in the portion having the above so as to have desired characteristics.
[0178]
In particular, in the film body portion reforming apparatus according to the present invention, even when used for manufacturing a large area device such as a liquid crystal display, a stage having high operation accuracy and a stage requiring high speed operation are compatible. By realizing the above, it is possible to provide a semiconductor thin film manufacturing apparatus with high throughput.
[0179]
By aligning the beam using the alignment function to the mark formed on the substrate on which the silicon film is deposited, it becomes possible to expose a desired region with a positional accuracy of the order of μm or more. It was.
[Brief description of the drawings]
FIG. 1 is a block diagram illustrating the configuration of a specific example of a film body reforming apparatus according to the present invention.
FIG. 2 is a plan view for explaining an example of operation in a specific example of the film body portion reforming apparatus according to the present invention.
FIG. 3 is a perspective view for explaining the outline of the configuration of a specific example of the film body portion reforming apparatus according to the present invention.
FIG. 4 is a block diagram illustrating a specific example of an alignment mechanism in the film body portion reforming apparatus according to the present invention.
FIG. 5 is a diagram for explaining an example of a mask pattern used in the film body portion reforming apparatus of the present invention and a method of using the mask pattern.
FIG. 6 is a timing chart for explaining a specific example of control in the film body portion reforming method according to the present invention.
FIG. 7 is a side sectional view showing the configuration of a specific example of a semiconductor thin film forming apparatus, a transfer chamber, and a plasma CVD chamber used in association with the film body portion reforming apparatus of the present invention.
FIG. 8 is a plan view for explaining arrangement states of a semiconductor thin film forming apparatus, a transfer chamber, a plasma CVD chamber, and the like used in association with the film body portion reforming apparatus of the present invention.
FIG. 9 is a side sectional view showing a configuration of an example of a plasma CVD chamber used in association with the film body portion reforming apparatus of the present invention.
FIG. 10 is a diagram showing a manufacturing process procedure when the film body reforming method according to the present invention is applied to a TFT manufacturing process;
FIG. 11 is a plan view for explaining an example of a conventional excimer laser annealing apparatus.
FIG. 12 is a diagram for explaining the operation of a conventional excimer laser annealing apparatus;
[Explanation of symbols]
1. Optical path moving means
2 ... Film body to be processed
2 '... Modified thin film
4 ... Board
5, 129 ... Alignment mark
6. Alignment mark detection means
8 ... Mask pattern
100 ... Membrane reformer
10 ... Light source
20. Light beam
21 ... Operating range of optical path moving means
25, 25 '... shaped light beam
26 ... Optical path
30 ... Desired part of film body to be processed, pattern transfer region
31 ... Laser irradiation range
34, 35, 36, 37 ... Mirror
38 ... Optical lens
39 ... Optical mask
40. Reduced projection exposure apparatus
41. Homogenizer
42 ... Laser introduction window
43 ... Vacuum chamber
44, 45 ... Laser interferometer
46 ... Measuring window 47 ... Measuring mirror
48 ... Off-axis microscope
49 ... Light source for microscope
50 ... Elements for microscope
70, 90 ... Plasma CVD chamber
71 ... Laser irradiation chamber
72. Substrate transfer chamber
73, 74 ... Gate valve
75 ... Board holder
76 ... Laser synthesis optical device
77 ... Mirror
78 ... Transmission mirror
79 ... Homogenizer
80 ... Optical mask
81 ... non-exposed part
81 '... non-exposed silicon
82 ... Exposure section
82 '... exposed silicon
83 '... Alignment mark
84 ... Laser introduction window
85 ... Silicon removal part
86, 87 ... silicon oxide film
89 ... Load / Unload room
91 ... Slit-shaped opening
92 ... Diagonal short slit opening
93 ... A bowl-shaped opening
94 ... Annular opening
95, 96 ... Slit opening for alignment mark formation
97 ... Alignment mark detection opening
101 ... High frequency power supply
102 ... High frequency electrode
103 ... Electrode with gas supply hole
104 ... Planar gas introduction device
105 ... Exhaust system
106 ... Gas introduction device
107 ... Oxygen line
108 ... Helium line
109 ... Hydrogen line
110 ... Silane line
111 ... Helium line
112 ... Argon line
121 ... Board cover film
123 ... Silicon oxide film laminated film
124 ... Gate insulating film
125 ... Gate electrode
126, 126 '... impurity implantation region
127 ... interlayer isolation insulating film
128 ... metal wiring
130 ... Mark protective film
182. Projection optical apparatus
  83... Laser irradiation optical device
185 ... RF electrode
187 ... Plasma confinement electrode
186 ... Plasma formation region
188 ... Raw material gas introduction device
191 ... Substrate heating chamber
192 ... Hydrogen plasma treatment room
193 ... Laser irradiation chamber
194 ... Board transfer chamber
195 ... Laser synthesis optical device
196 ... Laser irradiation optical device
97-1 to 97-7... gas introduction device
Vent1 to Vent7... exhaustPlace
200 ... Semiconductor thin film forming equipment
1101 ... Silicon thin film
1102 ... Linear beam irradiation range
1103 ... Crystallization region
1104 ... Laser introduction window

Claims (14)

光源、当該光源から出射される光ビームを所望の形状に成形すると共に、当該成形された光ビームを所望の方向に指向させる光路移動手段、及び被処理膜体部を含む基板を搭載し、当該被処理膜体部の所望の部位を当該成形された光ビームに対応せしめる様に移動可能に構成された基板移動手段とから構成された膜体部改質装置であって、当該光路移動手段の動作精度は、当該基板移動手段の動作精度よりも高くなる様に構成されており、当該光路移動手段は、当該光源から出射される光ビームの断面積よりも小さな断面積を有する複数種の成形光ビームを形成する為の複数個のマスクパターンを有しており、当該光路移動手段に於ける当該マスクパターンには、アラインメントマーク形成用のマスクパターンを含んでいる膜体部改質装置において、
当該被処理膜体部は、非結晶化シリコンであり、改質後に結晶化シリコンが得られ、
当該膜体部改質装置は、制御手段を含み、当該制御手段は、当該基板上に搭載されている当該被処理膜体部に於ける所望の部位を改質すべく、所望の形状を有する成形光ビームを当該被処理膜体部に於ける所望の部位に指向せしめる為に、当該複数個のマスクパターンから所望のパターンを選択するパターン選択制御手段と、当該選択されたマスクパターンを使用して成形された当該成形光ビームによる光路の移動範囲領域内に、当該被処理膜体部に於ける所望の部位を移動させる為に、当該基板を所望の位置に移動させる第1の照射位置制御手段と、当該基板上に形成されたアラインメントマークを参照しながら当該光路移動手段を所望の位置に移動させる第2の照射位置制御手段と、当該光源を駆動させる光源駆動制御手段とから構成されており、
当該制御手段により、所望の照射位置に当該基板に搭載された当該被処理膜体部の所望の部位を移動させるステップと、アラインメント動作を行い照射位置を調整するステップと、当該基板に搭載された当該被処理膜体部へ光照射するステップとを順次実行する事を特徴とする膜体部改質装置。
A light source, a light beam emitted from the light source is shaped into a desired shape, an optical path moving means for directing the shaped light beam in a desired direction, and a substrate including a film body to be processed are mounted, A film body portion reforming apparatus comprising a substrate moving means configured to be movable so as to correspond a desired portion of a film body to be processed to the shaped light beam . The operation accuracy is configured to be higher than the operation accuracy of the substrate moving unit, and the optical path moving unit is formed of a plurality of types having a cross-sectional area smaller than the cross-sectional area of the light beam emitted from the light source. It has a plurality of mask patterns for forming a light beam, and the mask pattern in the optical path moving means includes a mask pattern for forming an alignment mark. Stomach,
The film body to be processed is non-crystallized silicon, and crystallized silicon is obtained after modification,
The film body part reforming apparatus includes a control unit, and the control unit is a molding having a desired shape so as to reform a desired part of the film body part to be processed mounted on the substrate. In order to direct the light beam to a desired site in the film body to be processed, pattern selection control means for selecting a desired pattern from the plurality of mask patterns and the selected mask pattern are used. First irradiation position control means for moving the substrate to a desired position in order to move a desired part in the film body to be processed within the movement range region of the optical path by the shaped light beam thus formed. A second irradiation position control means for moving the optical path moving means to a desired position while referring to an alignment mark formed on the substrate, and a light source drive control means for driving the light source. And,
By the control unit, and moving the desired site of the target film body mounted on the substrate to a desired irradiation position, and adjusting the irradiation position subjected to alignment operation, it mounted on the substrate the film body unit reformer, characterized in that you sequentially and a step of light irradiation to the target film body.
当該光路移動手段の移動範囲は、当該基板移動手段の移動範囲よりも狭くなる様に構成されている事を特徴とする請求項1記載の膜体部改質装置。  2. The film body portion reforming apparatus according to claim 1, wherein the moving range of the optical path moving unit is configured to be narrower than the moving range of the substrate moving unit. 当該光路移動手段の移動速度は、当該基板移動手段の移動速度よりも遅くなる様に構成されている事を特徴とする請求項1又は2に記載の膜体部改質装置。  3. The film body portion reforming apparatus according to claim 1, wherein the moving speed of the optical path moving unit is configured to be slower than the moving speed of the substrate moving unit. 当該光路移動手段及び当該基板移動手段は、互いに同一方向若しくは互いに反対方向に移動可能に構成されている事を特徴とする請求項1乃至3の何れかに記載の膜体部改質装置。  4. The film body portion reforming apparatus according to claim 1, wherein the optical path moving unit and the substrate moving unit are configured to be movable in the same direction or in opposite directions to each other. 当該光路移動手段及び当該基板移動手段は、互いに同時に移動するか、個別的に移動する様に制御されるものである事を特徴とする請求項1乃至4の何れかに記載の膜体部改質装置。  5. The film body portion modification according to claim 1, wherein the optical path moving means and the substrate moving means are controlled to move simultaneously with each other or individually. Quality equipment. 光源、当該光源から出射される光ビームを所望の形状に成形すると共に、当該成形された光ビームを所望の方向に指向させる光路移動手段、及び被処理膜体部を含む基板を搭載し、当該被処理膜体部の所望の部位を当該成形された光ビームに対応せしめる様に移動可能に構成された基板移動手段とから構成された膜体部改質装置であって、当該光路移動手段の動作精度は、当該基板移動手段の動作精度よりも高くなる様に構成されており、当該光路移動手段は、当該光源から出射される光ビームの断面積よりも小さな断面積を有する複数種の成形光ビームを形成する為の複数個のマスクパターンを有しており、当該光路移動手段に於ける当該マスクパターンには、アラインメントマーク形成用のマスクパターンを含んでいる膜体部改質装置において、
当該被処理膜体部は、非結晶化シリコンであり、改質後に結晶化シリコンが得られ、
当該膜体部改質装置は、制御手段を含み、当該制御手段は、当該基板上に搭載されている当該被処理膜体部に於ける所望の部位を改質すべく、所望の形状を有する成形光ビームを当該被処理膜体部に於ける所望の部位に指向せしめる為に、当該複数個のマスクパターンから所望のパターンを選択するパターン選択制御手段と、当該選択されたマスクパターンを使用して成形された当該成形光ビームによる光路の移動範囲領域内に、当該被処理膜体部に於ける所望の部位を移動させる為に、当該基板を所望の位置に移動させる第1の照射位置制御手段と、当該基板上に形成されたアラインメントマークを参照しながら当該光路移動手段を所望の位置に移動させる第2の照射位置制御手段と、当該光源を駆動させる光源駆動制御手段とから構成されており、
当該制御手段により、所望の照射位置に当該基板に搭載された当該被処理膜体部の所望の部位を移動させるステップと、アラインメント動作を行い照射位置を調整するステップと、当該基板に搭載された当該被処理膜体部へ光照射するステップとを順次実行するように構成され、
当該基板上には、当該光路移動手段に設けられたアラインメントマークに対応するアラインメントマークが形成されている事を特徴とする膜体部改質装置。
A light source, a light beam emitted from the light source is shaped into a desired shape, an optical path moving means for directing the shaped light beam in a desired direction, and a substrate including a film body to be processed are mounted, A film body portion reforming apparatus comprising a substrate moving means configured to be movable so as to correspond a desired portion of a film body to be processed to the shaped light beam . The operation accuracy is configured to be higher than the operation accuracy of the substrate moving unit, and the optical path moving unit is formed of a plurality of types having a cross-sectional area smaller than the cross-sectional area of the light beam emitted from the light source. It has a plurality of mask patterns for forming a light beam, and the mask pattern in the optical path moving means includes a mask pattern for forming an alignment mark. Stomach,
The film body to be processed is non-crystallized silicon, and crystallized silicon is obtained after modification,
The film body part reforming apparatus includes a control unit, and the control unit is a molding having a desired shape so as to reform a desired part of the film body part to be processed mounted on the substrate. In order to direct the light beam to a desired site in the film body to be processed, pattern selection control means for selecting a desired pattern from the plurality of mask patterns and the selected mask pattern are used. First irradiation position control means for moving the substrate to a desired position in order to move a desired part in the film body to be processed within the movement range region of the optical path by the shaped light beam thus formed. A second irradiation position control means for moving the optical path moving means to a desired position while referring to an alignment mark formed on the substrate, and a light source drive control means for driving the light source. And,
By the control unit, and moving the desired site of the target film body mounted on the substrate to a desired irradiation position, and adjusting the irradiation position subjected to alignment operation, it mounted on the substrate And a step of irradiating light to the film body to be processed .
An apparatus for reforming a film body portion, characterized in that an alignment mark corresponding to an alignment mark provided on the optical path moving means is formed on the substrate.
前記アラインメント動作である当該光路移動手段に於ける当該所望の成形された光ビームと当該基板移動手段に於ける当該被処理膜体部の所望の被処理部位との位置合わせ操作は、当該基板上に設けられているアラインメントマークを参照して実行されるものである事を特徴とする請求項1乃至の何れかに記載の膜体部改質装置。The alignment operation of the desired shaped light beam in the optical path moving means and the desired processed part of the processed film body in the substrate moving means in the alignment operation is performed on the substrate. film body reforming apparatus according to any one of claims 1 to 6 with reference to the alignment mark provided and wherein it is intended to be executed. 光源、当該光源から出射される光ビームを所望の形状に成形すると共に、当該成形された光ビームを所望の方向に指向させる光路移動手段、及び被処理膜体部を含む基板を搭載し、当該被処理膜体部の所望の部位を当該成形された光ビームに対応せしめる様に移動可能に構成された基板移動手段とから構成された膜体部改質装置であって、当該光路移動手段の動作精度は、当該基板移動手段の動作精度よりも高くなる様に構成されており、当該光路移動手段は、当該光源から出射される光ビームの断面積よりも小さな断面積を有する複数種の成形光ビームを形成する為の複数個のマスクパターンを有しており、当該光路移動手段に於ける当該マスクパターンには、アラインメントマーク形成用のマスクパターンを含んでいる膜体部改質装置において、
当該被処理膜体部は、非結晶化シリコンであり、改質後に結晶化シリコンが得られ、
当該膜体部改質装置は、制御手段を含み、当該制御手段は、当該基板上に搭載されている当該被処理膜体部に於ける所望の部位を改質すべく、所望の形状を有する成形光ビームを当該被処理膜体部に於ける所望の部位に指向せしめる為に、当該複数個のマスクパターンから所望のパターンを選択するパターン選択制御手段と、当該選択されたマスクパターンを使用して成形された当該成形光ビームによる光路の移動範囲領域内に、当該被処理膜体部に於ける所望の部位を移動させる為に、当該基板を所望の位置に移動させる第1の照射位置制御手段と、当該基板上に形成されたアラインメントマークを参照しながら当該光路移動手段を所望の位置に移動させる第2の照射位置制御手段と、当該光源を駆動させる光源駆動制御手段とから構成されており、
当該制御手段により、所望の照射位置に当該基板に搭載された当該被処理膜体部の所望の部位を移動させるステップと、アラインメント動作を行い照射位置を調整するステップと、当該基板に搭載された当該被処理膜体部へ光照射するステップとを順次実行するように構成され、
前記アラインメント動作である当該光路移動手段に於ける当該所望の成形された光ビームと当該基板移動手段に於ける当該被処理膜体部の所望の被処理部位との位置合わせ操作は、当該基板上に設けられているアラインメントマークと当該光路移動手段に設けられているアラインメントマークとを一致させる様に制御するものである事を特徴とする膜体部改質装置。
A light source, a light beam emitted from the light source is shaped into a desired shape, an optical path moving means for directing the shaped light beam in a desired direction, and a substrate including a film body to be processed are mounted, A film body portion reforming apparatus comprising a substrate moving means configured to be movable so as to correspond a desired portion of a film body to be processed to the shaped light beam . The operation accuracy is configured to be higher than the operation accuracy of the substrate moving unit, and the optical path moving unit is formed of a plurality of types having a cross-sectional area smaller than the cross-sectional area of the light beam emitted from the light source. It has a plurality of mask patterns for forming a light beam, and the mask pattern in the optical path moving means includes a mask pattern for forming an alignment mark. Stomach,
The film body to be processed is non-crystallized silicon, and crystallized silicon is obtained after modification,
The film body part reforming apparatus includes a control unit, and the control unit is a molding having a desired shape so as to reform a desired part of the film body part to be processed mounted on the substrate. In order to direct the light beam to a desired site in the film body to be processed, pattern selection control means for selecting a desired pattern from the plurality of mask patterns and the selected mask pattern are used. First irradiation position control means for moving the substrate to a desired position in order to move a desired part in the film body to be processed within the movement range region of the optical path by the shaped light beam thus formed. A second irradiation position control means for moving the optical path moving means to a desired position while referring to an alignment mark formed on the substrate, and a light source drive control means for driving the light source. And,
By the control unit, and moving the desired site of the target film body mounted on the substrate to a desired irradiation position, and adjusting the irradiation position subjected to alignment operation, it mounted on the substrate And a step of irradiating light to the film body to be processed .
The alignment operation of the desired shaped light beam in the optical path moving means and the desired processed part of the processed film body in the substrate moving means in the alignment operation is performed on the substrate. The film body portion reforming apparatus is characterized in that the alignment mark provided on the optical path and the alignment mark provided on the optical path moving means are controlled to coincide with each other.
当該基板上に形成されている当該アラインメントマークは、予め当該基板上に形成されたものであるか、当該マスクパターンに於けるアラインメントマーク用パターンを使用して当該被処理膜体部の処理操作中に形成されたものである事を特徴とする請求項1〜8の何れかに記載の膜体部改質装置。The alignment mark formed on the substrate is formed in advance on the substrate, or the alignment mark pattern in the mask pattern is used during the processing operation of the film body to be processed. The film body part reforming apparatus according to claim 1, wherein the film body part reforming apparatus is formed. 光源を含み光路移動手段を用いて、当該光源から出射される光ビームを所望の形状に成形すると共に、当該成形された光ビームを所望の方向に指向させ、基板移動手段に被処理膜体部を含む基板を搭載し、当該基板移動手段により当該被処理膜体部の所望の部位を当該成形された光ビームに対応せしめる様に移動させることにより当該被処理膜体部の所望の部位を改質する膜体部改質装置であって、
当該光路移動手段の動作精度は、当該基板移動手段の動作精度よりも高くなる様に設定されており、
当該光路移動手段は、当該光源から出射される光ビームの断面積よりも小さな断面積を有する複数種の成形光ビームを形成する為の複数個のマスクパターンを有し、当該光路移動手段に於ける当該マスクパターンは、アラインメントマーク形成用のマスクパターンを含み、当該膜体部改質装置を用いた膜体部改質方法は、
当該基板上に搭載されている当該被処理膜体部に於ける所望の部位を改質すべく、所望の形状を有する成形光ビームを当該被処理膜体部に於ける所望の部位に指向せしめる為に、当該複数個のマスクパターンから所望のパターンを選択する第1ステップと、
当該選択されたマスクパターンを使用して成形された当該成形光ビームによる光路の移動範囲領域内に、当該被処理膜体部の所望の部位を移動させる為に、当該基板を所望の位置に移動させる第2ステップと、
当該基板上に形成されたアラインメントマークを参照しながら当該光路移動手段を所望の位置に移動させ、照射位置を調整する第3ステップと、
当該光源を駆動させ、当該被処理膜体部へ光照射する第4ステップとを順次実行し、
当該基板上の被処理膜体部である非結晶化シリコンを結晶化シリコンに改質する事を特徴とする膜体部改質方法。
A light source including a light source is used to shape a light beam emitted from the light source into a desired shape, and the shaped light beam is directed in a desired direction to cause the substrate moving means to be a film to be processed. part mounted substrate comprising, a desired site of the target film body by moving the desired site of the target film body as allowed to correspond to the light beam the molded with the substrate moving member A film body part reforming device for reforming,
The operation accuracy of the optical path moving means is set to be higher than the operation accuracy of the substrate moving means ,
The optical path movement means includes a multi several mask patterns for forming a shaped light beam of the multi several that have a smaller cross sectional area than the cross sectional area of the light beam emitted from the light source, the optical path The mask pattern in the moving means includes a mask pattern for alignment mark formation, and the film body portion modification method using the film body portion modification apparatus includes:
In order to direct a shaped light beam having a desired shape to a desired portion in the target film body portion in order to modify a desired portion in the target film body portion mounted on the substrate. And a first step of selecting a desired pattern from the plurality of mask patterns;
The substrate is moved to a desired position in order to move a desired portion of the film body to be processed within a moving range region of an optical path by the shaped light beam formed using the selected mask pattern. A second step of
A third step of adjusting the irradiation position by moving the optical path moving means to a desired position while referring to the alignment mark formed on the substrate;
The light source is driven, and the fourth step of irradiating the target film body with light is sequentially executed .
The film body unit reforming wherein the amorphous silicon is a target film body on the substrate that that inquire break the crystallized silicon.
当該光路移動手段の移動範囲は、当該基板移動手段の移動範囲よりも狭くなる様に設定する事を特徴とする請求項10記載の膜体部改質方法。11. The film body portion reforming method according to claim 10 , wherein the moving range of the optical path moving unit is set to be narrower than the moving range of the substrate moving unit. 当該光路移動手段の移動速度は、当該基板移動手段の移動速度よりも遅くなる様に設定する事を特徴とする請求項10又は11に記載の膜体部改質方法。The moving speed of the optical path means for moving the film body modification method according to claim 10 or 11, characterized in that setting as slower than the moving speed of the substrate moving member. 当該光路移動手段及び当該基板移動手段は、互いに同一方向若しくは互いに反対方向に移動させる事を特徴とする請求項10乃至12の何れかに記載の膜体部改質方法。The optical path moving means and said substrate moving means, the film body modification method according to any one of claims 10 to 12, characterized in that it is moved in the opposite direction the same direction or mutually with one another. 当該光路移動手段及び当該基板移動手段は、互いに同時に移動させるか、個別的に移動せしめるように制御する事を特徴とする請求項10乃至13の何れかに記載の膜体部改質方法。The optical path moving means and said substrate moving means either move simultaneously with one another, the film body modification method according to any one of claims 10 to 13, characterized in that controlling so as allowed to move individually.
JP32440299A 1999-11-15 1999-11-15 Film body part reforming apparatus and film body part reforming method Expired - Fee Related JP4322373B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP32440299A JP4322373B2 (en) 1999-11-15 1999-11-15 Film body part reforming apparatus and film body part reforming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP32440299A JP4322373B2 (en) 1999-11-15 1999-11-15 Film body part reforming apparatus and film body part reforming method

Publications (2)

Publication Number Publication Date
JP2001142094A JP2001142094A (en) 2001-05-25
JP4322373B2 true JP4322373B2 (en) 2009-08-26

Family

ID=18165406

Family Applications (1)

Application Number Title Priority Date Filing Date
JP32440299A Expired - Fee Related JP4322373B2 (en) 1999-11-15 1999-11-15 Film body part reforming apparatus and film body part reforming method

Country Status (1)

Country Link
JP (1) JP4322373B2 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3903761B2 (en) * 2001-10-10 2007-04-11 株式会社日立製作所 Laser annealing method and laser annealing apparatus
KR100831227B1 (en) * 2001-12-17 2008-05-21 삼성전자주식회사 A method for manufacturing a thin film transistor using poly silicon
US6727125B2 (en) * 2002-04-17 2004-04-27 Sharp Laboratories Of America, Inc. Multi-pattern shadow mask system and method for laser annealing
JP2004055771A (en) * 2002-07-18 2004-02-19 Nec Lcd Technologies Ltd Method for manufacturing semiconductor thin film and laser irradiation system
JP4474108B2 (en) * 2002-09-02 2010-06-02 株式会社 日立ディスプレイズ Display device, manufacturing method thereof, and manufacturing apparatus
KR100525443B1 (en) * 2003-12-24 2005-11-02 엘지.필립스 엘시디 주식회사 Device for Crystallization and method for Crystallization with the same
JP4591919B2 (en) * 2004-11-10 2010-12-01 Hoya株式会社 Manufacturing method of counter substrate for liquid crystal panel
JP4628879B2 (en) * 2005-06-13 2011-02-09 株式会社 日立ディスプレイズ Manufacturing method of display device
JP2005347764A (en) * 2005-07-19 2005-12-15 Hitachi Ltd Method of manufacturing image display device
US20120325784A1 (en) * 2011-06-24 2012-12-27 Applied Materials, Inc. Novel thermal processing apparatus
KR101288993B1 (en) * 2011-12-20 2013-08-16 삼성디스플레이 주식회사 Laser annealing device

Also Published As

Publication number Publication date
JP2001142094A (en) 2001-05-25

Similar Documents

Publication Publication Date Title
KR100383419B1 (en) Method for forming semiconductor films at desired positions on a substrate
KR100382868B1 (en) Apparatus for heating semiconducting thin layer
KR100534501B1 (en) Method for processing thin film and apparatus for processing thin film
KR100738295B1 (en) Thin film processing method and thin film processing apparatus
US8710507B2 (en) Semiconductor thin film, thin film transistor, method for manufacturing same, and manufacturing equipment of semiconductor thin film
JP3586558B2 (en) Method for reforming thin film and apparatus used for implementing the method
JP3393469B2 (en) Thin film semiconductor device manufacturing method and thin film semiconductor forming apparatus
US20040060515A1 (en) Semiconductor manufacturing apparatus and manufacturing method of thin film semiconductor device
US6863733B1 (en) Apparatus for fabricating thin-film semiconductor device
JP4322373B2 (en) Film body part reforming apparatus and film body part reforming method
JP4900128B2 (en) Semiconductor thin film modification method
JP3496678B1 (en) Semiconductor thin film

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050117

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060314

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060515

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060524

A912 Removal of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20060707

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090415

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090603

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130612

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130612

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130612

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees