JP2007525591A - Multiple chemical plating systems - Google Patents

Multiple chemical plating systems Download PDF

Info

Publication number
JP2007525591A
JP2007525591A JP2006513120A JP2006513120A JP2007525591A JP 2007525591 A JP2007525591 A JP 2007525591A JP 2006513120 A JP2006513120 A JP 2006513120A JP 2006513120 A JP2006513120 A JP 2006513120A JP 2007525591 A JP2007525591 A JP 2007525591A
Authority
JP
Japan
Prior art keywords
substrate
cell
plating
fluid
solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006513120A
Other languages
Japanese (ja)
Inventor
エルワンガー,ラッセル,シー.
ヤン,マイケル,エックス.
シ,ミン
ブリッチャー,エリック,ビー.
ドノソ,バーナード
パン,リリー,エル.
シャーマン,スヴェットラナ
ホー,ヘンリー
グエン,アン,エヌ.
ラーナー,アレクサンダー,エヌ.
ダンブラ,アレン,エル.
シャンムガスンドラム,アルルクマー
テツヤ イシカワ
ラビノヴィッチ,ヤェヴゲニー
ルボミルスキー,ドミトリー
モック,ユーク‐ファイ,エドウィン
グヤェン,ソン,ティー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007525591A publication Critical patent/JP2007525591A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/02Heating or cooling
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/08Rinsing
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/003Electroplating using gases, e.g. pressure influence
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Abstract

本発明の実施形態は、一般に、電気化学的メッキシステムを提供する。このメッキシステムは、メインフレーム処理プラットホームに連通して位置された基板ロードステーションと、メインフレームに位置された少なくとも1つの基板メッキセルと、メインフレームに位置された少なくとも1つの基板ベベル洗浄セルと、メインフレーム及びロードステーションの少なくとも一方に連通して位置されたスタック型基板アニールステーションとを備え、このスタック型基板アニールステーション内の各チャンバーは、加熱プレートと、冷却プレートと、基板移送ロボットとを有している。
【選択図】 図1
Embodiments of the present invention generally provide an electrochemical plating system. The plating system includes a substrate loading station located in communication with a main frame processing platform, at least one substrate plating cell located in the main frame, at least one substrate bevel cleaning cell located in the main frame, A stack type substrate annealing station positioned in communication with at least one of the frame and the load station, and each chamber in the stack type substrate annealing station includes a heating plate, a cooling plate, and a substrate transfer robot. ing.
[Selection] Figure 1

Description

発明の背景Background of the Invention

発明の分野
[0001]本発明の実施形態は、一般に、電気化学的メッキシステムに係る。
Field of Invention
[0001] Embodiments of the present invention generally relate to electrochemical plating systems.

関連技術の説明
[0002]サブクォータミクロンサイズの特徴部を金属化することは、集積回路製造プロセスの現在及び将来の世代に対する基本的な技術である。より詳細には、超大規模集積型デバイスのようなデバイス、即ち100万個を越えるロジックゲートを伴う集積回路を有するデバイスでは、これらデバイスの中心に存在する多レベル相互接続部が、一般に、高アスペクト比、即ち約4:1より大きなアスペクト比の相互接続特徴部に、銅のような導電性材料を充填することにより形成される。従来、化学気相堆積(CVD)及び物理気相堆積(PVD)のような堆積技術を使用して、これらの相互接続特徴部を充填している。しかしながら、相互接続部のサイズが減少し、且つアスペクト比が増加するにつれて、従来の金属化技術によるボイドなし相互接続特徴部の充填が益々困難になってきた。それ故、集積回路製造プロセスにおいてサブクォータミクロンサイズの高アスペクト比の相互接続特徴部をボイドなし充填するための有望なプロセスとして、メッキ技術、即ち電気化学的メッキ(ECP)及び無電気メッキが出現した。
Explanation of related technology
[0002] Metallizing sub-quarter micron-size features is a fundamental technology for current and future generations of integrated circuit manufacturing processes. More specifically, in devices such as very large scale integrated devices, ie devices having an integrated circuit with more than one million logic gates, the multilevel interconnects present in the center of these devices are generally high aspect ratios. It is formed by filling interconnect features with a ratio, i.e., an aspect ratio greater than about 4: 1, with a conductive material such as copper. Traditionally, deposition techniques such as chemical vapor deposition (CVD) and physical vapor deposition (PVD) have been used to fill these interconnect features. However, as interconnect sizes have decreased and aspect ratios have increased, filling void-free interconnect features with conventional metallization techniques has become increasingly difficult. Therefore, plating techniques, namely electrochemical plating (ECP) and electroless plating, have emerged as promising processes for void-free filling of sub-quarter micron high aspect ratio interconnect features in integrated circuit manufacturing processes did.

[0003]例えば、ECPプロセスにおいて、基板(又はそこに堆積される層)の表面へと形成されるサブクォータミクロンサイズの高アスペクト比の特徴部に、導電性材料を効率的に充填することができる。ECPメッキプロセスは、一般に、2段階プロセスであり、先ず初めに、基板の表面特徴部上にシード層が形成され(一般的に個別のツールにおけるPVD、CVD、又は他の堆積プロセスにより)、次いで、基板の表面特徴部が電解溶液に露出され(ECPツールにおいて)、その間に、電解溶液中に位置する銅のアノードとシード層との間に電気的バイアスが印加される。電解溶液は、一般に、基板の表面にメッキされるべきイオンを含み、それ故、電気的バイアスを印加すると、これらのイオンが、バイアスされたシード層にメッキされ、従って、基板の表面にイオンの層が堆積して特徴部を充填することができる。   [0003] For example, in an ECP process, a sub-quarter micron high aspect ratio feature formed on the surface of a substrate (or a layer deposited thereon) can be efficiently filled with a conductive material. it can. The ECP plating process is generally a two-step process, in which first a seed layer is formed on the surface features of the substrate (typically by PVD, CVD, or other deposition process in a separate tool) and then The surface features of the substrate are exposed to the electrolyte solution (in the ECP tool), during which an electrical bias is applied between the copper anode and the seed layer located in the electrolyte solution. The electrolytic solution generally includes ions to be plated on the surface of the substrate, so that when an electrical bias is applied, these ions are plated onto the biased seed layer, and thus the ions on the surface of the substrate. A layer can be deposited to fill the feature.

[0004]メッキプロセスが完了すると、基板は、一般に、基板すすぎセル又はベベル洗浄セルの少なくとも1つへ移送される。ベベル縁洗浄セルは、一般に、基板の周囲又はベベルにエッチング剤をディスペンスして、そこにメッキされた望ましからぬ金属を除去するように構成される。スピンすすぎ乾燥セルともしばしば称される基板すすぎセルは、一般に、基板の面(前面及び背面の両方)をすすぎ溶液ですすいで、そこから汚染物を除去するように動作する。更に、これらすすぎセルは、基板を高い速度でスピンさせて、基板面に付着する残留流体小滴をスピン除去させるようにしばしば構成される。残留流体小滴がスピン除去されると、基板は、一般に、綺麗で且つ乾燥状態となり、それ故、ECPツールから移送する準備ができる。ベベル洗浄セルは、一般に、基板が流体ディスペンスノズルの下で回転されている間にエッチング剤溶液をベベルにディスペンスすることにより基板のベベルを洗浄するように動作する。エッチング剤溶液は、メッキプロセス中に発生した望ましからぬ材料をベベルから洗浄するように働く。   [0004] Once the plating process is complete, the substrate is generally transferred to at least one of a substrate rinse cell or a bevel cleaning cell. The bevel edge cleaning cell is typically configured to dispense an etchant around or on the bevel to remove unwanted metal plated thereon. A substrate rinse cell, often referred to as a spin rinse dry cell, generally operates to rinse the substrate surface (both front and back) with a rinsing solution and remove contaminants therefrom. In addition, these rinse cells are often configured to spin the substrate at a high rate to spin off residual fluid droplets adhering to the substrate surface. When the residual fluid droplets are spun off, the substrate is generally clean and dry and is therefore ready to be transferred from the ECP tool. A bevel cleaning cell generally operates to clean a substrate bevel by dispensing an etchant solution onto the bevel while the substrate is rotated under a fluid dispensing nozzle. The etchant solution serves to clean unwanted material generated during the plating process from the bevel.

[0005]その後、洗浄/すすぎされた基板は、多くの場合、アニールチャンバーへ移送され、そこで、基板は堆積した膜をアニールするに充分な温度に加熱される。しかしながら、メッキ後の半導体基板に対するアニールプロセスが数分を要することがあるので、従来のメッキシステムのスループットは、アニールチャンバーの利用性により限定され得る。更に、アニールプロセスが完了すると、アニールされた基板は、一般に、その基板を別の処理チャンバー又は装置へ移送するのを許容する温度まで冷却するのに数分を要する。   [0005] Thereafter, the cleaned / rinsed substrate is often transferred to an annealing chamber where the substrate is heated to a temperature sufficient to anneal the deposited film. However, the throughput of conventional plating systems can be limited by the availability of the annealing chamber, as the annealing process on the semiconductor substrate after plating can take several minutes. In addition, once the annealing process is complete, the annealed substrate typically requires several minutes to cool to a temperature that allows the substrate to be transferred to another processing chamber or apparatus.

[0006]本発明の実施形態は、一般に、複数の化学物質を使用できる複数のメッキセル、基板すすぎセル、基板洗浄セル、及び二位置のアニールチャンバーを有し、それらの全てに連通している電気化学的メッキシステムを提供する。   [0006] Embodiments of the present invention generally have a plurality of plating cells that can use a plurality of chemicals, a substrate rinse cell, a substrate cleaning cell, and a two-position anneal chamber that are in communication with all of them. Provide chemical plating system.

発明の概要Summary of the Invention

[0007]本発明の実施形態は、一般に、電気化学的メッキシステムを提供する。このメッキシステムは、メインフレーム処理プラットホームに連通して位置された基板ロードステーションと、メインフレームに位置された少なくとも1つの基板メッキセルと、メインフレームに位置された少なくとも1つの基板ベベル洗浄セルと、メインフレーム及びロードステーションの少なくとも一方に連通して位置されたスタック型基板アニールステーションとを備え、このスタック型基板アニールステーション内の各チャンバーには、加熱プレートと、冷却プレートと、基板移送ロボットとが位置されている。   [0007] Embodiments of the present invention generally provide an electrochemical plating system. The plating system includes a substrate loading station located in communication with a main frame processing platform, at least one substrate plating cell located in the main frame, at least one substrate bevel cleaning cell located in the main frame, A stack type substrate annealing station positioned in communication with at least one of the frame and the load station, and a heating plate, a cooling plate, and a substrate transfer robot are located in each chamber in the stack type substrate annealing station. Has been.

[0008]本発明の実施形態は、一般に、メインフレーム処理プラットホームに連通して位置された基板ロードステーションと、メインフレームに位置された少なくとも1つの基板メッキセルと、メインフレームに位置された少なくとも1つの基板ベベル洗浄セルと、メインフレーム及びロードステーションの少なくとも一方に連通して位置されたスタック型基板アニールステーションとを備え、このスタック型基板アニールステーション内の各チャンバーに、加熱プレート及び冷却プレートが位置されているような電気化学的メッキシステムを提供する。   [0008] Embodiments of the present invention generally include a substrate loading station located in communication with a mainframe processing platform, at least one substrate plating cell located on the mainframe, and at least one located on the mainframe. A substrate bevel cleaning cell; and a stack type substrate annealing station positioned in communication with at least one of the main frame and the load station. A heating plate and a cooling plate are positioned in each chamber in the stack type substrate annealing station. Provide an electrochemical plating system like that.

[0009]本発明の実施形態は、更に、複数の化学物質メッキシステムを提供する。このメッキシステムは、共通のプラットホームに位置された複数のメッキセルと、プラットホームに位置された洗浄セルと、プラットホームに連通して位置されたアニールチャンバーと、プラットホームに連通すると共に複数のメッキセルに流体連通して位置された複数の化学流体配送システムとを備え、この流体配送システムは、複数の流体溶液を混合して複数のメッキセルの各々へ分配するように構成される。   [0009] Embodiments of the present invention further provide a plurality of chemical plating systems. The plating system includes a plurality of plating cells located on a common platform, a cleaning cell located on the platform, an annealing chamber located in communication with the platform, and communicated with the platform and in fluid communication with the plurality of plating cells. And a plurality of chemical fluid delivery systems located in a plurality of locations, wherein the fluid delivery system is configured to mix and distribute a plurality of fluid solutions to each of the plurality of plating cells.

[0010]本発明の実施形態は、更に、基板移送ロボットが位置された中央メインフレームを有する電気化学的メッキシステムを提供する。メインフレームは、そのメインフレームに連通して複数のメッキ化学物質を混合する手段と、そのメインフレームに位置された複数の電気化学的メッキセルと、その複数の電気化学的メッキセルの各々に複数の化学溶液を配送する手段であって、前記混合手段と流体連通するような配送手段と、基板のベベルから望ましからぬ堆積物を除去する手段と、基板をすすぎ及び乾燥する手段と、基板をアニールする手段と、を備えている。   [0010] Embodiments of the present invention further provide an electrochemical plating system having a central mainframe in which a substrate transfer robot is located. The main frame communicates with the main frame to mix a plurality of plating chemicals, a plurality of electrochemical plating cells positioned on the main frame, and a plurality of chemicals in each of the plurality of electrochemical plating cells. Means for delivering a solution, wherein the means for delivering is in fluid communication with the mixing means; means for removing unwanted deposits from the substrate bevel; means for rinsing and drying the substrate; and annealing the substrate. And means for performing.

[0011]本発明の実施形態は、更に、複数の化学物質の電気化学的メッキシステムを提供する。このシステムは、処理プラットホームに位置された電気化学的メッキセルを備えている。この電気化学的メッキセルは、メッキ溶液を収容するように構成されてオーバーフロー堰が位置されているセル本体と、このセル本体に位置されたアノードと、このアノードより上で且つオーバーフロー堰より下の位置にセル本体にわたって位置されたイオンメンブレーンであって、該メンブレーンの下のアノード液区画を該メンブレーンの上のカソード液区画から分離するようなイオンメンブレーンと、該メンブレーンの上で且つオーバーフロー堰の下でセル本体に位置された多孔性拡散部材とを備えている。このシステムは、更に、処理プラットホーム上に位置された基板洗浄セルと、処理プラットホームに連通して位置されたスタック型基板アニールステーションも備えている。   [0011] Embodiments of the present invention further provide a multiple chemical electrochemical plating system. The system includes an electrochemical plating cell located on the processing platform. The electrochemical plating cell comprises a cell body configured to contain a plating solution and having an overflow weir, an anode located in the cell body, a position above the anode and below the overflow weir. An ion membrane located across the cell body, wherein the ion membrane separates the anolyte compartment below the membrane from the catholyte compartment above the membrane, and above the membrane and And a porous diffusion member positioned in the cell body under the overflow weir. The system further includes a substrate cleaning cell located on the processing platform and a stacked substrate annealing station located in communication with the processing platform.

[0012]本発明の上述した特徴を詳細に理解できるように、前記で簡単に要約した本発明を、添付図面に幾つか示された実施形態を参照して、より詳細に説明する。しかしながら、添付図面は、本発明の典型的な実施形態を示すに過ぎず、それ故、本発明の範囲を何ら限定するものではなく、本発明は、他の等しく有効な実施形態も受け入れられることに注意されたい。   [0012] In order that the foregoing features of the invention may be more fully understood, the invention briefly summarized above will now be described in more detail with reference to a few embodiments illustrated in the accompanying drawings. However, the attached drawings show only typical embodiments of the present invention, and therefore do not limit the scope of the present invention in any way, and the present invention is also acceptable to other equally effective embodiments. Please be careful.

好ましい実施形態の詳細な説明Detailed Description of the Preferred Embodiment

[0045]本発明の実施形態は、一般に、半導体基板上に導電性材料をメッキするように構成された複数の化学物質の電気化学的メッキシステムを提供する。このメッキシステムは、一般に、基板処理プラットホームと連通する基板ロードエリアを備えている。このロードエリアは、一般に、基板収容カセットを受け取り、カセットから受け取られる基板を処理のために処理プラットホームへ移送する。このロードエリアは、一般に、基板をカセットへ及びカセットから、並びに処理プラットホームへ、或いはロードエリア、処理プラットホームに連通するように位置された基板アニールチャンバーへ、又はロードステーションと処理プラットホームとの間に位置されたリンクトンネルへ移送するように構成されたロボットを備えている。処理プラットホームは、一般に、少なくとも1つの基板移送ロボットと、複数の基板処理セル、即ち、ECPセル、ベベル洗浄セル、スピンすすぎ乾燥セル、基板洗浄セル、及び/又は無電気メッキセルとを備えている。本発明のシステムは、湿式処理プラットホームへ乾燥基板を導入するのを許容するもので、メッキ、洗浄(表面及びベベル)、乾燥及びアニールプロセスを一体的なシステムプラットホーム上で行うことができる。メッキプロセスは、多数のメッキ化学物質を利用することができ、システムの出力は、乾燥した、綺麗な(表面及びベベルの両方)且つアニールされた基板である。   [0045] Embodiments of the present invention generally provide a multiple chemical electrochemical plating system configured to plate a conductive material on a semiconductor substrate. The plating system generally includes a substrate load area that communicates with the substrate processing platform. This load area generally receives a substrate storage cassette and transfers substrates received from the cassette to a processing platform for processing. This load area is generally located between the loading station and the processing platform, or between the loading station and the processing platform, to the substrate and from the cassette, and to the processing platform, or to the loading area, a substrate annealing chamber located in communication with the processing platform. A robot configured to transfer to a designated link tunnel. The processing platform generally comprises at least one substrate transfer robot and a plurality of substrate processing cells: an ECP cell, a bevel cleaning cell, a spin rinse drying cell, a substrate cleaning cell, and / or an electroless plating cell. The system of the present invention allows the introduction of a dry substrate into a wet processing platform, and the plating, cleaning (surface and bevel), drying and annealing processes can be performed on an integrated system platform. The plating process can utilize a number of plating chemistries, and the output of the system is a dry, clean (both surface and bevel) and annealed substrate.

[0046]図1は、本発明のECPシステム100の上面図である。このECPシステム100は、一般に基板ロードステーションとも称されるファクトリーインターフェイス(FI)130を備えている。ファクトリーインターフェイス130は、基板収容カセット134とインターフェイスするよう構成された複数の基板ロードステーションを備えている。ロボット132がファクトリーインターフェイス130に位置され、カセット134に収容された基板にアクセスするように構成される。更に、ロボット132は、ファクトリーインターフェイス130を処理メインフレーム即ちプラットホーム113に接続するリンクトンネル115へも延びる。ロボット132の位置は、ロボットが基板カセット134にアクセスして、そこから基板を取り出し、次いで、それら基板を、メインフレーム113に位置された処理セル114、116の1つ、或いはアニールステーション135へ配送できるようにする。同様に、ロボット132は、基板処理シーケンスが完了した後に処理セル114、116又はアニールチャンバー135から基板を回収するのにも使用できる。この状態において、ロボット132は、基板をカセット134の1つへ戻し、システム100から取り去ることができるようにする。   [0046] FIG. 1 is a top view of an ECP system 100 of the present invention. The ECP system 100 includes a factory interface (FI) 130 that is generally called a substrate loading station. The factory interface 130 includes a plurality of substrate loading stations configured to interface with the substrate storage cassette 134. A robot 132 is positioned at the factory interface 130 and is configured to access a substrate contained in the cassette 134. In addition, the robot 132 extends to a link tunnel 115 that connects the factory interface 130 to a processing mainframe or platform 113. The position of the robot 132 is such that the robot accesses the substrate cassette 134 to remove the substrate therefrom and then delivers the substrate to one of the processing cells 114, 116 located on the main frame 113 or to the annealing station 135. It can be so. Similarly, the robot 132 can be used to recover substrates from the processing cells 114, 116 or annealing chamber 135 after the substrate processing sequence is complete. In this state, the robot 132 returns the substrate to one of the cassettes 134 so that it can be removed from the system 100.

[0047]以下に詳細に説明するアニールステーション135は、一般に、二位置アニールチャンバーを備え、冷却プレート/位置136及び加熱プレート/位置137が隣接した位置にあって、その付近、例えば、2つのステーション間に基板移送ロボット140が位置されている。このロボット140は、一般に、各加熱プレート137と冷却プレート136との間で基板を移動するように構成される。更に、アニールチャンバー135は、リンクトンネル115からアクセスされるよう位置されて示されているが、本発明の実施形態は、特定の構成又は配置に限定されない。従って、アニールステーション135は、メインフレーム113に直接連通して位置されて、即ちメインフレームロボット120によりアクセスされてもよいし、或いはまた、アニールステーション135は、メインフレーム113に連通した位置にあり、即ちアニールステーションは、メインフレーム113と同じシステムに位置されるが、メインフレーム113に直接接触されず、即ちメインフレームロボット120からアクセスされなくてもよい。例えば、図1に示すように、アニールステーション135は、リンクトンネル115に直接連通して位置されてもよく、これは、メインフレーム113へのアクセスを許し、従って、アニールチャンバー135は、メインフレーム113と連通するものとして示されている。   [0047] Annealing station 135, described in detail below, generally comprises a two-position annealing chamber, with cooling plate / position 136 and heating plate / position 137 in adjacent positions, in the vicinity thereof, eg, two stations. A substrate transfer robot 140 is positioned therebetween. The robot 140 is generally configured to move a substrate between each heating plate 137 and cooling plate 136. Further, although the anneal chamber 135 is shown positioned to be accessed from the link tunnel 115, embodiments of the present invention are not limited to a particular configuration or arrangement. Accordingly, the annealing station 135 may be located in direct communication with the main frame 113, i.e. accessed by the main frame robot 120, or alternatively, the annealing station 135 may be in a position communicating with the main frame 113, That is, the annealing station is located in the same system as the main frame 113, but is not in direct contact with the main frame 113, that is, may not be accessed from the main frame robot 120. For example, as shown in FIG. 1, the annealing station 135 may be located in direct communication with the link tunnel 115, which allows access to the main frame 113, and thus the annealing chamber 135 is connected to the main frame 113. Shown as communicating with.

[0048]上述したように、ECPシステム100は、基板移送ロボット120が中央に位置された処理メインフレーム113も備えている。ロボット120は、一般に、基板を支持して移送するように構成された1つ以上のアーム/ブレード122、124を備えている。更に、ロボット120及びそれに付随するブレード122、124は、一般に、延長、回転、及び垂直方向に移動して、ロボット120が、メインフレーム113上に位置された複数の処理場所102、104、106、108、110、112、114、116へ基板を挿入したりそこから基板を取り出したりすることができるように構成される。また、同様に、ファクトリーインターフェイスロボット132は、その基板支持ブレードを回転、延長、及び垂直方向に移動しながら、ファクトリーインターフェイス130からメインフレーム113へと延びるロボットトラックに沿って直線的移動も許容する能力を備えている。一般に、処理場所102、104、106、108、110、112、114、116は、電気化学的メッキプラットホームに使用されるいかなる数の処理セルでもよい。より詳細には、これら処理場所は、電気化学的メッキセル、すすぎセル、ベベル洗浄セル、スピンすすぎ乾燥セル、基板面洗浄セル(これは、集合的に、洗浄、すすぎ及びエッチングセルを含む)、無電気メッキセル、計測検査ステーション、及び/又はメッキプラットホームに関連して有利に使用できる他の処理セルとして構成されてもよい。各処理セル及びロボットの各々は、一般に、プロセスコントローラ111と通信するが、これは、ユーザ、及び/又はシステム100に位置する種々のセンサの両方から入力を受け取って、それら入力に基づいてシステム100の動作を適宜制御するように構成されたマイクロプロセッサベースの制御システムでよい。   [0048] As described above, the ECP system 100 also includes the processing main frame 113 in which the substrate transfer robot 120 is located in the center. The robot 120 generally includes one or more arms / blades 122, 124 that are configured to support and transfer a substrate. In addition, the robot 120 and associated blades 122, 124 generally move in an extended, rotating, and vertical direction so that the robot 120 is located on the main frame 113 at a plurality of processing locations 102, 104, 106, 108, 110, 112, 114, and 116 are configured so that the substrate can be inserted into and removed from the substrate. Similarly, the factory interface robot 132 is also capable of allowing linear movement along the robot track extending from the factory interface 130 to the main frame 113 while rotating, extending, and vertically moving its substrate support blade. It has. In general, the processing locations 102, 104, 106, 108, 110, 112, 114, 116 may be any number of processing cells used in an electrochemical plating platform. More specifically, these processing locations include electrochemical plating cells, rinse cells, bevel cleaning cells, spin rinse drying cells, substrate surface cleaning cells (which collectively include cleaning, rinsing and etching cells), no It may be configured as an electroplating cell, metrology inspection station, and / or other processing cell that can be advantageously used in connection with a plating platform. Each processing cell and each robot typically communicates with the process controller 111, which receives inputs from both the user and / or various sensors located in the system 100 and based on those inputs the system 100. The microprocessor-based control system may be configured to appropriately control the operation.

[0049]図1に示す例示的メッキシステムでは、処理場所を次のように構成することができる。処理場所114及び116は、メインフレーム113の湿式処理ステーションと、リンクトンネル115、アニールチャンバー135及びファクトリーインターフェイス130の乾燥処理領域との間のインターフェイスとして構成することができる。これらインターフェイス場所に置かれた処理セルは、スピンすすぎ乾燥セル及び/又は基板洗浄セルでよい。より詳細には、各々の場所114及び116は、スピンすすぎ乾燥セル及び基板洗浄セルをスタック構成で含んでもよい。場所102、104、110及び112は、例えば、電気化学的メッキセル又は無電気メッキセルのいずれかのメッキセルとして構成されてもよい。場所106、108は、基板ベベル洗浄セルとして構成されてもよい。電気化学的処理システムの付加的な構成及び実施は、参考としてここにその全体を援用する、2002年12月19日に出願された「Multi-Chemistry Electrochemical Processing System」と題する共通に譲渡された米国特許出願第10/435,121号に示されている。   [0049] In the exemplary plating system shown in FIG. 1, the processing location can be configured as follows. The processing locations 114 and 116 may be configured as an interface between the wet processing station of the main frame 113 and the dry processing areas of the link tunnel 115, the annealing chamber 135 and the factory interface 130. The processing cells placed at these interface locations may be spin rinse drying cells and / or substrate cleaning cells. More specifically, each location 114 and 116 may include a spin rinse drying cell and a substrate cleaning cell in a stacked configuration. The locations 102, 104, 110 and 112 may be configured as plating cells, for example, either electrochemical plating cells or electroless plating cells. Locations 106, 108 may be configured as substrate bevel cleaning cells. Additional configurations and implementations of electrochemical processing systems are commonly assigned in the United States, entitled “Multi-Chemistry Electrochemical Processing System,” filed December 19, 2002, which is hereby incorporated by reference in its entirety. Patent application 10 / 435,121.

[0050]図2は、処理場所102、104、110及び112において実施することのできる例示的メッキセル200の部分斜視図兼断面図である。この電気化学的メッキセル200は、一般に、外側深皿201と、この外側深皿201内に位置された内側深皿202とを備えている。内側深皿202は、一般に、電気化学的メッキプロセス中に基板に例えば銅のような金属をメッキするのに使用されるメッキ溶液を収容するように構成される。メッキプロセス中に、メッキ溶液は、一般に、内側深皿202へ供給され(例えば、10リッターのメッキセルの場合に約1ガロン/分で)、それ故、メッキ溶液は、内側深皿202の最上点(一般的に「堰」と称する)を常時オーバーフローして、外側深皿201によって収集され、そこから化学的管理及び再循環のために排出される。メッキセル200は、一般に、ある傾斜角度で位置され、即ちメッキセル200のフレーム部分203は、一般に、片側が持ち上げられていて、メッキセル200のコンポーネントが約3°乃至約30°で傾斜され、或いは一般に、最適な結果のためには約4°乃至約10°で傾斜される。メッキセル200のフレーム部材203は、その上部に環状ベース部材を支持する。フレーム部材203は片側が持ち上げられているので、ベース部材204の上面は、一般に、水平位置に対するフレーム部材203の角度に対応する角度で、水平から傾斜されている。ベース部材204は、その中央部に環状又は円板状のくぼみが形成され、この環状のくぼみは、円板状のアノード部材205を受け入れるように構成される。ベース部材204は、更に、その下面から延びる複数の流体入口/排出口209を備えている。これら流体入口/排出口209の各々は、一般に、メッキセル200のアノード区画又はカソード区画へ流体を個々に供給し又はそこから流体を排出するように構成される。アノード部材205は、一般に、複数のスロット207がこれを貫通して形成され、これらスロット207は、一般に、アノード205の表面にわたって互いに平行な向きに位置される。この平行な向きでは、アノード面に発生した濃密な流体がアノード面を横切ってスロット207の1つへと下方に流れるのを許容する。メッキセル200は、更に、メンブレーン支持アッセンブリ206を備えている。このメンブレーン支持アッセンブリ206は、一般に、その外周がベース部材204に固定され、また、流体の貫通を許容するように構成された内部領域を備えている。メンブレーン208がその支持体206にわたって張られ、メッキセルのカソード液チャンバー及びアノード液チャンバー部分を流体分離するように働く。メンブレーン支持アッセンブリは、メンブレーンの周囲付近に位置されたO‐リング型のシールを含んでもよく、このシールは、メンブレーン支持体206に固定されたメンブレーンの片側からメンブレーンの他側へ流体が移動するのを防止するように構成される。一般に多孔性セラミック円板部材である拡散プレート210は、流体の実質的な層流又は均一な流れを、メッキされている基板の方向に発生するように構成され、セルにおいて、メンブレーン208と、メッキされている基板との間に位置される。ここに例示するメッキセルは、更に、2002年10月9日に「Electrochemical Processing Cell」という名称で出願された共通に譲渡された米国特許出願第10/268,284号に示されており、これは、更に、2002年7月24日に出願された米国プロビジョナル特許出願第60/398,345号の優先権を請求するものであり、これらは両方とも参考としてその全体をここに援用する。   [0050] FIG. 2 is a partial perspective and cross-sectional view of an exemplary plating cell 200 that may be implemented at processing locations 102, 104, 110, and 112. FIG. The electrochemical plating cell 200 generally includes an outer basin 201 and an inner basin 202 positioned in the outer basin 201. The inner basin 202 is generally configured to contain a plating solution that is used to plate a metal such as copper on the substrate during the electrochemical plating process. During the plating process, the plating solution is generally supplied to the inner basin 202 (eg, at about 1 gallon / min for a 10 liter plating cell), so the plating solution is the top point of the inner basin 202. (Generally referred to as “weir”) always overflows and is collected by the outer basin 201, from which it is discharged for chemical management and recirculation. The plating cell 200 is typically positioned at an angle of inclination, i.e., the frame portion 203 of the plating cell 200 is generally lifted on one side and the components of the plating cell 200 are inclined at about 3 ° to about 30 °, or generally For optimum results, the tilt is between about 4 ° and about 10 °. The frame member 203 of the plating cell 200 supports an annular base member on the top thereof. Since the frame member 203 is lifted on one side, the upper surface of the base member 204 is generally inclined from the horizontal at an angle corresponding to the angle of the frame member 203 relative to the horizontal position. The base member 204 is formed with an annular or disk-shaped recess at the center thereof, and the annular recess is configured to receive the disk-shaped anode member 205. The base member 204 further includes a plurality of fluid inlet / outlet ports 209 extending from the lower surface thereof. Each of these fluid inlet / outlets 209 is generally configured to individually supply or discharge fluid from the anode compartment or cathode compartment of the plating cell 200. The anode member 205 is generally formed with a plurality of slots 207 extending therethrough, and these slots 207 are generally positioned parallel to each other across the surface of the anode 205. This parallel orientation allows the dense fluid generated on the anode surface to flow downward across the anode surface into one of the slots 207. The plating cell 200 further includes a membrane support assembly 206. The membrane support assembly 206 is generally secured to the base member 204 at its outer periphery and includes an interior region configured to allow fluid penetration. A membrane 208 is stretched across the support 206 and serves to fluidly separate the catholyte and anolyte chamber portions of the plating cell. The membrane support assembly may include an O-ring type seal located near the periphery of the membrane, the seal from one side of the membrane secured to the membrane support 206 to the other side of the membrane. It is configured to prevent fluid from moving. The diffusion plate 210, which is typically a porous ceramic disc member, is configured to generate a substantially laminar or uniform flow of fluid in the direction of the substrate being plated, and in the cell, the membrane 208, Located between the substrate being plated. The exemplary plating cell is further shown in commonly assigned US patent application Ser. No. 10 / 268,284, filed on Oct. 9, 2002 under the name “Electrochemical Processing Cell” And claims priority to US Provisional Patent Application No. 60 / 398,345, filed July 24, 2002, both of which are hereby incorporated by reference in their entirety.

[0051]図3は、本発明のスタックアニールシステム300を例示する斜視図である。このスタックアニールシステム300は、図1に示すアニールステーション135に位置されてもよいし、或いは必要に応じて、処理プラットホームの別の場所に位置されてもよい。アニールシステム300は、一般に、このアニールシステム300の種々のコンポーネントを支持するように構成されたフレーム301を備えている。このフレーム部材301には、処理システムのロボット、即ちメインフレームロボット120又はファクトリーインターフェイスロボット132がそこにアクセスするのを容易にする高さに、少なくとも1つのアニールチャンバー302が位置されている。ここに示す実施形態では、アニールシステム300は、垂直方向に上下にスタックされた3個のアニールチャンバー302を備えている。しかしながら、本発明の実施形態は、特定の個数のアニールチャンバー、或いは互いに対するチャンバーの特定の間隔又は向きに限定されない。というのは、本発明の範囲から逸脱せずに、種々の間隔、個数及び向きを実施できるからである。アニールシステム300は、フレーム部材301の上部に位置された電気的システムコントローラ306を備えている。この電気的システムコントローラ306は、一般に、アニールシステム300の各コンポーネントに供給される電力を制御するように動作し、より詳細には、電気的システムコントローラ306は、アニールチャンバー302の加熱素子に配送される電力を制御して、アニールチャンバーの温度を制御できるように動作する。アニールシステムは、更に、一般にアニールチャンバー302の下でフレーム部材301に位置された流体及びガス供給アッセンブリ304を備えている。この流体及びガス供給アッセンブリ304は、一般に、アニール処理ガス、例えば、窒素、アルゴン、ヘリウム、水素、又は半導体処理アニールに適した他の不活性ガスを各アニールチャンバー302へ供給するように構成される。また、流体及びガス供給アッセンブリ304は、アニールチャンバー302へ配送される流体、例えば、アニールプロセスの加熱部分が完了した後にチャンバー本体302及び/又はアニールされた基板を冷却するのに使用される冷却流体を供給し且つ調整するようにも構成される。例えば、冷却流体は、冷やされた又は冷たい供給水でよい。供給アッセンブリ304は、更に、各アニールチャンバー302と個々に連通する真空システム(図示せず)を含んでもよい。この真空システムは、アニールプロセスを開始する前にアニールチャンバー302から周囲ガスを除去するように動作してもよく、又、減圧アニールプロセスをサポートするように使用されてもよい。それ故、真空システムは、各アニールチャンバー302において減圧アニールプロセスを実行するのを許し、更に、スタックの隣接チャンバー302を妨げることなく、各アニールチャンバー302に異なる減圧を同時に使用できるようにする。   [0051] FIG. 3 is a perspective view illustrating a stack anneal system 300 of the present invention. The stack anneal system 300 may be located at the anneal station 135 shown in FIG. 1, or may be located elsewhere in the processing platform, if desired. The annealing system 300 generally includes a frame 301 that is configured to support the various components of the annealing system 300. At least one annealing chamber 302 is positioned on the frame member 301 at a height that facilitates access to the robot of the processing system, ie, the main frame robot 120 or the factory interface robot 132. In the illustrated embodiment, the annealing system 300 includes three annealing chambers 302 stacked vertically in the vertical direction. However, embodiments of the present invention are not limited to a specific number of annealing chambers or a specific spacing or orientation of the chambers relative to each other. This is because various spacings, numbers and orientations can be implemented without departing from the scope of the present invention. The annealing system 300 includes an electrical system controller 306 positioned on top of the frame member 301. The electrical system controller 306 generally operates to control the power supplied to each component of the anneal system 300, and more specifically, the electrical system controller 306 is delivered to the heating elements of the anneal chamber 302. The power is controlled so that the temperature of the annealing chamber can be controlled. The annealing system further includes a fluid and gas supply assembly 304 that is generally located in the frame member 301 under the annealing chamber 302. The fluid and gas supply assembly 304 is generally configured to supply an anneal gas, eg, nitrogen, argon, helium, hydrogen, or other inert gas suitable for semiconductor process anneal, to each anneal chamber 302. . The fluid and gas supply assembly 304 may also be used to cool the fluid delivered to the anneal chamber 302, for example, the cooling fluid used to cool the chamber body 302 and / or the annealed substrate after the heating portion of the anneal process is complete. Is also configured to supply and regulate. For example, the cooling fluid may be chilled or cold feed water. Supply assembly 304 may further include a vacuum system (not shown) in individual communication with each anneal chamber 302. The vacuum system may operate to remove ambient gas from the anneal chamber 302 prior to initiating the anneal process and may be used to support a reduced pressure anneal process. Thus, the vacuum system allows a reduced pressure anneal process to be performed in each anneal chamber 302 and further allows different pressures to be used simultaneously in each anneal chamber 302 without interfering with adjacent chambers 302 in the stack.

[0052]図4は、内部コンポーネントが見えるようにチャンバーのカバー即ち蓋部分を除去した状態で本発明のアニールチャンバー302を例示する上方斜視図である。アニールチャンバー302は、一般に、包囲された処理容積部400を画成するチャンバー本体401を備えている。この包囲された処理容積部400は、互いに接近して位置された加熱プレート402及び冷却プレート404を備えている。これらの加熱及び冷却プレートに隣接して基板移送メカニズム406が位置され、これは、処理容積部400の外部から基板を受け取り、その基板を、アニールプロセス中に各加熱プレートと冷却プレートとの間で移送するように構成される。この基板移送メカニズム406は、一般に、基板支持部材/ブレード408がロボットのピボットアームの遠方端に位置された枢着ロボットアッセンブリを備えている。ブレード408は、複数の基板支持タブ410を備え、これらのタブは、ブレード408から離間されていて、基板を協働支持するように構成される。各支持タブ410は、一般に、ブレードの主本体部分408から垂直方向に(一般的に下方に)離間され、ブレード408とタブ410との間に垂直スペースが発生する。このスペースは、基板ロードプロセス中に基板をタブ410に位置させるのを許容するが、これは更に詳細に述べる。更に、加熱及び冷却プレート402、404の各々は、対応する数のノッチ416がその外周に形成され、これらノッチ416は、ブレード部材408が各加熱及び冷却プレート402、404に向かって下降されたときに、タブ410を協働して受け入れる間隔及び構成にされる。   [0052] FIG. 4 is a top perspective view illustrating the anneal chamber 302 of the present invention with the chamber cover or lid removed to reveal internal components. The annealing chamber 302 generally includes a chamber body 401 that defines an enclosed processing volume 400. The enclosed processing volume 400 includes a heating plate 402 and a cooling plate 404 positioned close to each other. Adjacent to these heating and cooling plates is a substrate transfer mechanism 406 that receives the substrate from outside the processing volume 400 and moves the substrate between each heating and cooling plate during the annealing process. Configured to transport. The substrate transfer mechanism 406 generally includes a pivoted robot assembly in which a substrate support member / blade 408 is positioned at the distal end of the robot's pivot arm. The blade 408 includes a plurality of substrate support tabs 410 that are spaced apart from the blade 408 and configured to co-support the substrate. Each support tab 410 is generally spaced vertically (generally downward) from the main body portion 408 of the blade, creating a vertical space between the blade 408 and the tab 410. This space allows the substrate to be positioned on the tab 410 during the substrate loading process, which will be described in further detail. In addition, each of the heating and cooling plates 402, 404 has a corresponding number of notches 416 formed in its outer periphery, which are notched when the blade member 408 is lowered toward each heating and cooling plate 402, 404. In addition, the spacing and configuration of the tabs 410 are received cooperatively.

[0053]本発明の別の実施形態において、移送メカニズム406は、図5に示すように、強化ブレード部材500を備えている。このブレード部材500は、その構造上の形状を維持するように構成された一体的フレーム部材501を備え、即ちこの一体的なブレード部材501は、構造体自体の動き(揺れ、曲げ、かがめ等)が最小となる形状及び設計にされる)。この一体的フレーム部材501は、該フレーム部材501の下部に基板支持リング即ち部材502が取り付けられている。この基板支持部材502は、フレーム部材501に対して半径方向内方に位置された基板支持タブ503(図4に示すタブ410と同様の)を備えている。これらタブは、タブ410と同様に、基板を支持すると共に、各加熱及び冷却プレートのノッチ416に受け入れられるように離間され、構成される。   [0053] In another embodiment of the present invention, the transfer mechanism 406 includes a reinforced blade member 500, as shown in FIG. The blade member 500 includes an integral frame member 501 configured to maintain its structural shape, i.e., the integral blade member 501 moves (sway, bend, bend, etc.) the structure itself. Is designed to minimize the shape). The integral frame member 501 has a substrate support ring or member 502 attached to the lower part of the frame member 501. The substrate support member 502 includes a substrate support tab 503 (similar to the tab 410 shown in FIG. 4) positioned radially inward with respect to the frame member 501. These tabs, like the tabs 410, are spaced apart and configured to support the substrate and be received in the notches 416 of each heating and cooling plate.

[0054]例えば、アルミニウムで製造できるアニールチャンバーの本体401は、一般に、内部処理容積部400を画成する。外部本体401は、一般に、これを貫通して複数の流体コンジット(図示せず)が形成され、これら流体コンジットは、冷却流体を循環して外部本体401の温度を下げるように構成される。冷却流体は、外部本体401に形成された流体コンジットに供給されて、冷却流体接続部420により外部本体401を経て循環される。   [0054] For example, an anneal chamber body 401, which can be made of aluminum, generally defines an internal processing volume 400. The outer body 401 generally has a plurality of fluid conduits (not shown) formed therethrough that are configured to circulate cooling fluid to lower the temperature of the outer body 401. The cooling fluid is supplied to a fluid conduit formed in the external body 401 and is circulated through the external body 401 by the cooling fluid connection unit 420.

[0055]冷却プレート404は、一般に、基板を支持するように構成された実質的に平らな上面を備えている。この上面は、真空源(図示せず)と選択的に流体連通される複数の真空アパーチャー422を備えている。これらの真空アパーチャー422は、一般に、冷却プレート404の上面に減圧を生じさせて、基板を上面に固定即ち真空チャックさせるのに使用できる。冷却プレートの内部には、複数の流体コンジットが形成されてもよく、これら流体コンジットは、チャンバー本体401を冷却するのに使用される冷却流体源と流体連通される。流体コンジットが冷却プレートに実施されるときには、冷却プレートは、そこに位置された基板を迅速に冷却するように使用できる。或いはまた、冷却プレートは、冷却流路を形成せずに製造されてもよく、この実施形態では、冷却プレートは、冷却プレートがそこに形成された冷却コンジットにより本質的に冷やされる実施形態よりもゆっくりとした速度で冷却するように使用できる。更に、上述したように、冷却プレート404は、このプレート404の周囲に形成された複数のノッチ416を含み、これらノッチ416は、基板支持ブレード408が処理位置へと下げられたときにこのブレードのタブ410を受け入れるように離間される。   [0055] The cooling plate 404 generally comprises a substantially flat top surface configured to support a substrate. The top surface includes a plurality of vacuum apertures 422 that are selectively in fluid communication with a vacuum source (not shown). These vacuum apertures 422 can generally be used to create a vacuum on the top surface of the cooling plate 404 to fix or vacuum chuck the substrate to the top surface. A plurality of fluid conduits may be formed within the cooling plate, and the fluid conduits are in fluid communication with a cooling fluid source used to cool the chamber body 401. When a fluid conduit is implemented in the cooling plate, the cooling plate can be used to quickly cool the substrate located there. Alternatively, the cooling plate may be manufactured without forming a cooling channel, and in this embodiment the cooling plate is more cooled than the embodiment in which the cooling plate is essentially cooled by a cooling conduit formed therein. Can be used to cool at a slow rate. Further, as described above, the cooling plate 404 includes a plurality of notches 416 formed around the plate 404 that are not in the blade when the substrate support blade 408 is lowered to the processing position. Spaced to receive tab 410.

[0056]加熱プレート402も、冷却プレート404と同様に、実質的に平らな基板支持上面を備えている。この基板支持面には、複数の真空アパーチャー422が形成され、これら真空アパーチャー422の各々は、真空源(図示せず)に選択的に流体連通される。従って、真空アパーチャー422は、基板を処理のために加熱プレート402に真空チャック即ち固定するように使用できる。加熱プレート402の内部は、加熱素子(図示せず)を含み、この加熱素子は、加熱プレート402の面を約100℃乃至約500℃の温度に加熱するように構成される。加熱素子は、例えば、電気的に駆動される抵抗性素子、又は加熱プレート402に形成される高温流体コンジットを含んでもよく、この高温流体も、加熱プレート402の面を加熱するように構成される。或いはまた、本発明のアニールチャンバーは、加熱プレート402の上または下に位置されたランプ、誘導性ヒータ、又は抵抗性素子のような外部加熱装置を使用してもよい。更に、上述したように、加熱プレート402は、このプレート402の周囲に複数のノッチ416が形成されており、これらノッチ416は、基板支持ブレード408が処理位置へ下げられたときにそのブレードのタブ410を受け入れるように離間される。   [0056] The heating plate 402, like the cooling plate 404, has a substantially flat substrate support top surface. A plurality of vacuum apertures 422 are formed on the substrate support surface, and each of the vacuum apertures 422 is selectively in fluid communication with a vacuum source (not shown). Thus, the vacuum aperture 422 can be used to vacuum chuck the substrate to the heating plate 402 for processing. The interior of the heating plate 402 includes a heating element (not shown) that is configured to heat the surface of the heating plate 402 to a temperature of about 100 ° C. to about 500 ° C. The heating element may include, for example, an electrically driven resistive element or a hot fluid conduit formed in the heating plate 402, which is also configured to heat the surface of the heating plate 402. . Alternatively, the annealing chamber of the present invention may use external heating devices such as lamps, inductive heaters, or resistive elements located above or below the heating plate 402. Further, as described above, the heating plate 402 has a plurality of notches 416 formed around the plate 402 that are tabbed when the substrate support blade 408 is lowered to the processing position. Spaced to accept 410.

[0057]図6は、加熱プレート402の斜視図兼部分断面図である。プレート402の断面図は、抵抗加熱素子600が位置された加熱プレートベース部材608を示している。抵抗加熱素子は、図7に示すように、加熱プレート402の内部610に包囲される。より詳細には、この内部610にはチャンネルが形成され、このチャンネルは、加熱素子600を受け入れるサイズ及び間隔にされる。この内部610の上にはトッププレート612が位置される。最上部、内部及びベース部材608は、一般に、希望の熱導電率特性を有する金属、例えば、アルミニウムで製造される。更に、プレート402の3つの区分は、一体的な熱伝達プレート402を形成するように一緒にろう付けできる。プレート402の下部、即ちベース部材608の底面は、プレート402を支持するステム606を含む。このステムは、一般に、プレート部材402より実質的に直径が小さく、チャンバーのベース又は壁への熱伝達を最小にする。より詳細には、ステム部材は、一般に、その直径が加熱プレート402の直径の約20%未満である。更に、ステム606の下部は、加熱プレート402の温度を測定するためのサーモカップル604と、加熱素子600へ電力を導通するための電力接続部602とを備えている。   FIG. 6 is a perspective view and partial cross-sectional view of the heating plate 402. The cross-sectional view of the plate 402 shows the heating plate base member 608 in which the resistance heating element 600 is located. The resistance heating element is surrounded by an interior 610 of the heating plate 402 as shown in FIG. More particularly, the interior 610 is formed with a channel that is sized and spaced to receive the heating element 600. A top plate 612 is positioned on the interior 610. The top, interior, and base members 608 are generally made of a metal having the desired thermal conductivity characteristics, such as aluminum. Further, the three sections of the plate 402 can be brazed together to form an integral heat transfer plate 402. The lower portion of the plate 402, that is, the bottom surface of the base member 608 includes a stem 606 that supports the plate 402. This stem is generally substantially smaller in diameter than the plate member 402 to minimize heat transfer to the base or wall of the chamber. More particularly, the stem member is generally less than about 20% in diameter of the heating plate 402. Further, the lower portion of the stem 606 includes a thermocouple 604 for measuring the temperature of the heating plate 402 and a power connection 602 for conducting power to the heating element 600.

[0058]アニールチャンバーは、処理容積部400と流体連通するように位置されたポンプダウンアパーチャー424を含むことができる。このポンプダウンアパーチャー424は、真空源(図示せず)に選択的に流体連通されて、処理容積部400からガスを排気するように一般的に構成される。更に、アニールチャンバーは、一般に、加熱プレート402の付近に位置された少なくとも1つのガスディスペンスポート426即ちガスディスペンスシャワーヘッドを備えている。このガスディスペンスポートは、処理ガス源即ち供給源304に選択的に流体連通され、それ故、処理容積部400へ処理ガスをディスペンスするように構成される。また、ガスディスペンスポート426は、例えば、アニールチャンバーの内部に位置されたガスシャワーヘッドアッセンブリでもよい。アニールチャンバー内の周囲ガス含有量を最小にするために、真空ポンプダウンアパーチャー424及びガスディスペンスノズルを協働して又は選択的に利用してもよく、即ち両方のコンポーネント、或いは一方または他方のコンポーネントを使用してもよい。   [0058] The anneal chamber may include a pump down aperture 424 positioned in fluid communication with the processing volume 400. The pump down aperture 424 is generally configured to exhaust fluid from the processing volume 400 in selective fluid communication with a vacuum source (not shown). In addition, the anneal chamber generally includes at least one gas dispense port 426 or gas dispense showerhead located near the heating plate 402. The gas dispense port is selectively in fluid communication with a process gas source or supply 304 and is therefore configured to dispense process gas into the process volume 400. The gas dispense port 426 may be, for example, a gas shower head assembly located inside the annealing chamber. In order to minimize the ambient gas content in the annealing chamber, the vacuum pump down aperture 424 and the gas dispensing nozzle may be used in concert or selectively, i.e. both components, or one or the other component. May be used.

[0059]アニールチャンバー302は、ロボット406と連通する基板移送メカニズムアクチュエータアッセンブリ418を備えている。このアクチュエータ418は、一般に、ブレード408の枢着移動と、加熱又は冷却部材に対するブレードの高さ即ちZ位置との両方を制御するように構成される。例えば、スリットバルブ型ドアでよいアクセスドア414は、一般に、本体部分401の外壁に位置される。このアクセスドア414は、一般に、これが開いて、アニールチャンバー302の処理容積400へのアクセスを許容するように構成される。従って、アクセスドア412が開き、ロボット412(例えば、例示的FIからのロボット132でもよいし、又は図1に示す例示的メインフレーム基板移送ロボット120でもよい)が処理容積部400に入って、アニールチャンバー302の1つに基板を落とし又はそこから基板を回収することができる。   [0059] The anneal chamber 302 includes a substrate transfer mechanism actuator assembly 418 in communication with the robot 406. This actuator 418 is generally configured to control both the pivoting movement of the blade 408 and the height or Z position of the blade relative to the heating or cooling member. For example, the access door 414, which may be a slit valve type door, is generally located on the outer wall of the body portion 401. The access door 414 is generally configured such that it opens to allow access to the processing volume 400 of the anneal chamber 302. Accordingly, the access door 412 opens and the robot 412 (eg, the robot 132 from the exemplary FI or the exemplary mainframe substrate transfer robot 120 shown in FIG. 1) enters the processing volume 400 and anneals. The substrate can be dropped into one of the chambers 302 or the substrate can be recovered therefrom.

[0060]より詳細には、基板をアニールチャンバーへ挿入するプロセスは、例えば、ロード位置、即ち冷却プレート404の上面より上の場所にタブ410が垂直に位置されるところの位置で、ブレード408を冷却プレート404上に位置させることを含む。上記で簡単に述べたように、ブレード408及びタブ410は、タブ410の上面とブレード408の下面との間に垂直スペースが生じるように互いに位置される。この垂直スペースは、基板を支持したロボットブレード412をこの垂直スペースへ挿入し、次いで、これを下げて、基板をブレード412から基板支持タブ410へ移行するのを許容するように構成される。基板がタブ410により支持されると、外部ロボットブレード412を処理容積部400から引っ込め、アクセスドア414を閉じて、処理容積部400を周囲の大気中から分離することができる。この実施形態では、ドア414が閉じると、ポンプダウンアパーチャー424と連通している真空源を作動させ、ガスの一部分を処理容積部400からポンピングすることができる。このポンピングプロセス中に、又はその短時間後に、プロセスガスノズル426(1つ又は複数)を開いて、プロセスガスが処理容積部400に押し寄せるのを許容することができる。プロセスガスは、一般に、アニール処理条件のもとでは反応しないと分かっている不活性ガスである。この構成、即ちポンプダウン及び不活性ガス押し寄せプロセスは、一般に、アニールチャンバー/処理容積部からできるだけ多くの酸素を除去するように構成される。というのは、酸素は、アニールプロセス中に基板表面を酸化させることが分かっているからである。チャンバーが所定の圧力及びガス濃度に達したときに、真空源を終了してガス流を停止させてもよいし、或いは又、アニールプロセス中に真空源を作動状態に保持し且つガス配送ノズルが処理ガスを処理容積部に流し込み続けるようにしてもよい。   [0060] More specifically, the process of inserting the substrate into the anneal chamber includes, for example, the blade 408 at a load position, ie, where the tab 410 is positioned vertically above the top surface of the cooling plate 404. Including positioning on the cooling plate 404. As briefly mentioned above, blade 408 and tab 410 are positioned relative to each other such that there is a vertical space between the upper surface of tab 410 and the lower surface of blade 408. This vertical space is configured to allow the robot blade 412 supporting the substrate to be inserted into this vertical space and then lowered to allow the substrate to transition from the blade 412 to the substrate support tab 410. When the substrate is supported by the tab 410, the external robot blade 412 can be retracted from the processing volume 400 and the access door 414 can be closed to isolate the processing volume 400 from the surrounding atmosphere. In this embodiment, when the door 414 closes, a vacuum source in communication with the pump down aperture 424 can be activated to pump a portion of the gas from the process volume 400. During this pumping process, or shortly thereafter, the process gas nozzle (s) 426 may be opened to allow process gas to rush into the process volume 400. The process gas is generally an inert gas that is known not to react under annealing conditions. This configuration, i.e., the pump down and inert gas push process, is generally configured to remove as much oxygen as possible from the anneal chamber / process volume. This is because oxygen has been found to oxidize the substrate surface during the annealing process. When the chamber reaches a predetermined pressure and gas concentration, the vacuum source may be terminated and the gas flow may be stopped, or alternatively, the vacuum source may be kept operational during the annealing process and a gas delivery nozzle may be provided. The processing gas may continue to flow into the processing volume.

[0061]本発明の別の実施形態では、望ましからぬガス、即ち酸素含有ガスを処理容積部からパージするように真空源が使用されない。むしろ、正の処理ガス圧力を使用して、処理容積部400における酸素含有量を最小にする。より詳細には、ドア414を開いたときに、処理容積部400へのガス供給源426を作動して、処理容積部に正の圧力を確立することができる。この正の圧力は、ドア414を開いたときにアニールチャンバーから外方へのガス流を生じさせ、これは、処理容積部400に入る酸素の量を最小にする。このプロセスを真空ポンプダウンプロセスと組み合わせて、処理容積部から酸素を除去する見込みを高めるようにしてもよい。   [0061] In another embodiment of the present invention, no vacuum source is used to purge unwanted gases, ie, oxygen-containing gases, from the process volume. Rather, positive process gas pressure is used to minimize the oxygen content in the process volume 400. More particularly, when the door 414 is opened, the gas supply 426 to the process volume 400 can be activated to establish a positive pressure in the process volume. This positive pressure creates a gas flow outward from the anneal chamber when the door 414 is opened, which minimizes the amount of oxygen entering the process volume 400. This process may be combined with a vacuum pump down process to increase the likelihood of removing oxygen from the process volume.

[0062]基板がブレード部材408に位置されると、基板を冷却プレート404又は加熱プレート402へ下げることができる。基板を加熱プレート402又は冷却プレート404へ下げるプロセスは、一般に、ブレード部材408を各プレートの上に位置させて、プレートの周囲に形成されたノッチ416の上に基板支持タブ410を位置させることを含む。次いで、ブレード部材408を下げて、タブ410がノッチ416に受け入れられるようにする。基板支持タブ410がノッチ416に受け入れられると、タブ410に支持された基板を各加熱又は冷却プレートの上面へ移送する。この移送プロセスは、一般に、プレート上面に形成された真空アパーチャー422を作動させて、基板をその上面に載せたときに移動しないように基板をそこに固定することを含む。加熱プレートは、一般に、基板をそこに位置する前に、約150℃乃至約400℃の所定のアニール温度に加熱される。加熱プレートの別の温度範囲は、例えば、約150℃乃至約250℃、約150℃乃至約325℃、及び約200℃乃至約350℃を含む。基板は、加熱プレート402上に所定の時間周期中位置され、例えば、基板に堆積される層に希望の構造を形成するのに必要な希望のアニール温度及び時間に基づいて、約15秒乃至約120秒間アニールされる。   [0062] Once the substrate is positioned on the blade member 408, the substrate can be lowered to the cooling plate 404 or the heating plate 402. The process of lowering the substrate to the heating plate 402 or the cooling plate 404 generally involves positioning the substrate support tab 410 over a notch 416 formed around the plate with the blade member 408 positioned over each plate. Including. The blade member 408 is then lowered so that the tab 410 is received in the notch 416. When the substrate support tab 410 is received in the notch 416, the substrate supported by the tab 410 is transferred to the upper surface of each heating or cooling plate. This transfer process generally includes actuating a vacuum aperture 422 formed on the top surface of the plate to secure the substrate therein so that it does not move when placed on the top surface. The heating plate is generally heated to a predetermined annealing temperature of about 150 ° C. to about 400 ° C. prior to placing the substrate therein. Other temperature ranges for the heating plate include, for example, about 150 ° C to about 250 ° C, about 150 ° C to about 325 ° C, and about 200 ° C to about 350 ° C. The substrate is positioned on the heating plate 402 for a predetermined period of time, for example from about 15 seconds to about 15 seconds based on the desired annealing temperature and time required to form the desired structure in the layer deposited on the substrate. Annealed for 120 seconds.

[0063]アニールプロセスの加熱部分が完了すると、基板を冷却プレート404へ移送する。この移送プロセスは、真空チャック動作を終了させ、次いで、タブ部材410が基板に係合して支持するまで、即ちタブ410が基板を加熱プレート面から持ち上げるまで、ブレード部材408を上方に持ち上げることを含む。次いで、ブレード部材408を加熱プレート402から冷却プレート404へ枢着回転することができる。冷却プレート404の上に来たときに、ブレード408を下降して、基板を冷却プレート404上に位置させることができる。以下に述べる下降プロセスと同様に、基板を冷却プレートへ下降するのと同時に、真空アパーチャー422を動作して、冷却プレート404の上面に基板を固定させることができる。   [0063] Once the heating portion of the annealing process is complete, the substrate is transferred to the cooling plate 404. This transfer process terminates the vacuum chuck operation and then lifts the blade member 408 upward until the tab member 410 engages and supports the substrate, i.e., the tab 410 lifts the substrate off the heating plate surface. Including. The blade member 408 can then be pivoted from the heating plate 402 to the cooling plate 404. When on the cooling plate 404, the blade 408 can be lowered to position the substrate on the cooling plate 404. Similar to the lowering process described below, the vacuum aperture 422 can be operated to secure the substrate to the upper surface of the cooling plate 404 simultaneously with lowering the substrate to the cooling plate.

[0064]冷却プレートは、一般に、約15℃乃至約40℃の低い温度に維持され、それ故、冷却プレートは、該プレート又はその付近に位置された基板からの熱を受け入れ又は減衰させるように動作する。このプロセスは、アニール温度から、約70℃未満まで、又はより詳細には、約50℃乃至約100℃まで、1分未満で、又はより詳細には、約15秒未満で、冷却するのに使用できる。より詳細には、冷却プレートは、基板を約12秒未満で約50℃乃至70℃に迅速に冷却するように使用できる。基板が希望温度まで冷却されると、ブレード408を使用して、基板を冷却プレート404から上昇させることができる。基板を上昇した状態で、ドア414を開くと共に、外部のロボットブレード412を処理容積部へ入れて、ブレード部材408から基板を取り出すように使用できる。基板を取り出すと、別の基板をアニールチャンバーに位置させて、上述したアニールプロセスを繰り返すことができる。   [0064] The cooling plate is generally maintained at a low temperature of about 15 ° C. to about 40 ° C., so that the cooling plate accepts or attenuates heat from a substrate located at or near the plate. Operate. This process can cool from an annealing temperature to less than about 70 ° C., or more particularly from about 50 ° C. to about 100 ° C. in less than 1 minute, or more specifically in less than about 15 seconds. Can be used. More particularly, the cooling plate can be used to rapidly cool the substrate to about 50 ° C. to 70 ° C. in less than about 12 seconds. Once the substrate has cooled to the desired temperature, the blade 408 can be used to raise the substrate from the cooling plate 404. With the substrate raised, the door 414 can be opened and an external robot blade 412 can be inserted into the processing volume and used to remove the substrate from the blade member 408. Once the substrate is removed, another annealing substrate can be placed in the annealing chamber and the above-described annealing process can be repeated.

[0065]本発明の別の実施形態では、基板温度が徐々にアニール温度に上昇され、又は冷却基板温度に下降されてもよい。より詳細には、ロボットアーム406は、加熱プレート402のすぐ上の位置、即ちプレート402からエアギャップ又はスペースだけ離間された位置まで下降することができる。基板と加熱プレート402との間のエアギャップは、基板の温度上昇を低速化するための熱的緩衝部として機能する。例えば、加熱プレート402を約210℃に加熱し、次いで、基板を加熱プレート402から約1mm乃至約5mm離れたところに位置してもよい。プレート402からの熱は、基板と加熱プレート402との間のエアギャップ又はスペースを横切って基板へゆっくり伝達される(基板が加熱プレート402上に直接位置された場合の熱伝達率に対してゆっくり)。アニール温度への上昇時間は、基板の間隔を調整することで更に調整でき、即ち迅速な上昇時間を希望する場合には、基板を加熱プレートのより近くに位置することができる。同様に、基板を加熱プレートから更に離れて位置し、即ちエアギャップを増加することを利用して、上昇時間を延長してもよい。上昇時間は、例えば、約10秒乃至約45秒でよい。基板の温度がアニール温度まで上昇すると、次いで、基板は、アニールプロセスの残り部分に対して、加熱プレート402上に下降されてもよい。同様に、ロボットを冷却プレートから離間して位置させて、必要に応じて冷却温度を下げることもできる。   [0065] In another embodiment of the invention, the substrate temperature may be gradually raised to the annealing temperature or lowered to the cooling substrate temperature. More specifically, the robot arm 406 can be lowered to a position just above the heating plate 402, ie, a position spaced from the plate 402 by an air gap or space. The air gap between the substrate and the heating plate 402 functions as a thermal buffer for slowing the temperature rise of the substrate. For example, the heating plate 402 may be heated to about 210 ° C. and then the substrate may be located about 1 mm to about 5 mm away from the heating plate 402. Heat from the plate 402 is slowly transferred to the substrate across the air gap or space between the substrate and the heating plate 402 (slow relative to the heat transfer rate when the substrate is located directly on the heating plate 402). ). The rise time to the annealing temperature can be further adjusted by adjusting the substrate spacing, i.e. the substrate can be located closer to the heating plate if a rapid rise time is desired. Similarly, the rise time may be extended by taking the substrate further away from the heating plate, ie increasing the air gap. The rise time may be, for example, about 10 seconds to about 45 seconds. As the substrate temperature rises to the annealing temperature, the substrate may then be lowered onto the heating plate 402 for the remainder of the annealing process. Similarly, the robot can be positioned away from the cooling plate to lower the cooling temperature as needed.

[0066]図8は、本発明の基板スピンすすぎ乾燥セル800を例示する部分斜視図兼断面図である。このスピンすすぎ乾燥セル800(SRD)は、メッキシステムに取り付けることのできるフレーム、例えば、図1に示すメインフレーム113に支持される流体ボウル/本体801を備えている。SRD800は、更に、流体ボウル801の中心に位置された回転可能なハブ802を備えている。このハブ802は、一般的に平らな上面を備え、この上面には、複数の背面流体ディスペンスノズル808が形成されると共に、少なくとも1つのガスディスペンスノズル810も形成される(図5にノズル503としても示されている)。複数の直立した基板支持フィンガー803が、ハブ802の周囲に半径方向に位置されている。本発明のここに示す実施形態では、4つのフィンガー803が示されている(図12を参照)が、本発明は、特定の数のフィンガーに限定されない。これらフィンガー803は、基板804を、SRD800で処理するために、そのベベル縁において回転可能に支持するように構成される。SRD800の上部は、一般的にドーム形状の蓋部材805を備え、これは、ドーム805の下で且つハブ802の上の処理スペースを包囲するように動作する。更に、このドーム部材805は、その中に位置されて処理スペースへ処理ガスをディスペンスするように構成された少なくとも1つのガスノズル807と、フィンガー803に固定された基板804へ処理流体をディスペンスするように構成された流体マニホールド806とを備えている。SRD800の少なくとも一方の側は、SRD800の処理エリアへのアクセスを与えるために選択的に開閉することのできるドア又は開口(図示せず)を備えている。SRD800の下方部分は、深皿の周囲に位置された環状シールド部材812を備えている。このシールド812は、基板支持部材802の下で且つ半径方向外方に位置され、それ故、深皿の周囲へと外方に流体をこぼすように構成される。更に、シールド812は、以下に詳細に述べるように、垂直方向に操作可能に構成される。   [0066] FIG. 8 is a partial perspective view and cross-sectional view illustrating a substrate spin rinse drying cell 800 of the present invention. The spin rinse drying cell 800 (SRD) includes a fluid bowl / body 801 supported on a frame that can be attached to a plating system, such as the main frame 113 shown in FIG. The SRD 800 further includes a rotatable hub 802 positioned at the center of the fluid bowl 801. The hub 802 has a generally flat top surface on which a plurality of backside fluid dispensing nozzles 808 are formed, as well as at least one gas dispensing nozzle 810 (as nozzle 503 in FIG. 5). Is also shown). A plurality of upright substrate support fingers 803 are positioned radially around the hub 802. In the illustrated embodiment of the present invention, four fingers 803 are shown (see FIG. 12), but the present invention is not limited to a specific number of fingers. The fingers 803 are configured to rotatably support the substrate 804 at its bevel edge for processing with the SRD 800. The top of the SRD 800 includes a generally dome-shaped lid member 805 that operates to enclose the processing space below the dome 805 and above the hub 802. Further, the dome member 805 is positioned therein to dispense processing fluid to at least one gas nozzle 807 configured to dispense processing gas into the processing space and a substrate 804 secured to the finger 803. Configured fluid manifold 806. At least one side of the SRD 800 is provided with a door or opening (not shown) that can be selectively opened and closed to provide access to the processing area of the SRD 800. The lower part of the SRD 800 includes an annular shield member 812 positioned around the deep dish. This shield 812 is located under the substrate support member 802 and radially outward and is therefore configured to spill fluid outwardly around the basin. Further, the shield 812 is configured to be operable in the vertical direction, as will be described in detail below.

[0067]本発明の別の実施形態では、処理スペースは、その上部が蓋又は上部部材により限定される。この実施形態では、処理セル800は、下部排出深皿及び直立した側壁を含むが、処理スペースの上部は、一般的に開いている。更に、この実施形態では、流体ディスペンスノズル又はマニホールドが、一般に、セルの直立した側壁部分に位置され又はマウントされる。例えば、流体ディスペンスアームを側壁に枢着し、流体ディスペンスノズルが配置されたそのアームの遠方端を、セル内で処理されている基板上の位置へ枢着回転できるようにしてもよい。アームの枢着移動は、一般に、処理されている基板上で且つそれと平行な平面内で行われ、それ故、アームの枢着移動は、アームの端に位置されたノズルを、基板の特定の半径方向位置の上、即ち、例えば、基板の中心上、又は基板の中心から特定の半径にあるポイント上に位置させるのを許容する。流体ディスペンスノズルのこの再位置付けはさておき、本発明のこの実施形態は、上述の実施形態と構造上同様であり、且つ同様に機能する。例えば、図9は、本発明の別の基板スピンすすぎ乾燥セルを例示する部分斜視図兼断面図である。本発明の実施形態では、SRDセルは、図8に示すセルと実質的に同様であるが、図9に示すSRDセルは、蓋805を備えていない。従って、図9に示すSRDセルは、すすぎプロセス中に包囲されない。図8に示すSRDセルと、図9に示す実施形態との間の別の相違は、図9に示すSRDが、蓋805に形成された流体ディスペンスマニホールド806に置き換わるように働く枢着型流体ディスペンスノズル850を備えていることである。このノズル850は、基板面にわたり外方に枢着移動して、処理流体、一般に脱イオン水を、基板の中心付近で基板面にディスペンスするように構成される。更に、セル壁809を、取り付けられたシールド814及びカーブした面816と共に上下させて、基板のロード及びアンロード操作を容易に行うことができる。例えば、基板をロードするときには、壁809を下げて、基板支持フィンガー803へのアクセスを許容することができる。処理が始まると、壁809を上げて、キャッチカップ814及びカーブした壁816を基板の隣に位置させ、以下に詳細に述べるように、基板からスピン除去される流体を捕獲すると共に、基板の周囲の空気流を制御することができる。   [0067] In another embodiment of the present invention, the processing space is limited at the top by a lid or top member. In this embodiment, the processing cell 800 includes a lower discharge pan and upstanding sidewalls, but the upper portion of the processing space is generally open. Further, in this embodiment, fluid dispensing nozzles or manifolds are generally located or mounted on the upstanding side wall portion of the cell. For example, a fluid dispensing arm may be pivotally attached to the side wall so that the distal end of the arm where the fluid dispensing nozzle is located can be pivotally rotated to a location on the substrate being processed in the cell. The pivoting movement of the arm is generally performed on the substrate being processed and in a plane parallel to it, so that the pivoting movement of the arm causes the nozzle located at the end of the arm to It is allowed to be located on a radial position, i.e. on the center of the substrate or on a point at a certain radius from the center of the substrate. Apart from this repositioning of the fluid dispensing nozzle, this embodiment of the present invention is structurally similar to and functionally similar to the previous embodiment. For example, FIG. 9 is a partial perspective view and cross-sectional view illustrating another substrate spin rinse drying cell of the present invention. In the embodiment of the present invention, the SRD cell is substantially similar to the cell shown in FIG. 8, but the SRD cell shown in FIG. 9 does not include the lid 805. Thus, the SRD cell shown in FIG. 9 is not surrounded during the rinse process. Another difference between the SRD cell shown in FIG. 8 and the embodiment shown in FIG. 9 is that the SRD shown in FIG. 9 acts to replace the fluid dispense manifold 806 formed in the lid 805. The nozzle 850 is provided. The nozzle 850 is configured to pivot outwardly over the substrate surface to dispense a processing fluid, generally deionized water, onto the substrate surface near the center of the substrate. Furthermore, the cell wall 809 can be moved up and down with the attached shield 814 and the curved surface 816 to facilitate substrate loading and unloading operations. For example, when loading a substrate, the wall 809 can be lowered to allow access to the substrate support fingers 803. When processing begins, the wall 809 is raised to position the catch cup 814 and the curved wall 816 next to the substrate to capture the fluid that is spun off from the substrate and to surround the substrate, as described in detail below. The air flow can be controlled.

[0068]図10A−図10Dは、例示的SRD800のフィンガー部材803を詳細に示す図である。より詳細には、図10Aは、基板係合フィンガー803を閉位置で例示する上方斜視図である。基板係合フィンガーアッセンブリは、一般に、ベース1007を備え、ここから、直立した枢着型エアホイル/クランプ部材1000が延びている。フィンガーアッセンブリは、更に、下方アクチュエータ部分1008(図10Cに示す)を備え、これは、直立したエアホイル部分1000の内方に位置され、且つピボット点1002に枢着される。エアホイル1000は、一般に、上から見ると、翼の形状をした部材で、空気流の乱れ量を最小にしながら処理空間内を回転するように構成される。エアホイル1000の先縁、即ちフィンガー803が回転されるときに空気が最初に接触するエアホイルの側は、フィンガー803に接触する空気が、処理スペース内に擾乱又は望ましからぬ空気流を発生することなく、フィンガー803を通過するための最小抗力及び擾乱の流路を与えるように丸み付けされる。エアホイル1000の後縁、即ち丸み付けされた先縁とは反対のエアホイルの縁は、図10Aに示すように、丸み付けされた縁より断面が一般的に小さい。先縁及び後縁は、一般的に滑らかで且つ時には弧状の即ちカーブした面1005により接続される。従って、エアホイル800が回転されるときにその上の空気流は、滑らかであり、処理スペースに最小擾乱空気作用を生じさせる。滑らかな面1005は、水平に向けられたノッチ即ちチャンネル1006を備え、このノッチは、処理中に基板804のベベル縁を受け入れてそれに係合するサイズ及び構成とされる。このチャンネル1006は、一般に、表面1005を横切って水平に延び、即ちエアホイル1000の垂直軸に一般に直交する方向に延びる。   [0068] FIGS. 10A-10D show details of finger member 803 of exemplary SRD 800. FIG. More specifically, FIG. 10A is a top perspective view illustrating the substrate engagement fingers 803 in the closed position. The substrate engaging finger assembly generally includes a base 1007 from which an upstanding pivotable airfoil / clamp member 1000 extends. The finger assembly further includes a lower actuator portion 1008 (shown in FIG. 10C), which is located inward of the upstanding airfoil portion 1000 and is pivotally attached to a pivot point 1002. The air foil 1000 is generally a blade-shaped member as viewed from above, and is configured to rotate in the processing space while minimizing the amount of air flow turbulence. The leading edge of the airfoil 1000, that is, the side of the airfoil that first comes into contact with the air when the finger 803 is rotated, causes the air that contacts the finger 803 to generate turbulence or unwanted air flow in the processing space. Rather, it is rounded to provide a minimum drag and turbulent flow path for passing through the finger 803. The trailing edge of the airfoil 1000, ie the edge of the airfoil opposite the rounded leading edge, is generally smaller in cross section than the rounded edge, as shown in FIG. 10A. The leading and trailing edges are connected by a generally smooth and sometimes arcuate or curved surface 1005. Thus, when the airfoil 800 is rotated, the airflow thereon is smooth and causes minimal turbulent air action in the processing space. The smooth surface 1005 includes a horizontally oriented notch or channel 1006 that is sized and configured to receive and engage the bevel edge of the substrate 804 during processing. The channel 1006 generally extends horizontally across the surface 1005, ie, extends in a direction generally perpendicular to the vertical axis of the airfoil 1000.

[0069]フィンガー803は、更に、ベース部材1007にしっかり取り付けられる内部固定ポスト1001を備えている。このポスト1001は、枢着型エアホイル部材1000の内面1005に形成された露出したチャンネルを経て上方に延びる。従って、図10Cに示すように、エアホイル1000がピボット部材1002を経て枢着回転される間に、ポスト1001は固定のままである。更に、ポスト100の上部終端には、基板支持面1004が形成されている。この支持面1004は、基板を支持するように構成された一般的に水平の部分と、この水平部分の半径方向外方に位置された垂直又はアングル部分であって、ポスト1001の半径方向内方の位置に基板を維持すると共に、支持面1004へ基板をガイドするための部分と、ポスト1001及びエアホイル1000により支持された基板804のベベルに係合する水平ノッチ又はスロット1006とを備えている。   [0069] The finger 803 further includes an internal fixation post 1001 that is securely attached to the base member 1007. The post 1001 extends upward through an exposed channel formed on the inner surface 1005 of the pivotable airfoil member 1000. Accordingly, as shown in FIG. 10C, the post 1001 remains fixed while the airfoil 1000 is pivotally rotated via the pivot member 1002. Further, a substrate support surface 1004 is formed at the upper end of the post 100. The support surface 1004 is a generally horizontal portion configured to support the substrate, and a vertical or angled portion located radially outward of the horizontal portion, which is radially inward of the post 1001. A portion for guiding the substrate to the support surface 1004 and a horizontal notch or slot 1006 that engages the bevel of the substrate 804 supported by the post 1001 and the airfoil 1000.

[0070]図10Bは、フィンガー803を開位置即ちロード位置で示す上方斜視図である。より詳細には、フィンガー部材が開位置にあるときに、エアホイルを外方に枢着回転し、固定ポスト1001の上面を露出させる。エアホイル1000は、アクチュエータ部分1008の上方移動によりこの位置へ枢着回転されてもよい。この移動は、ピボット点1002の配置の結果として、エアホイル1000の上部終端を外方に枢着回転させる。エアホイル1000の枢着移動の結果、ポスト部材1001の上部基板支持面は、これに基板を位置できるように位置される。   [0070] FIG. 10B is a top perspective view showing the fingers 803 in an open or load position. More specifically, when the finger member is in the open position, the airfoil is pivoted outward to expose the upper surface of the fixed post 1001. The airfoil 1000 may be pivoted to this position by the upward movement of the actuator portion 1008. This movement causes the upper end of the airfoil 1000 to pivot outward as a result of the placement of the pivot point 1002. As a result of the pivoting movement of the air foil 1000, the upper substrate support surface of the post member 1001 is positioned so that the substrate can be positioned thereon.

[0071]図10Dは、フィンガーアッセンブリを開位置において側部から見た図で、ポスト1001の上面1004がエアホイル1000からどのように延びていて、この基板支持面1004が基板の縁を支持すべく位置されるかを示している。図10Dは、側部斜視図であり、また、図10Aは、フィンガーアッセンブリを閉位置即ち処理位置で示す平面図である。閉位置は、一般に、基板804が処理のためにハブ802に固定される(フィンガー803を経て)ところのエアホイル1000に対するポスト1001の位置に対応する。同様に、開位置は、一般に、ポスト1001の基板支持上部1004が基板を受け入れるように位置されるところのエアホイル1000に対するポスト1001の位置に対応する。従って、開位置は、本質的に、基板ロード位置であり、閉位置は、本質的に、基板処理位置である。閉位置(図10A及び10C)において、基板は、処理のために基板に係合するようにピボット点1002の周りを内方に枢着回転されたエアホイル1000の水平スロット1006により、そのベベル縁が支持される。   [0071] FIG. 10D is a side view of the finger assembly in the open position, showing how the upper surface 1004 of the post 1001 extends from the airfoil 1000 so that the substrate support surface 1004 supports the edge of the substrate. Indicates where it is located. FIG. 10D is a side perspective view and FIG. 10A is a plan view showing the finger assembly in a closed or processing position. The closed position generally corresponds to the position of post 1001 relative to airfoil 1000 where substrate 804 is secured to hub 802 for processing (via finger 803). Similarly, the open position generally corresponds to the position of the post 1001 relative to the airfoil 1000 where the substrate support top 1004 of the post 1001 is positioned to receive the substrate. Thus, the open position is essentially the substrate loading position and the closed position is essentially the substrate processing position. In the closed position (FIGS. 10A and 10C), the substrate is beveled by a horizontal slot 1006 in the airfoil 1000 pivoted inwardly about a pivot point 1002 to engage the substrate for processing. Supported.

[0072]フィンガー部材を操作するプロセスは、一般に、下方アクチュエータ部分1008に機械的に係合して垂直方向に移動させることを含む。例えば、下方アクチュエータ部分1008を垂直又は上方に移動すると、エアホイル部材803が外方に枢着回転されて、基板支持ポスト1001を露出させる。下方アクチュエータ部分は、この下方アクチュエータ部分に機械的に係合するよう位置されたシールド部材812の垂直操作を経て垂直に操作される。従って、基板がフィンガーにロードされるときには、シールド812が上昇されて、基板受け入れ/ロード位置へとフィンガーを開く。基板がロードされると、シールド812を下げて、すすぎプロセスのために基板をスロット1006に係合させることができる。アンロードプロセスも、実質的に同様に行うことができる。   [0072] The process of manipulating the finger members generally includes mechanically engaging and moving the lower actuator portion 1008 in the vertical direction. For example, when the lower actuator portion 1008 is moved vertically or upward, the airfoil member 803 is pivotally rotated outward to expose the substrate support post 1001. The lower actuator portion is operated vertically through a vertical operation of a shield member 812 positioned to mechanically engage the lower actuator portion. Thus, when the substrate is loaded onto the finger, the shield 812 is raised to open the finger to the substrate receiving / loading position. Once the substrate is loaded, the shield 812 can be lowered to engage the substrate in the slot 1006 for the rinse process. The unload process can be performed in a substantially similar manner.

[0073]図11は、図2に示すハブ802の部分断面図である。このハブ802は、一般に、その下面に位置された中央支持部材を経て回転可能にマウントされる。この中央支持部材の内部は、流体ディスペンスマニホールド1102を経て、ハブ802の上面1104に形成された複数の流体ディスペンスアパーチャー1103へすすぎ流体を連通するように構成されたコンジット1101を備えている。更に、中央支持部材には、一般に、複数のガスディスペンスパージポート1104へ乾燥ガスを連通するように構成された第2のコンジット(図示せず)も形成される。更に、本発明の実施形態は、流体及びガスコンジットを単一のコンジットへと結合して、バルブアッセンブリを使用して、その単一コンジットへ供給される流体とガスの間を切り換えてもよい。   [0073] FIG. 11 is a partial cross-sectional view of the hub 802 shown in FIG. The hub 802 is generally mounted rotatably via a central support member located on the lower surface thereof. The interior of the central support member includes a conduit 1101 configured to communicate the rinsing fluid through a fluid dispense manifold 1102 to a plurality of fluid dispense apertures 1103 formed on the top surface 1104 of the hub 802. Further, the central support member is also generally formed with a second conduit (not shown) configured to communicate dry gas to a plurality of gas dispense purge ports 1104. Furthermore, embodiments of the present invention may combine fluid and gas conduits into a single conduit and use a valve assembly to switch between fluid and gas supplied to that single conduit.

[0074]図12は、ハブアッセンブリ802の下部を示す上方斜視図である。より詳細には、ハブアッセンブリ802は、一体素子でよいが、本発明の実施形態は、ハブアッセンブリ802が、独立して回転する個別素子を含んでもよいことも意図する。例えば、図12は、ハブアッセンブリ802の下部を例示している。この例示された下部は、一般に、中央アパーチャー1200が形成された円板状部材である。この下部円板状部材の外側部分は、平らな上面1201と、その周囲に半径方向に位置された複数の基板係合フィンガー803とを備えている。この構成では、図11に示すように表面1104へと形成されたガス及び流体配送アパーチャーが、図12に示す円板状部材とは個別の素子に形成された中央アパーチャー1200に位置されてもよい。この構成では、ハブ802の中央部分(アパーチャー1200に位置されてもよい表面1104で表わされた部分)を固定する一方、ハブ802の外側部分(図12に示す円板状部材で表わされた部分)が、その固定の内側部分に対して回転してもよい。これは、各部材が互いに相対的に回転するときに、流体及びガスディスペンスノズルがそれらの各流体を基板の全エリアにディスペンスするのを許容する。   [0074] FIG. 12 is a top perspective view showing the lower portion of the hub assembly 802. FIG. More particularly, although hub assembly 802 may be a unitary element, embodiments of the present invention also contemplate that hub assembly 802 may include individual elements that rotate independently. For example, FIG. 12 illustrates the lower portion of the hub assembly 802. The illustrated lower portion is generally a disc-like member having a central aperture 1200 formed thereon. The outer portion of the lower disk-shaped member includes a flat upper surface 1201 and a plurality of substrate engaging fingers 803 positioned radially around the upper surface 1201. In this configuration, the gas and fluid delivery aperture formed on the surface 1104 as shown in FIG. 11 may be located in the central aperture 1200 formed in a separate element from the disc-like member shown in FIG. . In this configuration, the central portion of hub 802 (the portion represented by surface 1104 that may be located on aperture 1200) is fixed while the outer portion of hub 802 (represented by the disk-like member shown in FIG. 12). May rotate relative to its fixed inner part. This allows fluid and gas dispensing nozzles to dispense each of those fluids over the entire area of the substrate as the members rotate relative to each other.

[0075]動作中に、スピンすすぎ乾燥セル800は、一般に、基板を受け取り、基板をすすぎ流体ですすぎ、次いで、基板をスピンさせて遠心力で基板面から流体を追放することで基板を乾燥しつつ、乾燥プロセスを更に促進するために基板収容セルに乾燥ガスをディスペンスするように動作する。基板は、セル800の片側に位置できるドアを経てセル800に位置されてもよいし、或いはセル800が、例えば、セルの両側に位置された2つ以上のドアを備えていて、基板を片側でセル800へ運び込むと共に、他側でセル800から取り出すようにしてもよい。基板は、基板を下側から一般に支持する基板移送ロボットによりセル800に一般的に位置され、それ故、基板がセル800に移送されるときには、それが、一般に、表面を上に向けた構成でフィンガー803の上に位置される。フィンガー803は、開位置、即ち固定ポスト1001の上面1004が露出される位置へと作動される。上面1004が露出されると、ロボットは、基板を複数のフィンガー803へと下げ、各フィンガー803の上面1004で基板を支持することができる。固定ポストの上部は、内方に傾斜した面1010を含んでもよく、これは、基板を内方にガイドするか又は基板を各ポスト1001に対してセンタリングするように構成される。基板が水平面1004に位置されると、ロボットブレードをセル800から引っ込めると共に、ドアを閉じて、セル800の内部処理容積部を周囲の大気から分離することができる。   [0075] During operation, the spin rinse drying cell 800 generally receives a substrate, rinses the substrate with a rinsing fluid, and then spins the substrate to dry the substrate by expelling fluid from the substrate surface with centrifugal force. However, it operates to dispense dry gas into the substrate receiving cell to further accelerate the drying process. The substrate may be located in the cell 800 via a door that can be located on one side of the cell 800, or the cell 800 may comprise, for example, two or more doors located on either side of the cell, with the substrate on one side. Then, it may be carried into the cell 800 and taken out from the cell 800 on the other side. The substrate is typically positioned in the cell 800 by a substrate transfer robot that generally supports the substrate from below, so that when the substrate is transferred to the cell 800, it is generally configured with the surface facing up. Located on the finger 803. The finger 803 is actuated to an open position, that is, a position where the upper surface 1004 of the fixed post 1001 is exposed. When the upper surface 1004 is exposed, the robot can lower the substrate into a plurality of fingers 803 and support the substrate with the upper surface 1004 of each finger 803. The top of the fixed post may include an inwardly inclined surface 1010 that is configured to guide the substrate inward or to center the substrate relative to each post 1001. When the substrate is positioned in the horizontal plane 1004, the robot blade can be retracted from the cell 800 and the door can be closed to isolate the internal processing volume of the cell 800 from the surrounding atmosphere.

[0076]基板が基板支持フィンガー803の上面1004に位置されると、基板支持フィンガー803を操作して、基板のベベル縁に係合することができる。より詳細には、フィンガー803の下部1008を下方に操作して、上部終端を、面1004に支持された基板に向けて内方に枢着回転させることができる。エアホイル1000の上部終端が内方に枢着回転すると、水平ノッチ即ちグルーブ1006(図10C及び10Dに示す)が基板のベベル縁に係合して、基板を各フィンガー803間に固定する。基板のベベル縁がエアホイルのグルーブ1006に係合すると、固定ポスト部材1001の上面1004による支持から基板を除去すると共に、基板面に最小限接触するだけであるよう構成された水平ノッチ即ちスロット1006によるベベル縁の係合を経て基板を排他的に処理するように支持する。   [0076] Once the substrate is positioned on the upper surface 1004 of the substrate support finger 803, the substrate support finger 803 can be manipulated to engage the bevel edge of the substrate. More specifically, the lower end 1008 of the finger 803 can be manipulated downward to pivot the upper end inward toward the substrate supported by the surface 1004. As the upper end of airfoil 1000 pivots inwardly, a horizontal notch or groove 1006 (shown in FIGS. 10C and 10D) engages the bevel edge of the substrate to secure the substrate between each finger 803. When the bevel edge of the substrate engages the airfoil groove 1006, it removes the substrate from support by the upper surface 1004 of the fixed post member 1001, and by a horizontal notch or slot 1006 configured to provide minimal contact with the substrate surface. The substrate is supported for exclusive processing via bevel edge engagement.

[0077]基板が基板支持フィンガー803に固定されると、処理を開始することができる。一般に、セル800における処理は、そこに位置された基板をすすいで、乾燥することを含む。このすすぎ及び乾燥プロセスは、一般に、基板を回転することを含み、それ故、フィンガー803は、一般に、図8に示すように、回転可能な形式のハブ802に固定される。基板が回転すると、流体ディスペンスノズルが、その回転する基板の前面、背面又はその両面にすすぎ流体をディスペンすることができる。基板の前面にディスペンスされる流体は、蓋部材805に位置されたマニホールド806によりディスペンスすることができ、一方、基板の背面にディスペンスされる流体は、ハブ802に形成された流体アパーチャー1103によりディスペンスすることができる。半導体処理に適した種々のすすぎ溶液が本発明の範囲内で意図されるが、基板にディスペンスしてその表面をすすぐことのできるすすぎ溶液の一例は、DIである。更に、基板は、そこにすすぎ流体をディスペンスするプロセス中回転しているので、流体は、一般に、基板の周囲に向かって半径方向外方に押しやられる。このようにして、流体は、基板のベベル縁から離れるように流れ、セル800の底部に収集される。ハブ802の回転速度が高いと、流体が外方に流れてほぼ水平に基板面から離れるようにされ、一方、低い回転速度を使用すると、すすぎ流体が基板面を横切って外方に移動して基板のベベルの周りを若干包んだ後に遠心力によりスピン除去されるのを許容する。   [0077] Once the substrate is secured to the substrate support fingers 803, processing can begin. In general, the processing in cell 800 includes rinsing and drying the substrate located there. This rinsing and drying process generally involves rotating the substrate, and thus the fingers 803 are generally secured to a rotatable type hub 802, as shown in FIG. As the substrate rotates, a fluid dispensing nozzle can dispense rinsing fluid on the front, back or both sides of the rotating substrate. Fluid dispensed on the front side of the substrate can be dispensed by a manifold 806 located on the lid member 805, while fluid dispensed on the back side of the substrate is dispensed by a fluid aperture 1103 formed in the hub 802. be able to. While various rinsing solutions suitable for semiconductor processing are contemplated within the scope of the present invention, one example of a rinsing solution that can be dispensed onto a substrate to rinse its surface is DI. Furthermore, since the substrate is rotating during the process of dispensing rinsing fluid there, the fluid is generally forced radially outward toward the periphery of the substrate. In this way, fluid flows away from the bevel edge of the substrate and is collected at the bottom of the cell 800. When the rotational speed of the hub 802 is high, the fluid flows outward and leaves the substrate surface almost horizontally, while using a low rotational speed causes the rinsing fluid to move outward across the substrate surface. Allow to be spun off by centrifugal force after wrapping around the substrate bevel slightly.

[0078]基板が所定の時間中すすぎされると、すすぎプロセスを中断してもよい。これは、一般に、基板へのすすぎ流体の流れを中断することに対応するが、一般に、基板の回転は、すすぎ流体ディスペンスプロセスが終了した後も維持される。この連続的な回転は、基板面に付着又はくっつくことのあるすすぎ流体の残留小滴を半径方向外方に押しやって基板面から離れさせるように働く。更に、乾燥ガスを処理エリアへディスペンスして基板面に向け、基板面からの残留流体の除去を更に促進することができる。例えば、基板がスピン乾燥されている間に、上部パージノズル807及び下部パージアパーチャー1104を経て処理容積部へ窒素をディスペンスすることができる。   [0078] Once the substrate has been rinsed for a predetermined time, the rinsing process may be interrupted. This generally corresponds to interrupting the flow of rinse fluid to the substrate, but generally the rotation of the substrate is maintained after the rinse fluid dispensing process is complete. This continuous rotation serves to push away residual droplets of rinse fluid that may adhere to or stick to the substrate surface, radially away from the substrate surface. Further, the drying gas can be dispensed into the processing area and directed to the substrate surface to further facilitate removal of residual fluid from the substrate surface. For example, nitrogen can be dispensed into the process volume via the upper purge nozzle 807 and the lower purge aperture 1104 while the substrate is being spin dried.

[0079]乾燥プロセスが完了すると、基板をセル800から取り出すことができる。このプロセスは、一般に、基板導入プロセスを逆に行うことを含み、より詳細には、一般に、ドアの1つを開いて、ロボットが基板にアクセスするのを許容することを含む。ドアが開くと、ロボットブレードを基板の下の処理容積部に入れて、基板に近い位置へもっていくことができる。次いで、基板支持フィンガー803を開位置へ操作し、即ちアクチュエータ1008を上方に押しやり、エアホイル1000の上部終端を外方に枢着回転して、基板を水平グルーブ即ちスロット1006から解離することができる。次いで、基板を内部固定ポスト1001の上面1004に位置することができる。次いで、ロボットブレードを上方に操作して、基板を表面1004から離すように持ち上げ、処理容積部からドアを経て基板を取り出すことができる。   [0079] Once the drying process is complete, the substrate can be removed from the cell 800. This process generally involves reversing the substrate introduction process, and more particularly generally involves opening one of the doors to allow the robot to access the substrate. When the door is opened, the robot blade can be placed in the processing volume below the substrate and brought closer to the substrate. The substrate support finger 803 can then be manipulated to the open position, ie, pushing the actuator 1008 upward and pivoting the top end of the airfoil 1000 outward to disengage the substrate from the horizontal groove or slot 1006. . The substrate can then be positioned on the top surface 1004 of the internal fixation post 1001. The robot blade can then be manipulated upward to lift the substrate away from the surface 1004 and the substrate can be removed from the processing volume via the door.

[0080]ここに例示するスピンすすぎ乾燥プロセスは、一般に、多ステッププロセスを含むことができる。このプロセスの第1ステップ(前すすぎ頂面)は、基板を約900rpm乃至約1700rpm、一般的に、約1300rpmで、約2秒乃至約6秒間、回転しながら、約1000ml乃至約1500mlのすすぎ溶液を基板の製造面即ち頂面にディスペンスすることを含む。第2ステップ(前すすぎ頂面及び背面)は、基板を約100rpm乃至約140rpmで回転しながら、約1000ml乃至約1500mlのすすぎ溶液を基板の製造面に、且つ約600ml乃至約1000mlのすすぎ溶液を基板の背面に、約6秒でディスペンスすることを含む。第3ステップ(背面洗浄)は、約40rpm乃至約90rpmで回転すると共に、約200ml乃至約500mlの化学物質、一般に、H及びHSOを基板の背面にディスペンスしながら、基板の背面を洗浄するように一般的に働く約1000ml乃至約1500mlのすすぎ溶液を製造面に約10秒間ディスペンスすることを含む。第4ステップ(後すすぎ)は、約40rpm乃至約90rpmで約10秒乃至約16秒間回転しながら、約1000ml乃至約1500mlのすすぎ溶液を基板の製造面にディスペンスする一方、約600ml乃至約1000mlのすすぎ溶液を基板の背面にディスペンスすることを含む。第5ステップ(体積流体スピン除去)は、両面への流体の流れを終了させ、次いで、背面パージガス(窒素)が約2乃至約4cfmの流量で流れるようにして基板を約400rpm乃至約600rpmで約3秒乃至約6秒間回転することを含む。第6ステップ(体積流量スピン除去)は、基板を約600rpm乃至約900rpmで回転しながら、基板の背面を約2乃至約4cfmの流量で約4秒間ガス(窒素)パージすることを含む。第7ステップ(乾燥)は、ガスの流れも流体の流れもない状態で、基板を約2000rpm乃至約3000rpmで約10秒乃至約20秒間回転することを含む。 [0080] The spin rinse drying process illustrated herein can generally include a multi-step process. The first step of this process (the pre-rinse top surface) consists of about 1000 ml to about 1500 ml of rinsing solution rotating the substrate at about 900 rpm to about 1700 rpm, typically about 1300 rpm, for about 2 seconds to about 6 seconds. Dispensing on the manufacturing or top surface of the substrate. The second step (front rinse top and back) is to rotate the substrate at about 100 rpm to about 140 rpm while applying about 1000 ml to about 1500 ml of rinse solution to the substrate production surface and about 600 ml to about 1000 ml of rinse solution. Dispensing on the back of the substrate in about 6 seconds. The third step (backside cleaning) rotates at about 40 rpm to about 90 rpm and dispenses about 200 ml to about 500 ml of chemicals, typically H 2 O 2 and H 2 SO 4 , onto the back of the substrate while Dispensing about 1000 ml to about 1500 ml of rinsing solution, which typically serves to clean the back surface, on the production surface for about 10 seconds. The fourth step (post-rinse) dispenses about 1000 ml to about 1500 ml of rinsing solution onto the substrate surface while rotating at about 40 rpm to about 90 rpm for about 10 seconds to about 16 seconds, while about 600 ml to about 1000 ml. Dispensing a rinsing solution on the backside of the substrate. The fifth step (volumetric fluid spin removal) terminates the flow of fluid to both sides and then allows the substrate to flow at about 400 rpm to about 600 rpm at about 400 rpm to about 600 rpm so that back purge gas (nitrogen) flows at a flow rate of about 2 to about 4 cfm. Including spinning for 3 seconds to about 6 seconds. The sixth step (volumetric flow spin removal) involves purging the backside of the substrate with a flow rate of about 2 to about 4 cfm for about 4 seconds while rotating the substrate at about 600 rpm to about 900 rpm. The seventh step (drying) includes rotating the substrate at about 2000 rpm to about 3000 rpm for about 10 seconds to about 20 seconds with no gas flow or fluid flow.

[0081]更に、本発明のSRDセルは、基板へのすすぎ流体の逆流又は後方跳ね返しは基板の効率的な乾燥を妨げることが知られているので、これを防止する空気流パターンを発生するように構成される。SRDセルは、空気の逆流、即ち基板の中心に向かう空気の流れを、図8に示すように、セルのキャッチカップシールド814及び輪郭付けされた外面816により最小にするように構成される。特に、キャッチカップシールドは、セル壁809から半径方向内方に延び、且つこのシールド814の遠方終端環状部が、基板の半径方向外方のポイントにおいて基板の下面のすぐ下で終わるように位置される。壁816の輪郭付けされた部分は、その輪郭の上部が基板より上で終わり、且つその輪郭の下方終端部が、基板の下面より下で、一般に、キャッチカップ814の環状端に対向する逆側即ち端に向かって終わるような形状にされる。この構成は、基板からスピン除去された流体がキャッチカップ814により受け取られ、キャッチアップ814に形成された穴によりこのキャッチアップ814を通して下方に流れるのを許容する。更に、基板の回転により発生される半径方向外方に飛び出す(螺旋状)空気流も、キャッチアップの上をチャンネル搬送され、輪郭付けされた面816により下方に向けられる。この空気流は、穴を経て進み、減圧領域818を経てチャンバーの下から排気することができる。それ故、本発明のSRDセルの構成は、基板の中心に向かって方向を逆転しない半径方向外方の空気流を発生し、これは、流体の霧が基板面に戻って乾燥プロセスを長引かせるのを防止する。   [0081] Further, the SRD cell of the present invention is known to generate an air flow pattern that prevents backflow or back splash of the rinse fluid onto the substrate from preventing efficient drying of the substrate. Configured. The SRD cell is configured to minimize the backflow of air, ie, the flow of air toward the center of the substrate, with the cell catch cup shield 814 and the contoured outer surface 816, as shown in FIG. In particular, the catch cup shield extends radially inward from the cell wall 809 and is positioned so that the distal termination annulus of the shield 814 ends just below the bottom surface of the substrate at a point radially outward of the substrate. The The contoured portion of wall 816 is the opposite side of the contour that ends above the substrate and the lower end of the contour is below the bottom surface of the substrate, generally opposite the annular end of catch cup 814. That is, it is shaped so as to end toward the end. This configuration allows fluid that has been spun off from the substrate to be received by the catch cup 814 and to flow down through the catch-up 814 through holes formed in the catch-up 814. Further, the radially outward (spiral) airflow generated by the rotation of the substrate is channeled over the catchup and directed downwards by the contoured surface 816. This air stream can travel through the hole and exhaust from below the chamber through the reduced pressure region 818. Therefore, the SRD cell configuration of the present invention generates a radially outward air flow that does not reverse direction toward the center of the substrate, which causes the fluid mist to return to the substrate surface and prolong the drying process. To prevent.

[0082]図13は、本発明のベベル洗浄セル即ちチャンバー1300を例示する上方斜視図である。上述したように、ベベル洗浄セル1300は、システム100に示された処理場所102、104、106、108、110、112、114、及び116のいずれに位置されてもよい。しかしながら、本発明のここに示す実施形態では、ベベル洗浄セル1300は、一般に、処理場所106及び108に位置される。図13は、ベベル洗浄セル1300を例示する上方斜視図であり、また、図13は、一般に、このベベル洗浄セル1300の上部コンポーネントを示している。これらのコンポーネントは、一般に、直立した壁部分1301と、この壁1301の下部に連通する排出深皿1302とを有する中央ボウル即ちチャンバーを備えている。この中央ボウルは、一般に、プラスチック材料、ナイロン系材料、又は金属材料に非金属をコーティングしたもので製造される。この材料は、一般に、半導体処理のエッチング剤溶液と反応しないように選択される。排出深皿1302は、一般に、処理流体を受け取り、その処理流体を流体排出部(図示せず)へチャンネル搬送するように構成される。深皿1302の中央部分は、基板チャック1303を備えている。この基板チャック1303は、一般に、半導体処理に使用されるいかなる形式の基板チャックでもよいが、回転可能であり及び/又は垂直に操作可能であるように構成される。より詳細には、基板チャック1303は、少なくとも1つの真空アパーチャーがその上面へと形成された真空チャックでよく、この場合、真空アパーチャーは、真空源と選択的に流体連通されて、真空源及び真空アパーチャーが協働して、基板チャック1303との間の容積部に負の圧力を印加することで基板を基板チャック1303に固定する。基板チャック1303は、一般に、排出深皿1302の下に位置された機械的メカニズムにより支持され、この機械的メカニズムは、チャック1303に回転移動を与えると共に、チャック1303に任意の垂直移動も与えるように構成され、即ちこの機械的メカニズムは、以下で詳細に述べるように、基板センタリングピン1304に位置された基板に係合したり解離したりするようにチャック1303を任意に上昇及び下降するよう構成される。更に、排出深皿は、この深皿の表面上に位置されたシールド又はカバーを含んでもよく、このシールド又はカバーには、これを貫通して上方に延びるコンポーネントのためのアパーチャーが形成されている。   [0082] FIG. 13 is a top perspective view illustrating a bevel cleaning cell or chamber 1300 of the present invention. As described above, the bevel cleaning cell 1300 may be located at any of the processing locations 102, 104, 106, 108, 110, 112, 114, and 116 shown in the system 100. However, in the illustrated embodiment of the present invention, the bevel cleaning cell 1300 is generally located at the processing locations 106 and 108. FIG. 13 is a top perspective view illustrating a bevel cleaning cell 1300, and FIG. 13 generally shows the upper components of the bevel cleaning cell 1300. These components generally comprise a central bowl or chamber having an upstanding wall portion 1301 and a discharge basin 1302 communicating with the lower portion of the wall 1301. This central bowl is generally made of a plastic material, a nylon-based material, or a metal material coated with a non-metal. This material is generally selected such that it does not react with the semiconductor processing etchant solution. The discharge basin 1302 is generally configured to receive a processing fluid and channel the processing fluid to a fluid discharge section (not shown). A central portion of the deep plate 1302 includes a substrate chuck 1303. The substrate chuck 1303 may generally be any type of substrate chuck used in semiconductor processing, but is configured to be rotatable and / or vertically operable. More specifically, the substrate chuck 1303 may be a vacuum chuck having at least one vacuum aperture formed on its upper surface, where the vacuum aperture is selectively in fluid communication with the vacuum source to provide a vacuum source and a vacuum. The aperture cooperates to fix the substrate to the substrate chuck 1303 by applying a negative pressure to the volume between the substrate chuck 1303 and the substrate. The substrate chuck 1303 is generally supported by a mechanical mechanism located under the discharge basin 1302, which provides rotational movement to the chuck 1303 and any vertical movement to the chuck 1303. Configured, i.e., this mechanical mechanism is configured to arbitrarily raise and lower the chuck 1303 to engage and disengage from the substrate located at the substrate centering pin 1304, as described in detail below. The Further, the discharge basin may include a shield or cover positioned on the surface of the basin, the shield or cover being formed with an aperture for a component extending therethrough upwardly. .

[0083]壁1301の上部は、一般に、カーブした部材(図8に例示するSRDに示されたカーブした部分809と同様)を備えている。このカーブした部材は、基板が回転されるときに基板の周囲に外方及び下方の空気流を発生するように働き、これは、一般的に酸性でメッキ層に欠陥を生じると分かっている処理流体が基板面に後方跳ね返り又は霧を生じるのを防止するように働く。より詳細には、回転時に、基板は、本質的に、壁の方向に基板面を横切って外方に空気を押し出すポンプのように働く。従来のセルでは、高圧力の領域がセルの周囲付近に発生し、これは、空気流を逆転させて、基板面にわたり上方及び後方に流れるようにさせる。カーブした壁は、空気流を、例えば、真空ポンプで発生された低圧力の領域へチャンネル搬送し、従って、高圧力領域及びそれに関連した後方跳ね返しを排除する。この壁の下方にカーブした面がないと、外方への空気流は、それが壁に当たったときに上方に進み、基板の中心に向かって方向を逆転することが許容される。この逆流は、空気流に浮遊された流体を基板面にわたって戻す。従って、カーブした壁は、外方に移動する空気流を、基板面にわたり方向を逆転し又は逆に進ませることなく、捕獲のための減圧領域へチャンネル搬送するように構成される。   [0083] The upper portion of the wall 1301 generally comprises a curved member (similar to the curved portion 809 shown in the SRD illustrated in FIG. 8). This curved member serves to generate an outward and downward air flow around the substrate as the substrate is rotated, which is generally a process that is known to be acidic and cause defects in the plating layer. It serves to prevent the fluid from bouncing backwards or forming fog on the substrate surface. More specifically, when rotating, the substrate essentially acts like a pump that pushes air outward across the substrate surface in the direction of the wall. In conventional cells, a region of high pressure is generated near the periphery of the cell, which reverses the air flow and causes it to flow upward and backward across the substrate surface. The curved walls channel the air flow to the low pressure region generated by, for example, a vacuum pump, thus eliminating the high pressure region and its associated back bounce. Without the curved surface below this wall, the outward air flow is allowed to travel upward when it hits the wall and reverse direction towards the center of the substrate. This reverse flow returns the fluid suspended in the air flow across the substrate surface. Thus, the curved wall is configured to channel the outwardly moving air stream into the reduced pressure area for capture without reversing or reversing direction across the substrate surface.

[0084]排出深皿1302は、そこから上方に延びる複数の基板センタリングピン1304も備えている。このセンタリングピン1304は、一般に、排出深皿/シールド1302の周囲に、例えば、等間隔配列で、半径方向に位置される。しかしながら、ピン1304は、いかなる希望の間隔配列で位置されてもよい。例えば、図13に示す実施形態では、3つの基板センタリングピン1304が、120°の増分で、排出深皿1302の周囲に位置されているが、ピン1304は、例えば、20°、180°及び340°で位置されてもよい。基板センタリングピン1304は、一般に、深皿1302の下に位置された後述の基板センタリングメカニズムによって支持され、このメカニズムは、ピン1304を垂直に操作すると共に、ピン1304を、ピン1304の回転中心に一般に対応するピン1304の長手軸の周りで回転操作するようにも構成される。ベベル洗浄セル1300は、更に、少なくとも1つのすすぎ溶液ディスペンスアーム1305を、少なくとも1つのエッチング剤溶液ディスペンスアーム1306と共に備えている。一般に、両アーム1305及び1306は、ベベル洗浄セル1300の周囲部分に枢着され、その長手方向に延びるアームの遠方終端には少なくとも1つの流体ディスペンスノズルが位置されている。このノズルは、支持部材1303に位置された基板の第1面即ち上面に各処理流体をディスペンスするように位置されている。より詳細には、処理セル1300が、表面を上にした処理セルとして構成されるとき、即ち基板が、その製造面を深皿1302から離れた方を向くようにしてセルに位置されるときには、流体ディスペンスノズルは、それらの各流体を基板の製造面にディスペンスするように構成される。アーム1305及び1306の動作は、一般に、システムコントローラによって制御され、このコントローラは、各アームの遠方端を、処理されている基板の指定の半径方向位置上に正確に位置させるように構成され(各アームの枢着操作及び/又は垂直操作を経て)、これは、アームの各端に位置されたノズルから、ベベル洗浄セル1300で処理されている基板の正確な半径方向の場所へ流体をディスペンスするのを許容する。更に、ここに例示する実施形態では、脱イオン水でよいすすぎ溶液と、酸性のものでよいエッチング剤溶液とを別々にディスペンスするために2つのアームが示されているが、本発明の実施形態は、特定の数の流体ディスペンスアームに限定されるものではない。より詳細には、本発明の他の実施形態は、すすぎ溶液ディスペンスノズル及びエッチング剤溶液ディスペンスノズルの両方が位置された単一の枢着型アームを具現化してもよい。しかしながら、この構成では、すすぎ溶液ノズル及びエッチング剤溶液ノズル各々の配置がより重要となる。というのは、ベベル洗浄プロセスは、一般に、処理されている基板の除外ゾーン、即ち基板の外側2−5mmの周囲にエッチング剤溶液を正確にディスペンスすることが要求されるからである。更に、アーム1305及び1306の各々は、ノズルが基板にタッチすることから作動されないときに流体がノズルから滴下するのを防止するように構成されたメカニズムを含んでもよい。例えば、ノズルは、オフ時間中に望ましからぬ流体小滴を受け取るように構成された真空ポート又は吸い上げバルブ(図示せず)を含んでもよい。或いはまた、ノズルは、望ましからぬ流体小滴を基板面から吹き飛ばすように構成されたガスアパーチャーを含んでもよい。   [0084] The discharge basin 1302 also includes a plurality of substrate centering pins 1304 extending upward therefrom. The centering pins 1304 are generally positioned radially around the discharge pan / shield 1302, for example, in an equidistant arrangement. However, the pins 1304 may be positioned in any desired spacing arrangement. For example, in the embodiment shown in FIG. 13, three substrate centering pins 1304 are located around the discharge basin 1302 in 120 ° increments, but the pins 1304 are, for example, 20 °, 180 °, and 340. It may be located at °. Substrate centering pin 1304 is generally supported by a substrate centering mechanism, described below, positioned below basin 1302, which operates pin 1304 vertically and generally places pin 1304 around the center of rotation of pin 1304. It is also configured to rotate about the longitudinal axis of the corresponding pin 1304. The bevel cleaning cell 1300 further includes at least one rinse solution dispense arm 1305 along with at least one etchant solution dispense arm 1306. In general, both arms 1305 and 1306 are pivotally attached to the peripheral portion of bevel wash cell 1300 and at least one fluid dispensing nozzle is located at the distal end of the longitudinally extending arm. The nozzle is positioned so as to dispense each processing fluid on the first surface or upper surface of the substrate positioned on the support member 1303. More specifically, when the processing cell 1300 is configured as a processing cell with the surface up, that is, when the substrate is positioned in the cell with its production surface facing away from the basin 1302, The fluid dispensing nozzle is configured to dispense each of those fluids onto the manufacturing surface of the substrate. The operation of arms 1305 and 1306 is generally controlled by a system controller, which is configured to accurately position the distal end of each arm on a specified radial position of the substrate being processed (each This (through a pivoting and / or vertical operation of the arm) dispenses fluid from the nozzles located at each end of the arm to the exact radial location of the substrate being processed in the bevel cleaning cell 1300. Is allowed. Further, although the illustrated embodiment shows two arms for separately dispensing a rinse solution, which may be deionized water, and an etchant solution, which may be acidic, embodiments of the invention Is not limited to a particular number of fluid dispensing arms. More particularly, other embodiments of the present invention may embody a single pivot arm on which both the rinse solution dispense nozzle and the etchant solution dispense nozzle are located. However, in this configuration, the arrangement of each of the rinse solution nozzle and the etchant solution nozzle becomes more important. This is because the bevel cleaning process generally requires that the etchant solution be accurately dispensed around the exclusion zone of the substrate being processed, i.e. around the outer 2-5 mm of the substrate. In addition, each of the arms 1305 and 1306 may include a mechanism configured to prevent fluid from dripping from the nozzle when the nozzle is not activated from touching the substrate. For example, the nozzle may include a vacuum port or wick valve (not shown) configured to receive unwanted fluid droplets during the off time. Alternatively, the nozzle may include a gas aperture configured to blow unwanted fluid droplets off the substrate surface.

[0085]図14は、本発明の背面流体ディスペンスマニホールド1400を例示する上方斜視図である。この背面流体ディスペンスマニホールド1400は、一般に、基板センタリングピン1304間で流体排出深皿1302に位置される。マニホールド1400は、一般に、2つの遠方終端を有するV字型構造を含む。各端には、流体ディスペンスノズル1401が位置されている。マニホールド1400は、セル1300で処理されている基板に対して各流体ディスペンスノズル1401を特に位置させるように垂直操作及び枢着操作を行うことができる。この構成は、枢着された流体ディスペンスアーム1305及び1306が基板の製造面即ち前面に処理流体をディスペンスする間に、マニホールド1400が基板の非製造面即ち背面に処理流体を同時にディスペンスするのを許容する。   [0085] FIG. 14 is a top perspective view illustrating the back fluid dispense manifold 1400 of the present invention. This backside fluid dispense manifold 1400 is generally positioned in the fluid discharge basin 1302 between the substrate centering pins 1304. Manifold 1400 generally includes a V-shaped structure with two far ends. A fluid dispensing nozzle 1401 is located at each end. Manifold 1400 can perform vertical and pivoting operations to specifically position each fluid dispensing nozzle 1401 relative to the substrate being processed in cell 1300. This configuration allows manifold 1400 to simultaneously dispense process fluid onto the non-fabricated surface or back of the substrate while pivoted fluid dispensing arms 1305 and 1306 dispense process fluid onto the substrate fabrication or front surface. To do.

[0086]図15は、本発明の基板センタリングメカニズム1500を例示する斜視図である。このセンタリングメカニズム1500は、一般に、深皿1302の下に位置され、そのフレーム部材1505は、基板センタリングピン1304を受け入れて固定するように構成された複数のリセプタクル1506を有している。フレーム1505は、このフレーム部材1505及びそれに関連したコンポーネントを移動し、即ちフレーム部材1505を上昇及び下降するように構成された操作メカニズムと連通してもよい。ここに示す実施形態では、フレーム1505は、基板センタリングピン1304を受け入れるように構成された3つのリセプタクル1506を備えている。各リセプタクル1506の下部は、図15に示すように、フレーム部材1505を通してその反対側へ延び出ている。更に、各リセプタクル1506は、フレーム1505内に回転可能にマウントされて、リセプタクル1506を、リセプタクルの上の矢印「A」で指示された方向に回転することができ、従って、リセプタクルに固定された基板センタリングピン1304も回転させることができる。フレーム1505の下に延びる各リセプタクル1506の下部には、一般に、操作アーム即ち偏心カム部材1503、1504が取り付けられている。これら操作アーム1503、1504の各々は、固体リンケージ、ベルト、液圧部材等でよい接続部材即ちリンケージ1502を経て別の操作アーム1503にも接続される。更に、選択的に作動される操作装置1501が、一次アーム部材1504に機械的に連通され、それに枢着移動を選択的に与えるように構成される。   [0086] FIG. 15 is a perspective view illustrating a substrate centering mechanism 1500 of the present invention. The centering mechanism 1500 is generally positioned below the basin 1302 and its frame member 1505 has a plurality of receptacles 1506 configured to receive and secure substrate centering pins 1304. The frame 1505 may communicate with an operating mechanism configured to move the frame member 1505 and its associated components, ie, raise and lower the frame member 1505. In the illustrated embodiment, the frame 1505 includes three receptacles 1506 that are configured to receive substrate centering pins 1304. The lower part of each receptacle 1506 extends through the frame member 1505 to the opposite side as shown in FIG. Further, each receptacle 1506 is rotatably mounted within the frame 1505 so that the receptacle 1506 can be rotated in the direction indicated by the arrow “A” on the receptacle, and thus a substrate secured to the receptacle. The centering pin 1304 can also be rotated. In general, operating arms or eccentric cam members 1503 and 1504 are attached to the lower portion of each receptacle 1506 extending below the frame 1505. Each of these operation arms 1503 and 1504 is also connected to another operation arm 1503 via a connection member that may be a solid linkage, a belt, a hydraulic member, or the like, that is, a linkage 1502. In addition, a selectively actuated operating device 1501 is configured to mechanically communicate with the primary arm member 1504 and selectively impart pivotal movement thereto.

[0087]リセプタクル1506の各々は、フレーム部材1505の各部分内に回転可能にマウントされると共に、リセプタクル1506の下方延長部分の各々は、アクチュエータ1503及びそれに取り付けられたリンケージ1502を含むので、アクチュエータ1501により一次アーム部材1504を操作すると、アクチュエータアーム1504への枢着移動が生じ、他のアーム1503及びそれに対応するリセプタクル1506を一次アーム1504と共に直接的対応的に枢着回転させる。より詳細には、各リセプタクル1506は、基板センタリングパン1304を受け入れ、アクチュエータ1501が一次アーム1504を経て枢着回転すると、アーム1504の上の対応リセプタクル1506も枢着回転される。更に、リンケージ1502は、一次ピボットアーム1504に対して二次ピボットアーム1503を求めることができるので、一次ピボットアーム1504の枢着移動は、二次ピボットアーム1503への対応枢着移動に変換され、これは、二次アーム1503の上に位置されたリセプタクル1506の枢着移動又は回転移動を直接生じさせる。この構成は、基板センタリングピンの各々が同時に回転可能に操作されるのを許容し、且つ操作/回転が3つの基板センタリングピン間で同一であるのを許容する。更に、リセプタクル1506の各々は、例えば、全センタリングメカニズム1500の垂直移動により、或いはフレーム1505内のリセプタクル1506の垂直スライド移動により、垂直に操作されてもよい。   [0087] Each of the receptacles 1506 is rotatably mounted within each portion of the frame member 1505, and each lower extension portion of the receptacle 1506 includes an actuator 1503 and a linkage 1502 attached thereto, so that the actuator 1501 When the primary arm member 1504 is operated by the above-mentioned operation, the pivoting movement to the actuator arm 1504 occurs, and the other arm 1503 and the corresponding receptacle 1506 are pivoted together with the primary arm 1504 in a direct correspondence manner. More specifically, each receptacle 1506 receives a substrate centering pan 1304, and when the actuator 1501 pivots through the primary arm 1504, the corresponding receptacle 1506 on the arm 1504 is also pivoted. Further, since the linkage 1502 can determine the secondary pivot arm 1503 relative to the primary pivot arm 1504, the pivoting movement of the primary pivot arm 1504 is converted into a corresponding pivoting movement to the secondary pivot arm 1503; This directly causes a pivoting or rotational movement of the receptacle 1506 located on the secondary arm 1503. This configuration allows each of the substrate centering pins to be manipulated to rotate simultaneously and allows the operation / rotation to be the same between the three substrate centering pins. Further, each of the receptacles 1506 may be manipulated vertically, for example, by vertical movement of the entire centering mechanism 1500 or by vertical sliding movement of the receptacle 1506 within the frame 1505.

[0088]アクチュエータ1501は、一般に、基板センタリングポスト1304を回転させ、基板に過剰な圧力を作用させずに基板に係合して基板を各ポスト間でセンタリングするように構成されたアクチュエータである。例えば、ポスト1304の各々は、以下で詳細に述べるように、基板に係合して基板を中心位置へスライドさせるように働くセンタリングピンを含む。基板が中心位置にスライド式に位置されると、センタリングピンは、基板に機械的に係合し続けて、基板を中心位置に維持する。しかしながら、従来のセンタリングメカニズムでは、アクチュエータの強度及び構成は、基板がセンタリングされると、センタリングポストにより基板の周囲に力が加えられる結果として基板を屈曲させるものであった。更に、基板が屈曲すると、アクチュエータが解除されても、アクチュエータによる基板に対するバイアス力の不足で、基板が中心からシフトされる。それ故、この問題に対処するために、本発明者は、従来のアクチュエータ1501を、摩擦のないアクチュエータに置き換えた。摩擦なしのアクチュエータ1501は、センタリングプロセス中には従来のアクチュエータと同様に働くが、基板がセンタリングされると、摩擦なしのアクチュエータは、従来のアクチュエータに関連した屈曲及び中心ずれの問題を克服する。例えば、基板がセンタリングされると、摩擦なしのアクチュエータは、アクチュエータの移動即ち駆動圧力の実質的な変化を伴わずに、解除することができる。更に、摩擦なしのアクチュエータは、基板を屈曲点へ圧迫することなく基板をセンタリングすることができる。例えば、エアポート・コーポレーション・オブ・ノルウオーク、CT製造者計器品質の空気式アクチュエータ、及びエアペル・アンチ−スチクション・エア・シリンダーを、アクチュエータ1501として効果的に使用することができる。これらの装置は、一般に、グラファイトピストン及びホウ珪酸ガラスシリンダーの組合せを使用して製造され、この場合、各ピストンは、非常に厳密な公差でシリンダーに適合するように選択的にマッチングされる。この構成は、シリンダーとピストンとの間に低い摩擦を与え、それ故、アクチュエータは、数グラム程度のみの力と、0.2psi未満の操作圧力とに応答する。更に、スタート時及び動作中の摩擦がほぼ等しく、これは、非均一又は非制御のスタートを防止すると共に、装置の全ストロークにわたり均一な平滑さを与える。従って、摩擦なし形式のアクチュエータを使用すると、基板がセンタリングされたときに、基板の逆移動又はスリップに遭遇することなく、摩擦なしのアクチュエータを解除することができる。摩擦なしのアクチュエータとは別に、モーター、ボイスコイル、電気セラミック、等も含まれる。   [0088] The actuator 1501 is generally an actuator configured to rotate the substrate centering post 1304 to engage the substrate and center the substrate between the posts without exerting excessive pressure on the substrate. For example, each post 1304 includes a centering pin that serves to engage the substrate and slide the substrate to a central position, as described in detail below. As the substrate is slidably positioned in the center position, the centering pins continue to mechanically engage the substrate to maintain the substrate in the center position. However, in the conventional centering mechanism, the strength and configuration of the actuator is such that when the substrate is centered, the substrate is bent as a result of the force being applied around the substrate by the centering post. Further, when the substrate is bent, even if the actuator is released, the substrate is shifted from the center due to insufficient biasing force on the substrate by the actuator. Therefore, in order to address this problem, the present inventor replaced the conventional actuator 1501 with a friction-free actuator. The frictionless actuator 1501 works in the same way as a conventional actuator during the centering process, but when the substrate is centered, the frictionless actuator overcomes the bending and misalignment problems associated with the conventional actuator. For example, when the substrate is centered, a frictionless actuator can be released without substantial movement of the actuator, i.e., a substantial change in drive pressure. Further, the frictionless actuator can center the substrate without pressing the substrate to the bending point. For example, Airport Corporation of Norway, CT manufacturer instrument quality pneumatic actuators, and Airpel anti-stiction air cylinders can be effectively used as the actuator 1501. These devices are generally manufactured using a combination of graphite pistons and borosilicate glass cylinders, where each piston is selectively matched to fit the cylinder with very close tolerances. This configuration provides low friction between the cylinder and the piston, so the actuator responds to forces of only a few grams and operating pressures less than 0.2 psi. In addition, the friction at start and during operation is approximately equal, which prevents non-uniform or uncontrolled start and provides uniform smoothness over the entire stroke of the device. Thus, using a frictionless actuator, when the substrate is centered, the frictionless actuator can be released without encountering reverse movement or slipping of the substrate. Apart from non-friction actuators, motors, voice coils, electroceramics, etc. are also included.

[0089]図16は、本発明の基板センタリング部材即ちポスト1304を例示する断面図である。このセンタリングポスト1304は、一般に、細長く、即ち円筒形状のもので、基板センタリングメカニズム1500のリセプタクル1506に受け入れられる構成にされる。ポスト1304は、一般に、コア1604を備え、このコア1604は、その上部をカバーするキャップ部材1601を有している。コア1604は、一般に、例えば、セラミックのような堅牢な材料で製造される。キャップ部材1601は、ピーク即ち中心点で終わる持ち上がった中央部分1602を含む。中央部分1602のピーク即ち先端は、ポスト1304の長手軸に一致するように位置され、従って、ポスト1304が回転されたときに、中央部分1602の先端即ちピークは、1つの場所に留まる。キャップ部材1601は、一般に、電気化学的メッキ溶液に対して良好な露出特性を有する堅牢な材料から製造される。キャップ部材1601を製造できる1つの材料は、例えば、PEEKである。また、キャップ部材1601は、キャップ1604の上面から上方に延びる基板センタリングポスト1603も含む。この基板センタリングポスト1603は、中央部分1602又はキャップ1601のピークから半径方向外方に位置される。このようにして、基板センタリング部材1304が回転されると、基板センタリングポスト1603がコア1604の長手軸の周りで枢着移動即ち回転し、従って、ポスト1603は、中央部分1602の周りで回転即ち枢着移動する。また、基板センタリング部材1304は、コア1604の半径方向外方に位置されたスリーブ部材1605も含む。このスリーブ1605は、キャップ1604及びコア1604に協働係合して流体シールを形成し、これは、処理流体が、コア部材1604を含むボアを通して進行して、その下に位置された基板センタリングメカニズム1500にダメージを及ぼすのを防止する。   [0089] FIG. 16 is a cross-sectional view illustrating a substrate centering member or post 1304 of the present invention. The centering post 1304 is generally elongated, ie cylindrical, and is configured to be received by the receptacle 1506 of the substrate centering mechanism 1500. The post 1304 generally includes a core 1604, and the core 1604 has a cap member 1601 that covers an upper portion thereof. The core 1604 is typically manufactured from a robust material such as, for example, ceramic. Cap member 1601 includes a raised central portion 1602 that ends at a peak or center point. The peak or tip of the central portion 1602 is positioned to coincide with the longitudinal axis of the post 1304 so that when the post 1304 is rotated, the tip or peak of the central portion 1602 remains in one place. The cap member 1601 is generally manufactured from a robust material that has good exposure characteristics to the electrochemical plating solution. One material from which the cap member 1601 can be manufactured is, for example, PEEK. The cap member 1601 also includes a substrate centering post 1603 extending upward from the upper surface of the cap 1604. The substrate centering post 1603 is located radially outward from the peak of the central portion 1602 or the cap 1601. In this way, as the substrate centering member 1304 is rotated, the substrate centering post 1603 pivots or rotates about the longitudinal axis of the core 1604 so that the post 1603 rotates or pivots about the central portion 1602. Move. The substrate centering member 1304 also includes a sleeve member 1605 positioned radially outward of the core 1604. The sleeve 1605 co-engages with the cap 1604 and the core 1604 to form a fluid seal, where processing fluid travels through a bore that includes the core member 1604 and is positioned beneath the substrate centering mechanism. Prevent damage to 1500.

[0090]図17は、本発明の基板センタリング部材1304を例示する上面図であり、より詳細には、図17は、図16に示されたキャップ部材1601の上面図である。図17は、中央部分1602又は中央部分1602のピークと基板センタリングピン1603との間の位置的関係を示す。更に、センタリング部材1394が、センタリングメカニズム1500により、その中心軸の周りで回転され、即ち点1602を経て延びる軸の周りで回転されるときには、基板センタリングピン1603が、矢印Aで示された方向に移動させられる。この移動は、以下に詳細に述べるが、これを使用して、部材1304に位置された基板を中央即ち中心位置に押しやることができる。   [0090] FIG. 17 is a top view illustrating the substrate centering member 1304 of the present invention, and more specifically, FIG. 17 is a top view of the cap member 1601 shown in FIG. FIG. 17 shows the positional relationship between the central portion 1602 or the peak of the central portion 1602 and the substrate centering pin 1603. Further, when the centering member 1394 is rotated about its central axis by the centering mechanism 1500, i.e., about the axis extending through the point 1602, the substrate centering pin 1603 is in the direction indicated by the arrow A. Moved. This movement, described in detail below, can be used to push the substrate located on member 1304 to the center or center position.

[0091]動作中に、本発明のベベル洗浄セルを使用して、基板をすすぎ及び洗浄することができる。この洗浄動作は、基板の製造面及び非製造面の両方で行われてもよいし、或いは各面で個々に行われてもよい。また、本発明の洗浄セルは、基板のベベル部分から過剰な材料を洗浄し、即ち基板の製造面の周囲付近、ベベル及び背面にも一部堆積されたシード層の部分を洗浄するのにも使用できる。このプロセスは、半導体技術では、ベベル洗浄又は縁ビード除去ともしばしば称される。   [0091] During operation, the bevel cleaning cell of the present invention can be used to rinse and clean the substrate. This cleaning operation may be performed on both the manufacturing surface and the non-manufacturing surface of the substrate, or may be performed individually on each surface. The cleaning cell of the present invention also cleans excess material from the beveled portion of the substrate, i.e., the portion of the seed layer that is also partially deposited on the bevel and backside around the substrate manufacturing surface. Can be used. This process is often referred to as bevel cleaning or edge bead removal in semiconductor technology.

[0092]上述したように、一般に、基板処理システム100は、場所102、104、110及び112に位置されたメッキセルと、場所114及び116にスタックされたスピンすすぎ乾燥及び洗浄セルと、場所106及び108に位置されたベベル洗浄セルとを備えている。ロボットが各処理セル間で基板を移送するように動作する。一般に、ベベル洗浄セルの場所106及び108へ移送される基板は、メッキセルの場所102、104、110及び112の1つからそこに移送される。というのは、ベベル洗浄セルは、一般に、基板がシステム100から移送されて出される前に、基板の二重部分及び基板の背面に堆積された材料を除去するように構成されるからである。   [0092] As noted above, the substrate processing system 100 generally includes a plating cell located at locations 102, 104, 110 and 112, a spin rinse drying and cleaning cell stacked at locations 114 and 116, and a location 106 and And a bevel cleaning cell located at 108. A robot operates to transfer a substrate between each processing cell. In general, the substrate to be transferred to the bevel cleaning cell locations 106 and 108 is transferred from one of the plating cell locations 102, 104, 110 and 112 there. This is because bevel cleaning cells are generally configured to remove material deposited on the double portion of the substrate and the backside of the substrate before the substrate is transported out of the system 100.

[0093]本発明のベベル洗浄セル1300へ基板を位置するプロセスは、一般に、挿入、センタリング及びチャックを含む。挿入プロセスは、基板移送ロボットにより行われ、基板をベベル洗浄セル1300へ運び込んで、基板をセンタリングピン1304へ下降することを含む。基板がセンタリングピン1304へ下降されると、基板は、各センタリングピン1304の中央ピーク即ち最上部1602により支持される。基板が各センタリングピン1304に位置されると、ロボットがベベル洗浄セル1300から引っ込められる。   [0093] The process of positioning a substrate in the bevel cleaning cell 1300 of the present invention generally includes insertion, centering and chucking. The insertion process is performed by a substrate transfer robot and includes bringing the substrate into a bevel cleaning cell 1300 and lowering the substrate to centering pins 1304. As the substrate is lowered to centering pins 1304, the substrate is supported by the center peak or top 1602 of each centering pin 1304. When the substrate is positioned on each centering pin 1304, the robot is retracted from the bevel cleaning cell 1300.

[0094]基板がベベル洗浄セル1300へ挿入されると、センタリングプロセスが行われる。基板から縁ビード材料を除去するための公差が一般的に約1mm未満であるので、ベベル洗浄セル1300における基板のセンタリングは、ベベル洗浄プロセスにとって重要である。例えば、半導体基板に銅が電気化学的に堆積されるときには、一般に、基板の外周3−5mmは、製造面の部分であるとみなされず、即ち除外ゾーンと一般に称されるこの外周即ち帯にはデバイスが一般に形成されない。この除外ゾーンは、メッキプロセス中に電気接点が一般に位置されるシード層の露出部分を含む。除外ゾーンに堆積されるシード層は、一般に、基板のベベルまで延び、時には、基板の背面、即ち製造面でないところまで延びる。その後の半導体処理ステップは、一般に、基板の二重部分又は基板の背面のいずれかとの接触を含むので、基板の二重部分及び背面を除去するか又は洗浄して、これらエリアとのその後の接触で汚染粒子を生じるおそれを少なくすることが望まれる。基板の除外ゾーン、ベベル及び背面からの材料の除去は、一般に、ベベル洗浄プロセスと称され、これは、基板の製造面と除外ゾーンとの間の界面にエッチング剤溶液をディスペンスしながら、基板の背面にも洗浄溶液をディスペンスすることを含む。それ故、基板の前面にディスペンスされるエッチング溶液は、製造面と除外ゾーンとの間の界面にディスペンスされるので、エッチング剤が製造面にディスペンスされてデバイスにダメージを及ぼすことのないように基板を適切にセンタリングするのが重要である。   [0094] Once the substrate is inserted into the bevel cleaning cell 1300, a centering process is performed. Centering the substrate in the bevel cleaning cell 1300 is important for the bevel cleaning process because the tolerance for removing edge bead material from the substrate is typically less than about 1 mm. For example, when copper is electrochemically deposited on a semiconductor substrate, generally the outer periphery 3-5 mm of the substrate is not considered to be part of the production surface, i.e., this outer periphery or band commonly referred to as the exclusion zone Devices are generally not formed. This exclusion zone includes the exposed portion of the seed layer where electrical contacts are typically located during the plating process. The seed layer deposited in the exclusion zone generally extends to the bevel of the substrate, and sometimes extends to the back side of the substrate, i.e. not the production surface. Subsequent semiconductor processing steps generally involve contact with either the double portion of the substrate or the back surface of the substrate, so that the double portion and back surface of the substrate are removed or cleaned to allow subsequent contact with these areas. It is desirable to reduce the risk of producing contaminating particles. The removal of material from the substrate exclusion zone, bevel and backside is commonly referred to as a bevel cleaning process, which dispenses an etchant solution at the interface between the substrate manufacturing surface and the exclusion zone while the substrate is being removed. Also includes dispensing the cleaning solution on the back side. Therefore, the etchant solution dispensed on the front side of the substrate is dispensed at the interface between the production surface and the exclusion zone so that the etchant is not dispensed to the production surface and does not damage the device. It is important to center properly.

[0095]センタリングプロセスは、リセプタクル1506の各々を穏やかに回転する摩擦なしアクチュエータ1501を作動することで始まる。リセプタクル1506に受け入れられる基板センタリングポスト1304が協働回転され、それ故、キャップ部材1601に位置された基板センタリングピン1603が内方に回転されて、基板の縁に協働係合する。ピン1603の協働回転移動で基板が各ポスト1304間にセンタリングされる。基板が各ポスト1304間にセンタリングされると、摩擦なしアクチュエータ1501に圧力をかける操作が連続して適用されることでポスト1304により基板に穏やかな張力を維持することができる。しかしながら、この張力は、基板面に屈曲又は撓みを生じるには不充分な力でありながら、基板を中心位置に維持するに充分な力であるとして計算される。   [0095] The centering process begins by actuating a frictionless actuator 1501 that gently rotates each of the receptacles 1506. The substrate centering post 1304 received in the receptacle 1506 is co-rotated, and therefore the substrate centering pin 1603 located on the cap member 1601 is rotated inward to co-engage with the edge of the substrate. The substrate is centered between the posts 1304 by the cooperative rotational movement of the pins 1603. Once the substrate is centered between each post 1304, the post 1304 can maintain a gentle tension on the substrate by applying pressure to the frictionless actuator 1501 in succession. However, this tension is calculated as a force sufficient to maintain the substrate in the center position while being insufficient to cause bending or flexing on the substrate surface.

[0096]基板は、これがセンタリングされると、次いで、基板支持部材1303にチャックすることができる。このチャックプロセスは、一般に、チャック1303を上昇させてセンタリングポスト1304に固定された基板の下面に係合させるか、又はセンタリングポスト1304を降下させてチャック1303に基板を位置させるか、或いはチャック1303の上昇とポスト1304の下降を組み合わせることを含む。チャック1303は、真空型チャックでよく、それ故、基板とチャック1303が互いに物理的接触状態にされると、チャック1303の面に減圧を発生して基板をそこに固定することができる。基板がチャック1303に固定されると、ピン1304を降下するか、又はチャック1303を上昇させて、基板をチャック1303のみで支持することができる。   [0096] Once the substrate is centered, it can then be chucked to the substrate support member 1303. This chucking process generally involves raising the chuck 1303 to engage the lower surface of the substrate secured to the centering post 1304, or lowering the centering post 1304 to position the substrate on the chuck 1303, or the chuck 1303 Including a combination of ascent and descent of post 1304. The chuck 1303 may be a vacuum chuck, and therefore, when the substrate and the chuck 1303 are in physical contact with each other, a reduced pressure can be generated on the surface of the chuck 1303 to fix the substrate thereto. When the substrate is fixed to the chuck 1303, the pins 1304 can be lowered, or the chuck 1303 can be raised, and the substrate can be supported only by the chuck 1303.

[0097]基板がチャックされて固定された状態で、流体処理を開始することができる。流体処理は、一般に、すすぎ溶液アーム1305を、基板の中心のほぼ上の位置へ枢着回転することを含む。次いで、基板をチャック1303上で回転しながら、すすぎ溶液をそこからディスペンスすることができる。この回転により、例えば、DI水でよいすすぎ溶液を、基板の周囲へ向けて半径方向外方に押しやる。すすぎ溶液は、基板のベベル縁を経て流れ、排出深皿1302へ落下し、排出部(図示せず)により収集することができる。また、化学的ディスペンスアーム1306を基板の上に位置させることができ、より詳細には、化学的ディスペンスアーム1306は、エッチング剤溶液をそこから基板の製造面と除外ゾーンとの間の界面にディスペンスできるように、特に位置させることができる。この界面にエッチング剤溶液をディスペンスするプロセスは、一般に、アーム1305からのすすぎ溶液のディスペンスを終了し、次いで、アーム1306からのエッチング剤溶液のディスペンスを開始することを含む。この方法は、製造面に以前にディスペンスされたすすぎ溶液が、製造面へ跳ね返って戻ることのあるエッチング剤を希釈するように働き得るバリア又はシールド層を製造面に維持するのを許容する。更に、エッチング剤をディスペンスする前にすすぎ溶液ディスペンスプロセスを終了させることは、エッチング剤溶液の望ましからぬ希釈を防止するようにも働く。   [0097] Fluid processing can begin with the substrate chucked and secured. Fluid processing generally involves pivoting the rinse solution arm 1305 to a position approximately above the center of the substrate. The rinse solution can then be dispensed therefrom while the substrate is rotated on the chuck 1303. This rotation, for example, pushes a rinse solution, which may be DI water, radially outward toward the periphery of the substrate. The rinsing solution flows through the bevel edge of the substrate, falls to the discharge basin 1302, and can be collected by a discharge section (not shown). A chemical dispensing arm 1306 can also be positioned over the substrate, and more particularly, the chemical dispensing arm 1306 dispenses an etchant solution therefrom to the interface between the substrate fabrication surface and the exclusion zone. It can be particularly positioned as possible. The process of dispensing the etchant solution at this interface generally includes ending the rinse solution dispense from arm 1305 and then initiating the dispense of etchant solution from arm 1306. This method allows the rinse solution previously dispensed to the production surface to maintain a barrier or shield layer on the production surface that can serve to dilute the etchant that can bounce back to the production surface. Further, terminating the rinse solution dispensing process before dispensing the etchant also serves to prevent undesired dilution of the etchant solution.

[0098]同様に、背面流体ディスペンスノズル1400を使用して、すすぎ溶液及びエッチング剤溶液を基板の背面にもディスペンスすることができる。背面流体ディスペンスノズル即ちマニホールド1400は、一般に、複数のノズルを備えていて、この背面流体ディスペンスノズル1400がすすぎ溶液及びエッチング溶液の両方をディスペンスするのを許容する。従って、洗浄及びすすぎプロセスが基板の前面で行われている間に、背面ノズル1400を同時に使用して基板の背面をすすぎ及び洗浄することができる。   [0098] Similarly, a backside fluid dispensing nozzle 1400 can be used to dispense a rinsing solution and an etchant solution to the backside of the substrate. The backside fluid dispensing nozzle or manifold 1400 generally includes a plurality of nozzles that allow the backside fluid dispensing nozzle 1400 to dispense both rinse and etch solutions. Accordingly, the back nozzle 1400 can be used simultaneously to rinse and clean the back of the substrate while the cleaning and rinsing process is performed on the front of the substrate.

[0099]ここに例示するベベル洗浄プロセスは、基板の前面及び背面の両方を最初に前すすぎすることを含んでもよい。前すすぎプロセスは、基板の前面にDIを約1L/分乃至約2L/分の流量でディスペンスすると共に、基板の背面にDIを約50cc/分乃至100cc/分の流量でディスペンスすることを含んでもよい。このプロセス中に、基板を約150rpm乃至約250rpmで回転してもよく、また、流体ディスペンスプロセスの時間巾は、約8秒乃至約20秒でよい。一般に、前すすぎプロセスは、以前の電気化学的メッキプロセスの結果として基板面に付着することのある残留電解液をすすぎ落とすように構成される。基板が前すすぎされると、回転速度を約2000rpm乃至約3500rpmに約5秒間上げて、基板の縁付近に溜まったDIを除去することができる。その後、基板が依然約2000rpm乃至約3500rpmで回転している間に、例えば、アーム1306により製造面と除外ゾーンとの間の界面にエッチング剤溶液を塗布してもよい。エッチング剤溶液は、例えば、約20cc/分乃至約40cc/分の流量で界面に配送されてもよく、その時間巾は、約10秒乃至約25秒でよい。エッチング剤溶液の流れは、一般に、内径が例えば0.25乃至0.5インチのアパーチャーを有する比較的細いノズルを通る。エッチング剤溶液が製造面へと内方に跳ね返ることによる製造面のしみを最小にするために、回転速度が高い速度に維持される。一般に、エッチング剤溶液を基板にディスペンスするノズルは、界面へのエッチング剤溶液の正確なディスペンスを許容するために基板面から約1mm乃至約3mmのところに位置される。更に、ノズルは、一般に、製造面へ戻る跳ね返しを最小にするために、約30°乃至約50°の角度にされ、即ち基板の周囲に向かう角度にされる。   [0099] The bevel cleaning process illustrated herein may include first pre-rinsing both the front and back surfaces of the substrate. The pre-rinse process may include dispensing DI on the front side of the substrate at a flow rate of about 1 L / min to about 2 L / min and dispensing DI on the back side of the substrate at a flow rate of about 50 cc / min to 100 cc / min. Good. During this process, the substrate may be rotated at about 150 rpm to about 250 rpm, and the duration of the fluid dispensing process may be about 8 seconds to about 20 seconds. Generally, the pre-rinse process is configured to rinse off residual electrolyte that may adhere to the substrate surface as a result of previous electrochemical plating processes. When the substrate is pre-rinsed, the rotational speed can be increased from about 2000 rpm to about 3500 rpm for about 5 seconds to remove DI that has accumulated near the edge of the substrate. Thereafter, while the substrate is still rotating at about 2000 rpm to about 3500 rpm, for example, an arm 1306 may apply an etchant solution to the interface between the production surface and the exclusion zone. The etchant solution may be delivered to the interface at a flow rate of, for example, about 20 cc / min to about 40 cc / min, and the duration may be about 10 seconds to about 25 seconds. The flow of the etchant solution generally passes through a relatively narrow nozzle having an aperture with an inner diameter of, for example, 0.25 to 0.5 inches. The rotation speed is maintained at a high speed in order to minimize the stain on the production surface due to the etchant solution splashing back into the production surface. In general, the nozzle that dispenses the etchant solution onto the substrate is located about 1 mm to about 3 mm from the substrate surface to allow accurate dispensing of the etchant solution to the interface. In addition, the nozzles are generally angled from about 30 ° to about 50 °, i.e., toward the periphery of the substrate, to minimize bounce back to the production surface.

[00100]エッチング剤溶液の化学的構成は、一般に、HSOをベースとするもので、それ故、HSOの濃度が充分であるときには、固定のH濃度においてエッチングレートは変化しない。同様に、HSO濃度が不充分であるときには、エッチングは、HSOと共に非直線的に上昇する。更に、HSO濃度が充分であるときには、エッチングは、H濃度と共に直線的に変化し、また、HSO濃度が不充分であるときには、エッチングレートは、拡散限定酸化のために平坦になる。それ故、エッチング溶液の成分の比は、例えば、約15乃至25部のHSOと、約350乃至450部のHと、1400部を越えるHOであるか、或いは約20部のHSOと、400部のHと、1580部のHOとである。これらの濃度は、酸の濃度を上げると、エッチングレートが高くなるが、過酸化物の濃度は、これを高くしたときにエッチングレートに最小限の作用しか及ぼさないことを示している。更に、H濃度が6%未満であるときには、銅の酸化がゆっくりであることが示され、それ故、これらの濃度では、エッチングレートが、一般に、HSO濃度によって影響されない。しかしながら、H濃度が6%を越えると、銅の酸化が高まり、それ故、高濃度HSOのエッチングレートは、H濃度と共に上昇する。 [00100] The chemical composition of the etchant solution is generally based on H 2 SO 4 , and therefore, when the concentration of H 2 SO 4 is sufficient, the etch rate at a fixed H 2 O 2 concentration. Does not change. Similarly, when the H 2 SO 4 concentration is insufficient, the etching increases non-linearly with H 2 SO 4 . Further, when the H 2 SO 4 concentration is sufficient, the etching varies linearly with the H 2 O 2 concentration, and when the H 2 SO 4 concentration is insufficient, the etching rate is the diffusion limited oxidation. For flattening. Thus, the ratio of the components of the etching solution is, for example, about 15 to 25 parts H 2 SO 4 , about 350 to 450 parts H 2 O 2 , and more than 1400 parts H 2 O, or about 20 parts H 2 SO 4 , 400 parts H 2 O 2 and 1580 parts H 2 O. These concentrations indicate that increasing the acid concentration increases the etching rate, but the peroxide concentration has a minimal effect on the etching rate when it is increased. Furthermore, when the H 2 O 2 concentration is less than 6%, copper oxidation is shown to be slow, so at these concentrations, the etch rate is generally not affected by the H 2 SO 4 concentration. However, when the H 2 O 2 concentration exceeds 6%, the oxidation of copper increases, so the etching rate of high concentration H 2 SO 4 increases with the H 2 O 2 concentration.

[00101]エッチング剤溶液ディスペンスプロセスの時間が完了すると、すすぎ溶液を、もう一度、製造面に、約1L/分乃至約2.5L/分の流量で、約3秒乃至約10秒間、ディスペンスしてもよいが、回転速度は、約100rpm乃至約300rpmに下げてもよい。基板の製造面にすすぎ溶液をディスペンスするステップは、2つの目的を果たすことができる。第1に、エッチング剤溶液の後にディスペンスされるすすぎ溶液は、跳ね返したエッチング剤溶液を希釈してそれを製造面からすすぎ落とすように働く。第2に、すすぎ溶液は、その後の背面化学ディスペンスステップから跳ね返ることのあるエッチング溶液から保護するための二次保護層も形成する。前面すすぎ溶液に対するディスペンスプロセスが完了すると、背面化学ノズルを作動して、基板の背面にエッチング剤溶液を、約30cc/分乃至約70cc/分の流量で、約4秒乃至約10秒間、ディスペンスしてもよいが、基板の回転速度は、約150rpm乃至約250rpmに維持する。より詳細には、エッチング剤の流量は、約35cc/分乃至45cc/分でよい。高い流量は、改善された縁プロフィール及びベベル洗浄を生じさせることが示されているが、これらの効果は、縁のしみが増加することで抑制される。背面化学ディスペンスステップが完了すると、別の前面すすぎステップを行って、跳ね返したエッチング剤を前面からすすぎ落としてもよい。前面すすぎプロセスは、この場合も、約2秒乃至約6秒の時間中、以前の流量及び回転速度でDIをディスペンスすることを含んでもよい。最終的なすすぎプロセスが完了すると、全ての流体ディスペンスノズルをオフにすると共に、基板の回転速度を、約400rpm乃至約4000rpmに、一般的には、約2000rpm乃至3000rpmに高めて、基板を部分的に又は完全に乾燥させることができる。   [00101] Once the time of the etchant solution dispensing process is complete, the rinse solution is again dispensed on the production surface at a flow rate of about 1 L / min to about 2.5 L / min for about 3 seconds to about 10 seconds. However, the rotational speed may be reduced to about 100 rpm to about 300 rpm. The step of dispensing the rinsing solution onto the production surface of the substrate can serve two purposes. First, the rinse solution dispensed after the etchant solution serves to dilute the boiled etchant solution and rinse it off the production surface. Second, the rinse solution also forms a secondary protective layer to protect against etch solutions that can bounce off from subsequent backside chemical dispensing steps. When the dispensing process for the front rinse solution is complete, the back chemical nozzle is activated to dispense the etchant solution onto the back of the substrate at a flow rate of about 30 cc / min to about 70 cc / min for about 4 seconds to about 10 seconds. However, the rotation speed of the substrate is maintained at about 150 rpm to about 250 rpm. More particularly, the etchant flow rate may be between about 35 cc / min and 45 cc / min. Although high flow rates have been shown to result in improved edge profiles and bevel cleaning, these effects are suppressed by increasing edge stains. Once the backside chemical dispensing step is complete, another front rinse step may be performed to rinse off the bounced etchant from the front surface. The front rinse process may again include dispensing the DI at the previous flow rate and rotational speed for a period of about 2 seconds to about 6 seconds. When the final rinse process is complete, turn off all fluid dispensing nozzles and increase the rotational speed of the substrate from about 400 rpm to about 4000 rpm, typically from about 2000 rpm to 3000 rpm to partially Or completely dry.

[00102]図18は、メッキ溶液配送システム1811の一実施形態を示す概略図である。メッキ溶液配送システム1811は、一般に、メッキ溶液を必要とするシステム100上の各処理場所にメッキ溶液を供給するように構成される。より詳細には、メッキ溶液配送システムは、更に、各処理場所に異なるメッキ溶液又は化学物質を供給するように構成される。例えば、配送システムは、第1のメッキ溶液又は化学物質を処理場所110、112へ供給する一方、異なるメッキ溶液又は化学物質を処理場所102、104へ供給してもよい。一般に、個々のメッキ溶液が単一のメッキセルに使用するように分離され、それ故、異なる化学物質との交配汚染の問題は生じない。しかしながら、本発明の実施形態は、2つ以上のセルが、システム上の別のメッキセルへ供給される別の化学物質とは異なる共通の化学物質を共有してもよいことを意図している。これらの特徴は、単一の処理プラットホームへ複数の化学物質を供給する能力が、単一プラットホーム上で複数の化学物質メッキプロセスを許容するので、効果的である。   [00102] FIG. 18 is a schematic diagram illustrating one embodiment of a plating solution delivery system 1811. As shown in FIG. The plating solution delivery system 1811 is generally configured to supply the plating solution to each processing location on the system 100 that requires the plating solution. More specifically, the plating solution delivery system is further configured to supply a different plating solution or chemical to each processing location. For example, the delivery system may supply a first plating solution or chemical to the processing locations 110, 112 while supplying a different plating solution or chemical to the processing locations 102, 104. In general, individual plating solutions are separated for use in a single plating cell, and therefore do not present the problem of cross contamination with different chemicals. However, embodiments of the present invention contemplate that two or more cells may share a common chemical that is different from another chemical supplied to another plating cell on the system. These features are effective because the ability to supply multiple chemicals to a single processing platform allows multiple chemical plating processes on a single platform.

[00103]本発明の別の実施形態では、第1のメッキ溶液と、それとは個別の異なる第2のメッキ溶液を、単一のメッキセルに順次に供給することができる。通常、2つの個別の化学物質を単一のメッキセルに供給するには、各々の化学物質間でメッキセルを排出し及び/又はパージする必要があるが、第1メッキ溶液と第2メッキ溶液との混合比が約10%未満であれば、膜の特性に有害ではない。   [00103] In another embodiment of the present invention, a first plating solution and a separate and distinct second plating solution may be sequentially supplied to a single plating cell. Normally, supplying two separate chemicals to a single plating cell requires that the plating cell be evacuated and / or purged between each chemical, but the first and second plating solutions If the mixing ratio is less than about 10%, it is not harmful to the film properties.

[00104]メッキ溶液配送システム1811は、通常、複数の添加剤源1802と、少なくとも1つの電解液源1804とを備え、これらは、マニホールド1832を経てシステム100の各処理セルに流体結合される。通常、添加剤源1802は、加速剤源1806と、ならし剤源1808と、抑制剤源1810とを含む。加速剤源1806は、通常基板面に吸着して、その吸着した場所で所与の電圧において電流を局部的に加速する加速剤物質を供給するように適応される。加速剤は、例えば、硫化物系の分子を含む。ならし剤源1808は、平らなメッキを促進するように働くならし剤物質を供給するように適応される。ならし剤は、例えば、窒素含有の長連鎖ポリマーである。抑制剤源1810は、それが吸着する場所(通常、高アスペクト比の特徴部の上縁/角)で電流を減少する傾向のある抑制剤物質を供給するように適応される。それ故、抑制剤は、これらの場所におけるメッキプロセスを低速化し、これにより、特徴部が完全に充填される前に特徴部が早期に閉じるのを低減すると共に、有害なボイドの形成を最小限にする。抑制剤は、例えば、ポリエチレングリコールのポリマー、酸化エチレン及び酸化プロピレンの混合物、或いは酸化エチレン及び酸化プロピレンのコポリマーを含む。   [00104] The plating solution delivery system 1811 typically includes a plurality of additive sources 1802 and at least one electrolyte source 1804, which are fluidly coupled to each processing cell of the system 100 via a manifold 1832. The additive source 1802 typically includes an accelerator source 1806, a leveler source 1808, and an inhibitor source 1810. The accelerator source 1806 is adapted to supply an accelerator material that normally adsorbs to the substrate surface and locally accelerates the current at a given voltage at the adsorbed location. The accelerator includes, for example, a sulfide-based molecule. The leveling agent source 1808 is adapted to provide a leveling agent material that serves to promote flat plating. The leveling agent is, for example, a nitrogen-containing long chain polymer. Inhibitor source 1810 is adapted to provide an inhibitor material that tends to reduce current where it adsorbs (usually the upper edge / corner of the high aspect ratio feature). Therefore, the inhibitor slows the plating process at these locations, thereby reducing the premature closing of the feature before it is completely filled and minimizing the formation of harmful voids. To. Inhibitors include, for example, polymers of polyethylene glycol, mixtures of ethylene oxide and propylene oxide, or copolymers of ethylene oxide and propylene oxide.

[00105]添加物源が尽きる状態を防止すると共に、大量容器の交換中に添加物の浪費を最小にするために、添加剤源1802の各々は、一般に、小さな緩衝容器1816に結合された大量即ち大型の蓄積容器を備えている。緩衝容器1816は、一般に、大量蓄積容器1814から充填され、それ故、大量容器は、流体配送システムの動作に影響を及ぼさずに交換のために取り外すことができる。というのは、それに関連した緩衝容器が、大量容器の交換中にシステムへ特定の添加物を供給できるからである。緩衝容器1816の容積は、通常、大量容器1814の容積より著しく小さい。これは、中断せずに10乃至12時間動作するに充分な添加物を含むサイズとされる。これは、大量容器が空になったときにオペレータが大量容器を交換するに充分な時間を与える。緩衝容器が存在しないが、非中断動作が希望される場合には、大量容器が空になる前に交換しなければならず、従って、添加物の著しい浪費を招くことになる。   [00105] Each of the additive sources 1802 is generally coupled to a small buffer container 1816 to prevent exhaustion of the additive source and to minimize waste of the additive during bulk container replacement. That is, it has a large storage container. The buffer container 1816 is typically filled from the mass storage container 1814, and thus the mass container can be removed for replacement without affecting the operation of the fluid delivery system. This is because the associated buffer container can supply certain additives to the system during bulk container replacement. The volume of the buffer container 1816 is typically significantly smaller than the volume of the bulk container 1814. This is sized to contain enough additives to operate for 10-12 hours without interruption. This provides sufficient time for the operator to change the bulk container when the bulk container is empty. If a buffer container is not present but non-interrupting operation is desired, the bulk container must be replaced before it is emptied, thus leading to significant waste of additives.

[00106]図18に示す実施形態では、複数の添加物ソース1802と複数の処理セルとの間にドーズポンプ1812が結合される。このドーズポンプ1812は、一般に、少なくとも第1乃至第4の入口ポート1822、1824、1826、1828を備えている。例えば、第2の入口ポート1822は、一般に、加速剤源1806に結合され、第2の入口ポート1824は、一般に、ならし剤源1808に結合され、第3の入口ポート1826は、一般に、抑制剤源1810に結合され、第4の入口ポート1828は、一般に、電解液源1804に結合される。ドーズポンプ1812の出力1830は、一般に、出力ライン1840によりマニホールド1832を経て処理セルに結合され、順次に供給される添加物(即ち、少なくとも1つ以上の加速剤、ならし剤及び/又は抑制剤)の混合物を、電解液源1804から第1供給ライン1850を経てマニホールド1832に供給される電解液と結合して、必要に応じて第1又は第2のメッキ溶液を形成することができる。ドーズポンプ1812は、測定された量の選択的添加物を処理セル102、104へ供給するように適応される計量装置(1つ又は複数)でよい。ドーズポンプ1812は、ロータリー計量バルブ、ソレノイド計量ポンプ、ダイアフラムポンプ、注射器、蠕動ポンプ、或いは単独で使用されるか又は流量センサに結合される他の正変位ポンプでよい。更に、添加物は、加圧されて流量センサに結合されてもよいし、液体質量流量コントローラに結合されてもよいし、或いは電気化学メッキ溶液をメッキセルに流すことが受け容れられる加圧ディスペンス容器又は他の流体計量装置の重量利用ロードセル測定により計量されてもよい。一実施形態では、ドーズポンプは、所定の添加物をサイクル当り0.32ml推進する回転及び往復セラミックピストンを含む。   [00106] In the embodiment shown in FIG. 18, a dose pump 1812 is coupled between the plurality of additive sources 1802 and the plurality of processing cells. The dose pump 1812 generally includes at least first to fourth inlet ports 1822, 1824, 1826, 1828. For example, the second inlet port 1822 is generally coupled to the accelerator source 1806, the second inlet port 1824 is generally coupled to the leveler source 1808, and the third inlet port 1826 is generally inhibited. Coupled to agent source 1810, fourth inlet port 1828 is generally coupled to electrolyte source 1804. The output 1830 of the dose pump 1812 is typically coupled to the processing cell via the output line 1840 via the manifold 1832 and supplied sequentially (ie, at least one or more accelerators, levelers and / or inhibitors). Can be combined with the electrolyte supplied from the electrolyte source 1804 via the first supply line 1850 to the manifold 1832 to form a first or second plating solution as required. The dose pump 1812 may be a metering device (s) adapted to supply a measured amount of selective additive to the processing cells 102, 104. The dose pump 1812 can be a rotary metering valve, solenoid metering pump, diaphragm pump, syringe, peristaltic pump, or other positive displacement pump used alone or coupled to a flow sensor. Further, the additive may be pressurized and coupled to a flow sensor, coupled to a liquid mass flow controller, or a pressurized dispensing container that is acceptable for flowing an electrochemical plating solution through a plating cell. Or it may be metered by weight-based load cell measurements of other fluid metering devices. In one embodiment, the dose pump includes rotating and reciprocating ceramic pistons that propel 0.32 ml of predetermined additive per cycle.

[00107]本発明の別の実施形態では、流体配送システムは、第2の全く異なるメッキ溶液及びそれに関連した添加物を供給するように構成できる。例えば、この実施形態では、例えば、2つの個別の製造者からのメッキ溶液を使用する能力を処理システム100に与えるために、異なる基礎電解溶液(容器1804に収容された溶液と同様の)を実施することができる。更に、第2の基礎メッキ溶液に対応するように、付加的な1組の添加物容器も実施できる。それ故、本発明のこの実施形態は、第1の化学物質(第1の製造者により供給される化学物質)をシステム100の1つ以上のメッキセルに供給する一方、第2の化学物質(第2の製造者により供給される化学物質)をシステム100の1つ以上のメッキセルに供給することを許容する。各々の化学物質は、一般に、それら自身の関連添加物を有するが、1つ又は複数の添加物源からの化学物質の交配ドーズも、本発明の範囲を越えるものではない。   [00107] In another embodiment of the present invention, the fluid delivery system can be configured to provide a second, completely different plating solution and associated additives. For example, in this embodiment, a different base electrolyte solution (similar to the solution contained in container 1804) is implemented, for example, to give processing system 100 the ability to use plating solutions from two separate manufacturers. can do. In addition, an additional set of additive containers can be implemented to accommodate the second base plating solution. Therefore, this embodiment of the present invention provides a first chemical (a chemical supplied by a first manufacturer) to one or more plating cells of the system 100 while a second chemical (second 2 chemicals supplied by two manufacturers) to one or more plating cells of the system 100. Each chemical generally has its own associated additive, but the mating dose of the chemical from one or more additive sources is not beyond the scope of the present invention.

[00108]個別の基礎電解液から2つの個別の化学物質を供給できる流体配送システムを実施するために、図18に示す流体配送システムの複製が処理システムに接続される。より詳細には、図18に示す流体配送システムは、一般に、第2組の添加物容器1802と、第2のポンプアッセンブリ1830と、第2のマニホールド1832(共有マニホールドも考えられる)とを含むように変更される。更に、バージン調合溶液/基礎電解液のための個別の供給源1804も設けられる。付加的なハードウェアは、図18に示すハードウェアと同じ構成で設定されるが、第2の流体配送システムは、一般に、図示された即ち第1の流体配送システムと並列である。従って、ここに実施される構成では、使用可能な添加物の組み合せを伴う各基礎化学物質をシステム100の1つ以上の処理セルに供給することができる。   [00108] To implement a fluid delivery system that can supply two separate chemicals from separate base electrolytes, a replica of the fluid delivery system shown in FIG. 18 is connected to the processing system. More specifically, the fluid delivery system shown in FIG. 18 generally includes a second set of additive containers 1802, a second pump assembly 1830, and a second manifold 1832 (a shared manifold is also contemplated). Changed to In addition, a separate source 1804 for the virgin formulation / basic electrolyte is also provided. The additional hardware is set up in the same configuration as the hardware shown in FIG. 18, but the second fluid delivery system is generally parallel to the illustrated or first fluid delivery system. Thus, in the configuration implemented herein, each basic chemical with a combination of usable additives can be supplied to one or more processing cells of the system 100.

[00109]マニホールド1832は、通常、バルブ列1834とインターフェイスするように構成される。バルブ列1834の各バルブは、マニホールド1832からメッキシステム100の処理セルの1つへ流体を向けるように選択的に開閉することができる。マニホールド1832及びバルブ列1834は、付加的な数の処理セルへの選択的な流体配送をサポートするように任意に構成されてもよい。図18に示す実施形態では、マニホールド1832及びバルブ列1834は、処理を中断せずに、システム100に使用される化学物質又はその成分を異なる組合せでサンプリングするのを許容するサンプルポート1836を備えている。   [00109] The manifold 1832 is typically configured to interface with the valve train 1834. Each valve in the valve train 1834 can be selectively opened and closed to direct fluid from the manifold 1832 to one of the processing cells of the plating system 100. Manifold 1832 and valve train 1834 may optionally be configured to support selective fluid delivery to an additional number of processing cells. In the embodiment shown in FIG. 18, the manifold 1832 and valve train 1834 include a sample port 1836 that allows the chemicals or components thereof used in the system 100 to be sampled in different combinations without interrupting processing. Yes.

[00110]ある実施形態では、ドーズポンプ1812、出力ライン1840及び/又はマニホールド1832のパージを望むことがある。このようなパージを容易にするために、メッキ溶液配送システム1811は、洗浄及び/又はパージ流体の少なくとも1つを供給するように構成される。図18に示す実施形態では、メッキ溶液配送システム1811は、第1の配送ライン1850に結合された脱イオン水源1842及び非反応ガス源1844を備えている。非反応ガス源1844は、不活性ガス、空気又は窒素のような非反応ガスを、第1の配送ライン1850を経て供給して、マニホールド1832をフラッシュすることができる。非反応ガスに加えて又はそれに代わって、脱イオン水を脱イオン水源1842から供給してマニホールド1832をフラッシュすることができる。また、電解液源1804からの電解液をパージ媒体として使用してもよい。   [00110] In some embodiments, a dose pump 1812, output line 1840 and / or manifold 1832 may be purged. To facilitate such purging, the plating solution delivery system 1811 is configured to supply at least one of a cleaning and / or purge fluid. In the embodiment shown in FIG. 18, the plating solution delivery system 1811 includes a deionized water source 1842 and a non-reacting gas source 1844 coupled to a first delivery line 1850. The non-reactive gas source 1844 can supply a non-reactive gas, such as inert gas, air, or nitrogen, via the first delivery line 1850 to flush the manifold 1832. In addition to or in lieu of non-reacting gas, deionized water can be supplied from deionized water source 1842 to flush manifold 1832. Further, the electrolytic solution from the electrolytic solution source 1804 may be used as a purge medium.

[00111]第1のガス配送ライン1850とドーズポンプ1812との間に第2の配送ライン1852が設けられる。各供給源1804、1842、1844からの電解液、脱イオン水、又は非反応ガスの少なくとも1つを含むパージ流体は、第1の配送ライン1850から第2のガス配送ライン1852を経てドーズポンプ1812へ転流することができる。このパージ流体は、ドーズポンプ1812を経て推進され、出力ライン1840からマニホールド1832へ送出される。バルブ列1834は、通常、パージ流体を排出ポート1838から再生利用システム1832へ向ける。種々の他のバルブ、レギュレータ、及び他の流量制御装置は、明瞭化のために説明及び/又は図示されていない。   [00111] A second delivery line 1852 is provided between the first gas delivery line 1850 and the dose pump 1812. Purge fluid containing at least one of electrolyte, deionized water, or non-reactive gas from each source 1804, 1842, 1844 is passed from the first delivery line 1850 to the second gas delivery line 1852 to the dose pump 1812. Can commutate. This purge fluid is propelled through dose pump 1812 and delivered from output line 1840 to manifold 1832. Valve train 1834 typically directs purge fluid from exhaust port 1838 to recycling system 1832. Various other valves, regulators, and other flow control devices are not illustrated and / or illustrated for clarity.

[00112]本発明の一実施形態では、第1の化学物質をマニホールド1832へ供給することができ、これは、半導体基板上の銅の特徴部充填を促進する。第1の化学物質は、約180乃至約65g/lの銅、約55乃至約85ppmの塩素、約20乃至約40g/lの酸、約4乃至約7.5ml/Lの加速剤、約1乃至5ml/Lの抑制剤を含むが、ならし剤は含まないものでよい。この第1の化学物質は、基板上に配置された特徴部に金属を実質的に充填できるように、マニホールド1832から第1のメッキセル102へ配送される。第1の化学物質は一般に特徴部を完全に充填せず且つ堆積速度が本来低速であるので、第1の化学物質は、堆積層のギャップ充填性能及び欠陥比を向上させるのに最適なものとすることができる。第1の化学物質とは異なる化学物質を伴う第2の化学物質調合物を、マニホールド1832を経てシステム100の別のメッキセルへ供給することができ、ここで、第2の化学物質は、基板上における銅の平坦なバルク堆積を促進するように構成される。第2の化学物質は、例えば、約185乃至約60g/lの銅、約60乃至約80ppmの塩素、約20乃至約40g/lの酸、約4乃至約7.5ml/Lの加速剤、約1乃至約4ml/Lの抑制剤、及び約6乃至約10ml/Lのならし剤を含むものでよい。第2の化学物質は、特徴部充填及び平坦化堆積ステップ中に堆積された金属の上で効率的なバルク金属堆積プロセスを実行して特徴部の残りの部分を充填できるように、マニホールド1832から第2の処理セルへ配送される。第2の化学物質は、一般に、特徴部の上部を充填するので、第2の化学物質は、基板のスループットに実質的な影響を及ぼさずに、堆積された材料の平坦化を向上させるのに最適なものとすることができる。従って、2ステップの、異なる化学物質の堆積プロセスは、堆積される膜の迅速な堆積及び良好な平坦化の両方の実現を許容する。   [00112] In one embodiment of the present invention, a first chemical may be supplied to the manifold 1832, which facilitates copper feature filling on the semiconductor substrate. The first chemical is about 180 to about 65 g / l copper, about 55 to about 85 ppm chlorine, about 20 to about 40 g / l acid, about 4 to about 7.5 ml / L accelerator, about 1 Contains up to 5 ml / L of inhibitor but no leveling agent. This first chemical is delivered from the manifold 1832 to the first plating cell 102 so that the features disposed on the substrate can be substantially filled with metal. Since the first chemical generally does not completely fill the feature and the deposition rate is inherently slow, the first chemical is optimal for improving the gap fill performance and defect ratio of the deposited layer. can do. A second chemical formulation with a different chemical than the first chemical can be fed via manifold 1832 to another plating cell of system 100, where the second chemical is on the substrate. Configured to promote flat bulk deposition of copper in The second chemical is, for example, about 185 to about 60 g / l copper, about 60 to about 80 ppm chlorine, about 20 to about 40 g / l acid, about 4 to about 7.5 ml / L accelerator, It may contain about 1 to about 4 ml / L of inhibitor and about 6 to about 10 ml / L of leveling agent. The second chemistry is removed from the manifold 1832 so that an efficient bulk metal deposition process can be performed on the metal deposited during the feature fill and planarization deposition steps to fill the remainder of the feature. Delivered to the second processing cell. Because the second chemical generally fills the top of the feature, the second chemical can improve the planarization of the deposited material without substantially affecting the substrate throughput. Can be optimal. Thus, the two-step, different chemical deposition process allows for both rapid deposition and good planarization of the deposited film.

[00113]メッキ溶液配送システム1811は、この流体配送システム1811を、ボードメッキシステム100に位置された流体蓄積タンクに接続する複数の流体コンジットと連通する。より詳細には、流体ディスペンスマニホールド1832は、一般に、図19に示すように、複数のコンジット1901、1902、1903と連通する。これらコンジット1901、1902、1903の各々は、以下に詳細に述べる特定の流体蓄積タンク1904−1911に接続される。従って、流体配送システム1811は、特定のカソード液又はアノード液の溶液を混合してタンク1904−1911の1つへ供給するように制御できる。特定のアノード液/カソード液の溶液がマニホールド1832へ供給され、このマニホールドは、操作可能なバルブを選択的に開いて、特定の溶液をコンジット1901、1902、1903の1つへ流し込めるようにする。例えば、コンジット1901が、プラットホーム100上の特定のメッキセルに特定のカソード液を供給するように構成されていると仮定すれば、コンジット1901に供給されるカソード液は、そのコンジットにより、その特定のメッキセルにカソード液を供給するように構成されたタンク1904のような特定のメッキセル保持タンクへ運ばれる。カソード溶液はタンク1904へ配送され、次いで、コンジット1901に位置されたバルブが閉じて、タンク1904への溶液の流れを終了させる。次いで、タンク1904を使用して、カソード液を、電気化学的メッキプロセスのためのプラットホーム100上の特定メッキセルに供給することができる。コンジット1901に残留する溶液は、特定コンジットを経て1つ以上のセルへ別の溶液を供給する前に、コンジットからパージ又は排出して、交配汚染の問題を最小限にすることができる。   [00113] The plating solution delivery system 1811 is in communication with a plurality of fluid conduits that connect the fluid delivery system 1811 to a fluid storage tank located in the board plating system 100. More particularly, fluid dispense manifold 1832 is generally in communication with a plurality of conduits 1901, 1902, 1903, as shown in FIG. Each of these conduits 1901, 1902, 1903 is connected to a particular fluid storage tank 1904-1911 which will be described in detail below. Accordingly, the fluid delivery system 1811 can be controlled to mix and supply a particular catholyte or anolyte solution to one of the tanks 1904-1911. A specific anolyte / catholyte solution is supplied to the manifold 1832 which selectively opens an operable valve to allow the specific solution to flow into one of the conduits 1901, 1902, 1903. . For example, assuming that the conduit 1901 is configured to supply a particular catholyte to a particular plating cell on the platform 100, the catholyte supplied to the conduit 1901 will be sent by the conduit to that particular plating cell. To a particular plating cell holding tank, such as a tank 1904 configured to supply catholyte. The catholyte solution is delivered to tank 1904 and the valve located in conduit 1901 is then closed, terminating the flow of solution to tank 1904. Tank 1904 can then be used to supply catholyte to a specific plating cell on platform 100 for the electrochemical plating process. The solution remaining in the conduit 1901 can be purged or drained from the conduit before supplying another solution to one or more cells via a particular conduit to minimize mating contamination problems.

[00114]図19に示すタンク、即ちタンク1904−1911の各々は、一般に、対に配列される。より詳細には、タンク1904及び1905は、対として動作し、一方、タンク1906及び1907、タンク1908及び1909、タンク1910及び1911も、同様に、タンク対として動作する。タンク対は、一般に、第1溶液を含むように構成された第1タンクと、第1溶液とは異なる第2溶液を含むように構成された第2タンクとを含む。図1に例示されたメッキシステムでは、メッキ場所112に、図2に示すメッキセル200のようなメッキセルを設けることができ、それ故、第1タンク1900は、カソード溶液をセル200へ供給するように構成できる一方、第2タンク1905は、アノード溶液をメッキセル200に与えるように構成できる。上述したように、カソード溶液は、流体配送システム1811により準備されて、コンジット1901を経てタンク1904へ配送することができる。同様に、アノード溶液は、流体配送システム1811により準備されて、コンジット1903を経てタンク1905へ配送することができる。   [00114] Each of the tanks shown in FIG. 19, ie tanks 1904-1911, are generally arranged in pairs. More specifically, tanks 1904 and 1905 operate as a pair, while tanks 1906 and 1907, tanks 1908 and 1909, and tanks 1910 and 1911 also operate as a tank pair. The tank pair generally includes a first tank configured to contain a first solution and a second tank configured to contain a second solution different from the first solution. In the plating system illustrated in FIG. 1, the plating location 112 can be provided with a plating cell, such as the plating cell 200 shown in FIG. 2, so that the first tank 1900 supplies the cathode solution to the cell 200. While configured, the second tank 1905 can be configured to provide the anode solution to the plating cell 200. As described above, the catholyte solution can be prepared by the fluid delivery system 1811 and delivered to the tank 1904 via the conduit 1901. Similarly, the anolyte solution can be prepared by the fluid delivery system 1811 and delivered to the tank 1905 via the conduit 1903.

[00115]タンク1904及び1905の構成と同様に、タンク1906及び1907は、プラットホーム100上の処理場所110に位置されたメッキセルへメッキ溶液を供給するように構成できる。更に、タンク1910及び1911と、タンク1908及び1909は、各々、処理場所104及び102に位置されたメッキセルへメッキ溶液を供給するように使用できる。タンク対1906−1911の各々は、それらの各メッキセルへカソード溶液及びアノード溶液の両方を供給するように構成できる。或いはまた、これらタンクは、それらに関連したメッキセルにカソード溶液のみを供給するように構成されてもよく、即ちこれらタンクは、処理プラットホーム100上の1つ以上のセルへ単一のメッキ溶液を供給するように構成された単一のタンクへと結合されてもよい。   [00115] Similar to the configuration of tanks 1904 and 1905, tanks 1906 and 1907 can be configured to supply a plating solution to a plating cell located at processing location 110 on platform 100. Further, tanks 1910 and 1911 and tanks 1908 and 1909 can be used to supply plating solution to plating cells located at processing locations 104 and 102, respectively. Each of the tank pairs 1906-1911 can be configured to supply both catholyte and anolyte solutions to their respective plating cells. Alternatively, these tanks may be configured to supply only the catholyte solution to their associated plating cells, i.e., they supply a single plating solution to one or more cells on the processing platform 100. May be combined into a single tank configured to.

[00116]図20は、タンク2000の内部コンポーネントが見えるようにするためにタンクの2つの壁を除去したタンク2000を例示する斜視図である。タンク2000は、一般に、流体溶液を収容するように構成された内部容積部を画成する直立した側壁2001を有する包囲されたスペースを含む。流体返送アッセンブリ2002がタンクへと下方に延びており、タンク2000の下部付近で終わる。また、タンク2000の内部容積部は、タンク2000の内部容積部に流体の流れをバッフルするように構成された複数の交差壁2008も備えている。タンク2000の下部は、タンク2000内に収容された処理流体に温度制御を与えるように一般に動作する熱交換器2006を備えている。ポンプヘッドアッセンブリ2004がタンク2000の内部容積部へ延びて、タンク2000の底部付近で終わり、一般的に、処理ステップで使用するためにタンク2000の内部容積部から流体を引き出すように構成される。   [00116] FIG. 20 is a perspective view illustrating the tank 2000 with the two walls of the tank removed to allow the internal components of the tank 2000 to be seen. Tank 2000 generally includes an enclosed space having upstanding sidewalls 2001 that define an interior volume configured to contain a fluid solution. A fluid return assembly 2002 extends down to the tank and ends near the bottom of the tank 2000. The internal volume of tank 2000 also includes a plurality of intersecting walls 2008 configured to baffle the flow of fluid to the internal volume of tank 2000. The lower portion of the tank 2000 includes a heat exchanger 2006 that generally operates to provide temperature control to the processing fluid contained in the tank 2000. A pump head assembly 2004 extends into the internal volume of tank 2000 and ends near the bottom of tank 2000 and is generally configured to draw fluid from the internal volume of tank 2000 for use in processing steps.

[00117]図21は、本発明の流体タンクを例示する平面図である。図20に示すように、流体タンク2000は、その内部容積部に位置された複数の直立した流体転流壁2008を備えている。これら転流壁2008の位置は、一般に、複数の流体区画2101、2102、2103、2104及び2108を形成するように働く。これら流体区画の各々は、図22に示すように、流体パススルー2113を経て隣接流体区画と連通する。更に、内壁2008に加えて、選択された区画には、図21に示すように、角度付けされた流体転流壁2105、2106及び2107を位置することができる。より詳細には、流体タンクは、傾斜した即ち角度付けされた流体受け入れ壁2300を含むことができる。この角度付けされた即ち傾斜した壁2300は、外壁でも内壁でもよい。とにかく、この傾斜した壁は、液体溶液をタンクに垂直に注ぎ込むことにより発生される気泡を最小にすることにより、タンクに収容された溶液における気泡の形成を最小限にするよう構成される。この実施形態では、タンクに配送された流体は、流体返送ライン2002により、角度付けされた壁2300へとディスペンスされ、流体は、場所2301において壁2300へ流れ、次いで、壁2300の表面に沿って、矢印「A」で示す方向に、タンクに収容された溶液へと下方に流れ込むようにされる。勾配の付いた即ち傾斜した壁を溶液へと下る溶液の流れは、タンク内の溶液と、タンクへ返送される溶液との間の界面に形成される気泡を最小にする。   [00117] FIG. 21 is a plan view illustrating a fluid tank of the present invention. As shown in FIG. 20, the fluid tank 2000 includes a plurality of upright fluid commutation walls 2008 positioned in the internal volume thereof. The positions of these commutation walls 2008 generally serve to form a plurality of fluid compartments 2101, 2102, 2103, 2104 and 2108. Each of these fluid compartments communicates with adjacent fluid compartments via a fluid pass-through 2113, as shown in FIG. Further, in addition to the inner wall 2008, the selected compartment may be positioned with angled fluid commutation walls 2105, 2106 and 2107, as shown in FIG. More particularly, the fluid tank can include an inclined or angled fluid receiving wall 2300. This angled or inclined wall 2300 may be an outer wall or an inner wall. In any event, this slanted wall is configured to minimize the formation of bubbles in the solution contained in the tank by minimizing bubbles generated by pouring the liquid solution vertically into the tank. In this embodiment, the fluid delivered to the tank is dispensed by the fluid return line 2002 to the angled wall 2300 and the fluid flows to the wall 2300 at location 2301 and then along the surface of the wall 2300. In the direction indicated by the arrow “A”, the liquid is allowed to flow downward into the solution stored in the tank. The solution flow down the sloped or sloping wall into the solution minimizes bubbles formed at the interface between the solution in the tank and the solution returned to the tank.

[00118]それ故、動作中に、流体は、一般に、第1の流体区画2101で終わる流体供給ライン2110を経てタンク2000へ返送される(任意であるが、流体供給ラインは、上述したように、角度付けされた壁で終わってもよい)。区画2101へ供給される流体は、第1の流体パススルー2111を経て第2の流体区画2102へ進む。流体が第2流体区画2102へ入ると、流体は、角度付けされた流体転流壁2105へ向けられる。流体は、角度付けされた流体転流壁2105の周りを進み、次いで、第2の流体パススルー2112を経て第2の流体区画2108へと進む。第1の流体区画と同様に、流体は、角度付けされた壁で閉ざされ、別の流体パススルーを経て第3の流体区画2103へ進み、そこで、同じプロセスが繰り返され、やがて、流体は、最終的な流体パススルー2114を経て最終的な流体区画2104へ通される。角度付けされた個々の壁の各々は、以下で更に述べるが、タンク内の気泡を最小にするように流体の流れと相互作用するよう構成される。更に、パススルー2111−2114の位置も、タンク内の気泡を最小にするように働く。というのは、気泡の浮力は、一般に、気泡が、各壁の下部に位置されたパススルーを経て進むのを妨げるからである。ポンプヘッド2000は、一般に、最終的流体区画2104で終わり、それ故、流体は、最終的区画2104からポンプヘッド2004を経て、タンク2000からポンプ送りされる。   [00118] Thus, during operation, fluid is generally returned to tank 2000 via fluid supply line 2110 ending in first fluid compartment 2101 (optionally, the fluid supply line is , May end with an angled wall). The fluid supplied to the compartment 2101 proceeds to the second fluid compartment 2102 via the first fluid pass-through 2111. As fluid enters the second fluid compartment 2102, the fluid is directed to the angled fluid commutation wall 2105. The fluid travels around the angled fluid commutation wall 2105 and then travels through the second fluid pass-through 2112 to the second fluid compartment 2108. Similar to the first fluid compartment, the fluid is closed with an angled wall and goes through another fluid pass-through to the third fluid compartment 2103 where the same process is repeated and eventually the fluid becomes final. Through a typical fluid pass-through 2114 to the final fluid compartment 2104. Each angled individual wall, as described further below, is configured to interact with the fluid flow to minimize bubbles in the tank. In addition, the location of pass-throughs 2111-2114 also serves to minimize bubbles in the tank. This is because the buoyancy of the bubbles generally prevents the bubbles from traveling through a pass-through located at the bottom of each wall. The pump head 2000 generally ends in the final fluid compartment 2104, and therefore fluid is pumped from the tank 2000 from the final compartment 2104 through the pump head 2004.

[00119]上述したように、複数の直立壁2008及び角度付けされた流体転流壁2105、2106、2107の位置は、タンク2000からポンプ送りされる流体溶液中の気泡を最小にするように働く。より詳細には、タンク2000の構成は、タンク2000に配送される流体が、多数の壁にぶつかり、多数の壁の周りをめぐり、更に、多数の流体パススルーを通して流れた後に、タンク2000からポンプヘッド2004を経てポンプ送りされるのを必要とするように設計される。動作中に、流体が固定面にぶつかるように流されると、溶液中の気泡が固定面に付着する傾向があり、従って、流動する液体から気泡が除去される。同様に、複数の流体フィードスルー2101を流体が通過すると、流体溶液に浮遊した気泡がそこから除去されることが示されている。従って、本発明のタンク構成は、タンク2000からポンプ送りされる流体溶液中の気泡を最小にするように構成される。これは、メッキセルに供給される流体溶液即ち電解液中の気泡が、メッキされた基板に実質的な欠陥を生じさせることが示されているので、電気化学的メッキシステムにとって特に重要である。   [00119] As described above, the position of the plurality of upstanding walls 2008 and the angled fluid commutation walls 2105, 2106, 2107 serves to minimize bubbles in the fluid solution pumped from the tank 2000. . More particularly, the configuration of the tank 2000 is such that the fluid delivered to the tank 2000 hits the multiple walls, goes around the multiple walls, and then flows through the multiple fluid pass-throughs before the pump head from the tank 2000. Designed to require pumping through 2004. In operation, when fluid is flowed against the fixed surface, bubbles in the solution tend to adhere to the fixed surface, thus removing the bubbles from the flowing liquid. Similarly, it is shown that when a fluid passes through a plurality of fluid feedthroughs 2101, bubbles suspended in the fluid solution are removed therefrom. Accordingly, the tank configuration of the present invention is configured to minimize bubbles in the fluid solution pumped from tank 2000. This is particularly important for electrochemical plating systems since air bubbles in the fluid solution or electrolyte supplied to the plating cell have been shown to cause substantial defects in the plated substrate.

[00120]本発明の別の実施形態では、タンク2000は、タンク2000に配送される流体から生じる気泡形成を更に最小にするように変更される。より詳細には、電気化学的メッキシステムのための従来の流体蓄積タンクは、一般に、タンクの上部に位置されたアパーチャーを経て蓄積タンクへ流体を配送する。従って、タンクに配送された流体は、重力で落下し、本質的に、タンク内の溶液へ注がれる。この注ぎ動作がメッキ溶液に気泡を発生することが示されている。それ故、本発明の実施形態は、最小の気泡形成で電気化学的メッキシステムの蓄積タンクへ流体を配送するための改良された方法を提供する。この方法は、一般に上述され且つ図23に示されたように、タンク2000の第1区画2101内に角度付けされた壁を位置させることを一般的に含む。角度付けされた壁は、容器2101を取り巻く直立壁の1つに取り付けることができ、タンク2000に配送された流体は、角度付けされた壁に直接ディスペンスされる。流体は、角度付けされた壁上を、タンクの底部の流体へと下方に流れる。この構成では、流体は、タンクへ落下したり、注がれたり、跳ね返したりせず、むしろ、流体は、角度付けされた壁へディスペンスされ、大量溶液中の気泡形成を最小としながら、シート状作用で大量溶液中へ均一に流れ込むようにされる。   [00120] In another embodiment of the present invention, the tank 2000 is modified to further minimize bubble formation resulting from the fluid delivered to the tank 2000. More particularly, conventional fluid storage tanks for electrochemical plating systems generally deliver fluid to the storage tank via an aperture located at the top of the tank. Thus, the fluid delivered to the tank falls by gravity and is essentially poured into the solution in the tank. This pouring action has been shown to generate bubbles in the plating solution. Therefore, embodiments of the present invention provide an improved method for delivering fluid to an accumulation tank of an electrochemical plating system with minimal bubble formation. This method generally involves positioning an angled wall within the first compartment 2101 of the tank 2000, as generally described above and shown in FIG. The angled wall can be attached to one of the upstanding walls surrounding the container 2101 and the fluid delivered to the tank 2000 is dispensed directly onto the angled wall. The fluid flows down on the angled wall down to the fluid at the bottom of the tank. In this configuration, the fluid does not fall, pour or bounce into the tank, but rather the fluid is dispensed onto the angled walls, minimizing bubble formation in the bulk solution, while in sheet form. It is made to flow uniformly into a large amount of solution by the action.

[00121]また、本発明の各タンクは、アスペクト比、即ちタンクの高さとタンクの辺又は断面積との比を大きくするように構成される。従って、タンクは、一般に、小さな断面積、即ち長さ及び巾を有すると共に、大きな高さ寸法を有する。これは、少ない量の溶液が使用されるときでも最適なポンプヘッド深さを与える。例えば、本発明の実施形態は、巾が約9インチで、長さが約7.75インチで、高さが約19インチで、内容積が約17リッターのタンクを使用する。従って、アスペクト比は、1:1より大きくなる(19:(9+7.75))。ポンプヘッド深さを最大にする本発明の別の特徴は、タンクの下部における熱交換器の位置である。これは、タンクの下部内の実質的な容積を押しのけ、それ故、ポンプヘッド深さを増加させる。   [00121] Also, each tank of the present invention is configured to increase the aspect ratio, that is, the ratio of tank height to tank side or cross-sectional area. Accordingly, the tank generally has a small cross-sectional area, ie, length and width, and a large height dimension. This gives an optimum pump head depth even when a small amount of solution is used. For example, an embodiment of the present invention uses a tank that is about 9 inches wide, about 7.75 inches long, about 19 inches high, and has an internal volume of about 17 liters. Therefore, the aspect ratio is greater than 1: 1 (19: (9 + 7.75)). Another feature of the present invention that maximizes the pump head depth is the position of the heat exchanger at the bottom of the tank. This displaces the substantial volume in the lower part of the tank and therefore increases the pump head depth.

[00122]動作において、本発明の実施形態は、一般に、メッキシステム用の配管システムであって、一体的な電気化学的メッキプラットホームに位置された複数のメッキセルへ複数の化学物質を供給するように構成された配管システムを提供する。より詳細には、本発明の配管システムは、例えば、電気化学的メッキプラットホーム上の第1メッキセルへ第1メッキ溶液を供給する一方、その第1化学物質とは異なる第2化学物質を、電気化学的メッキプラットホーム上の第2メッキセルへ供給するように構成される。本発明の配管システムは、例えば、一体的なシステムプラットホーム上に位置された4つの異なるメッキセルに4つの異なるメッキ化学物質を供給するように拡張できる。更に、図2に示すメッキセル200のように、アノード液及びカソード液の両方を使用するように構成されたメッキセルを使用するメッキシステムでは、本発明の配管システムは、一般に、処理プラットホーム上に位置された各メッキセルへ個別のカソード溶液を供給する一方、処理プラットホーム上に位置された各メッキセルへアノード溶液を供給するように構成される。以前の実施形態と同様に、カソード溶液は、全て、異なるものでよく、更に、アノード溶液も、互いに異なるものでよい。   [00122] In operation, an embodiment of the present invention is generally a piping system for a plating system so as to supply a plurality of chemicals to a plurality of plating cells located in an integral electrochemical plating platform. Provide a structured piping system. More specifically, the piping system of the present invention supplies, for example, a first plating solution to a first plating cell on an electrochemical plating platform, while supplying a second chemical different from the first chemical to the electrochemical Configured to feed a second plating cell on a typical plating platform. The piping system of the present invention can be expanded to supply, for example, four different plating chemistries to four different plating cells located on an integral system platform. Further, in plating systems that use plating cells configured to use both anolyte and catholyte, such as the plating cell 200 shown in FIG. 2, the piping system of the present invention is generally located on a processing platform. A separate cathode solution is supplied to each plating cell, while an anode solution is configured to be supplied to each plating cell located on the processing platform. As with the previous embodiment, the catholyte solutions can all be different, and the anolyte solutions can also be different from one another.

[00123]図1に示すプラットホーム100のような電気化学的メッキプラットホームを動作するときには、配送システム1811は、処理場所112及び110に位置されたメッキセルに対するカソード溶液を形成するように作動できる。カソード溶液は、適当な量の酸、ハロゲン化物、持続電解液、添加物、及び/又は電気化学的メッキ溶液に一般に使用される他の成分を含んでもよい。この溶液は、流体配送システム1811において混合され、マニホールド1832を経てポンプ送りされ、更に、コンジット1901へ供給されて、タンク1904及び1906に配送することができる。この構成において、タンク1904及び1906は、処理場所110及び112に位置されたメッキセル200のカソード液チャンバーと流体連通される。メッキセル200は、カソード液及びアノード液の両方を必要とする形式のメッキセルであるので、流体配送システム1811は、セルに使用するためのアノード液を形成するようにも作動できる。アノード液は、流体配送システム1811において形成され、マニホールド1832へ送られ、更に、流体コンジット1903を経てタンク1905及び1907へ配送することができる。これらタンク1905及び1907は、一般に、処理場所110及び112に位置されたメッキセル200のアノード又はアノード液区画と流体連通される。   [00123] When operating an electrochemical plating platform, such as the platform 100 shown in FIG. 1, the delivery system 1811 is operable to form a catholyte solution for plating cells located at the processing locations 112 and 110. The catholyte solution may include appropriate amounts of acids, halides, sustained electrolytes, additives, and / or other components commonly used in electrochemical plating solutions. This solution can be mixed in fluid delivery system 1811, pumped through manifold 1832, and further fed into conduit 1901 for delivery to tanks 1904 and 1906. In this configuration, tanks 1904 and 1906 are in fluid communication with the catholyte chamber of plating cell 200 located at processing locations 110 and 112. Since the plating cell 200 is a type of plating cell that requires both catholyte and anolyte, the fluid delivery system 1811 can also operate to form an anolyte for use in the cell. The anolyte can be formed in fluid delivery system 1811 and sent to manifold 1832 and further delivered to tanks 1905 and 1907 via fluid conduit 1903. These tanks 1905 and 1907 are generally in fluid communication with the anode or anolyte compartment of the plating cell 200 located at the processing locations 110 and 112.

[00124]タンク1904−1907へ供給されるアノード液及びカソード液の特定の組み合せは、半導体基板に対するボトムアップ充填特性を最適なものとするように構成できる。より詳細には、例えば、タンク1904及び1906に供給されるカソード溶液中のならし剤、抑制剤及び加速剤のような添加物の濃度は、半導体基板の高アスペクト比の特徴部にほぼメッキ材料がないような初期のメッキ段階を容易にするように構成できる。半導体基板における特徴部充填を開始するプロセスは、全メッキプロセスにとって重要である。というのは、特徴部の閉鎖を得たりメッキ材料にボイドを発生したりせずに、ボトムアップにより高アスペクト比の特徴部を充填することが一般に困難だからである。それ故、本発明の配管システムは、ボトムアップ充填を容易にするように設計された特定の化学物質で特定の処理場所において特徴部充填プロセスを実行するのを許容する。   [00124] The particular combination of anolyte and catholyte supplied to tanks 1904-1907 can be configured to optimize the bottom-up fill characteristics for the semiconductor substrate. More specifically, for example, the concentration of additives such as leveling agents, inhibitors, and accelerators in the catholyte solution supplied to tanks 1904 and 1906 is approximately plated to the high aspect ratio features of the semiconductor substrate. It can be configured to facilitate the initial plating step such that there is no. The process of initiating feature filling in the semiconductor substrate is important for the entire plating process. This is because it is generally difficult to fill high aspect ratio features by bottom-up without obtaining feature closure or generating voids in the plating material. Therefore, the piping system of the present invention allows a feature filling process to be performed at a particular processing location with a particular chemical designed to facilitate bottom-up filling.

[00125]同様に、ボトムアップ即ち特徴部充填プロセスが完了されると、基板は、一般に、特徴部をバルク充填又はオーバー充填する二次メッキプロセスに通される。バルク充填プロセスは、一般に、特徴部充填プロセスより高いメッキ率で行われ、それ故、一般に、高い電流密度を使用する。従って、特徴部充填を促進するのに使用される化学物質は、バルク充填プロセスを促進するものとして最適でないことがある。それ故、本発明の配管システムは、各プロセスを最適なものにするために異なる化学物質が必要とされても、特徴部充填プロセス及びバルク充填プロセスの両方を同じプラットホーム上で実行できるように、付加的な化学物質の能力を与える。より詳細には、処理場所102及び104には、パルプ充填メッキプロセスを促進するように構成されたメッキセル200を位置することができる。特徴部充填に使用されるメッキセルは、バルク充填に使用されるメッキセルと本質的に同一でよいが、各セルに供給される化学物質は一般に異なる。従って、本発明の配管システムは、タンク1918−1911へ個別のカソード液及び/又はアノード液を供給するように構成でき、これらタンクは、一般に、これらの各溶液を処理場所102、104へ供給するように構成される。特に、流体配送システム1811は、パルプ充填メッキプロセスを促進するように構成されたカソード溶液を形成するよう作動され、また、そのようにさせることができる。カソード溶液は、マニホールド1832へ配送され、このマニホールドは、カソード溶液を流体コンジット1902へ供給することができる。流体コンジット1902は、バルク充填カソード溶液をタンク1909及び1911へ配送することができる。同様に、流体配送システム1811は、バルク充填プロセスのためのアノード溶液を形成するように使用することもでき、このアノード溶液は、コンジット1903を経てタンク1908及び1910に配送できる。   [00125] Similarly, once the bottom-up or feature filling process is completed, the substrate is generally passed through a secondary plating process that bulk fills or overfills the features. Bulk fill processes are generally performed at a higher plating rate than feature fill processes and therefore generally use higher current densities. Thus, the chemicals used to facilitate feature filling may not be optimal as to facilitate the bulk filling process. Therefore, the piping system of the present invention allows both feature and bulk filling processes to be performed on the same platform, even if different chemicals are required to optimize each process. Provides additional chemical capabilities. More particularly, the processing locations 102 and 104 may be located with a plating cell 200 configured to facilitate the pulp fill plating process. The plating cell used for feature filling may be essentially the same as the plating cell used for bulk filling, but the chemicals supplied to each cell are generally different. Accordingly, the piping system of the present invention can be configured to supply individual catholyte and / or anolyte to tanks 1918-1911, which typically supply each of these solutions to process locations 102, 104. Configured as follows. In particular, the fluid delivery system 1811 is activated and can be made to form a cathodic solution configured to facilitate the pulp fill plating process. The catholyte solution is delivered to the manifold 1832, which can supply the catholyte solution to the fluid conduit 1902. Fluid conduit 1902 can deliver bulk-filled catholyte solution to tanks 1909 and 1911. Similarly, the fluid delivery system 1811 can also be used to form an anolyte solution for a bulk fill process, which can be delivered via a conduit 1903 to tanks 1908 and 1910.

[00126]メッキ溶液が各タンクに配送されると、基板を処理プラットホーム100に導入して、処理場所110又は112の1つに位置することができる。基板に形成された特徴部は、処理場所110又は112で行われる特徴部充填メッキプロセスで充填することができる。その後、基板を、バルク充填プロセスのために処理場所102又は104に移送することができる。処理場所110、112で行われるプロセスは、セル場所102、104で行われるプロセスとは個別の又は異なる化学物質を使用してもよい。更に、いずれか一方の処理場所、即ち処理場所112で使用される化学溶液は、他の処理場所、即ち処理場所110とは異なるものでよい。というのは、本発明の流体配送システム1811及び配管システムは、処理プラットホーム100上の各個々のメッキセルに別々の化学物質を供給するのを許容するからである。   [00126] Once the plating solution is delivered to each tank, the substrate can be introduced into the processing platform 100 and located at one of the processing locations 110 or 112. The features formed on the substrate can be filled with a feature fill plating process performed at the processing location 110 or 112. The substrate can then be transferred to the processing location 102 or 104 for the bulk filling process. The processes performed at the processing locations 110, 112 may use separate or different chemicals than the processes performed at the cell locations 102, 104. Further, the chemical solution used at either one of the processing locations, i.e., processing location 112, may be different from the other processing locations, i.e., processing location 110. This is because the fluid delivery system 1811 and piping system of the present invention allows each individual plating cell on the processing platform 100 to be supplied with a separate chemical.

[00127]本発明の別の実施形態では、本発明の流体コンジットの1つに脱ガス装置を位置させて、コンジットに流れる流体から気泡を除去することができる。この脱ガス装置は、例えば、タンクをメッキセルに接続するコンジットの1つに位置されて、メッキセルへ供給される流体(メッキ溶液)から気泡を除去するように動作できる。更に、本発明のメッキシステムでは流体の流れを発生するために複数のポンプが必要とされるので、1つ以上の流体コンジットにフィルタを位置することができる。これらフィルタは、流体がメッキセルに到着する前に、ポンプの機械的部品により発生された粒子を流体の流れから除去するように構成できる。   [00127] In another embodiment of the present invention, a degasser can be positioned in one of the fluid conduits of the present invention to remove bubbles from the fluid flowing through the conduit. The degassing device is located, for example, in one of the conduits connecting the tank to the plating cell and can operate to remove bubbles from the fluid (plating solution) supplied to the plating cell. In addition, because the plating system of the present invention requires multiple pumps to generate fluid flow, the filter can be located in one or more fluid conduits. These filters can be configured to remove particles generated by the mechanical parts of the pump from the fluid stream before the fluid reaches the plating cell.

[00128]メッキされた膜における欠陥を最小にするために、メッキセルに収容されたメッキ溶液に基板を浸漬するプロセス中に基板面に付着する気泡を最小限としなければならない。それ故、本発明の実施形態は、処理流体に基板を浸漬する方法であって、最小限の気泡しか発生しない方法を提供する。本発明の浸漬方法は、基板を支持し且つ基板に電気的に接触するように構成されたヘッドアッセンブリに基板をロードするプロセスで開始される。このヘッドアッセンブリは、一般に、ロードスペースにより分離されたコンタクトリング及びスラストプレートアッセンブリを備えている。コンタクトリング及びスラストプレートアッセンブリの詳細な説明は、参考として全体をここに援用する「Plating Uniformity Control By Contact Ring Shaping」という名称で2002年10月22日に出願された共通に譲渡された米国特許出願第10/278,527号に見ることができる。ロボットを使用して、アクセススペースを経てコンタクトリングに基板を位置させる。より詳細には、ロボットは、減圧係合装置で基板の背面に係合するように構成された真空型ロボットでよい。次いで、基板は、表面を下にした(製造面を下に向けた)向きで支持され、真空係合装置を基板の背面即ち非製造面に取り付けることができる。次いで、ロボットを、アクセススペースを経てコンタクトリングまで延ばし、コンタクトリングのコンタクトピン/基板支持面に基板を位置させるように降下し、真空係合装置を解離し、引っ込め高さまで上昇し、次いで、コンタクトリングから引っ込めることができる。   [00128] In order to minimize defects in the plated film, bubbles that adhere to the substrate surface during the process of immersing the substrate in a plating solution contained in a plating cell must be minimized. Therefore, embodiments of the present invention provide a method of immersing a substrate in a processing fluid that generates minimal bubbles. The dipping method of the present invention begins with the process of loading a substrate into a head assembly that is configured to support and electrically contact the substrate. The head assembly generally includes a contact ring and a thrust plate assembly separated by a load space. A detailed description of the contact ring and thrust plate assembly can be found in commonly assigned US patent application filed Oct. 22, 2002 under the name “Plating Uniformity Control By Contact Ring Shaping,” which is incorporated herein by reference in its entirety. 10 / 278,527. A robot is used to position the substrate on the contact ring through the access space. More specifically, the robot may be a vacuum type robot configured to engage the back surface of the substrate with a decompression engagement device. The substrate is then supported face down (manufacturing surface down) and the vacuum engagement device can be attached to the back or non-manufacturing surface of the substrate. The robot is then extended through the access space to the contact ring, lowered to position the substrate on the contact pin / substrate support surface of the contact ring, disengaged the vacuum engagement device, raised to the retracted height, and then contacted Can be withdrawn from the ring.

[00129]基板がコンタクトリング2402に位置されると、スラストプレートアッセンブリ2404を処理位置へ降下することができる。より詳細には、図24は、スラストプレート2404を基板ロード位置で示しており、即ちスラストプレート2404は、アクセススペース2406を最大にするように、垂直方向でコンタクトリング2402の下面より上に位置される。この位置では、ロボット120は、基板をコンタクトリング2402にロードするのに使用できるスペースの量が最大である。しかしながら、基板がロードされると、スラストプレート2404を垂直方向、即ち図24に矢印2410で指示された方向に作動して、コンタクトリング2402に位置された基板の背面に係合させることができる。コンタクトリング2402に位置された基板の背面にスラストプレート2404を係合させると、基板をコンタクトリング2402に処理のために固定しながら、コンタクトリング2402に位置された電気接点ピンに対して基板を機械的にバイアスさせることになる。   [00129] Once the substrate is positioned on the contact ring 2402, the thrust plate assembly 2404 can be lowered to the processing position. More specifically, FIG. 24 shows the thrust plate 2404 in the substrate loading position, ie, the thrust plate 2404 is positioned vertically above the lower surface of the contact ring 2402 to maximize the access space 2406. The In this position, the robot 120 has the maximum amount of space that can be used to load the substrate into the contact ring 2402. However, when the substrate is loaded, the thrust plate 2404 can be actuated in the vertical direction, ie, the direction indicated by arrow 2410 in FIG. 24, to engage the back surface of the substrate located in the contact ring 2402. Engaging the thrust plate 2404 with the back of the substrate located on the contact ring 2402 mechanically secures the substrate to the contact ring 2402 for processing against the electrical contact pins located on the contact ring 2402. Will be biased.

[00130]基板がスラストプレート2404によりコンタクトリング2402に固定されると、ヘッドアッセンブリ2400の下部、即ちコンタクトリング2402とスラストプレート2404との結合体が、ある傾斜角へ枢着回転される。ヘッドアッセンブリの下部は、ピボット点2408の周りでのヘッドアッセンブリの枢着操作によりその傾斜角まで枢着回転される。ヘッドアッセンブリ2400の下部は、ピボット点2408の周りで操作され、これは、ヘッドアッセンブリ2400の下部を、図24に矢印2409で示された枢着移動させる。ヘッドアッセンブリ2400の下部と、コンタクトリング2402に位置された基板のメッキ面は、ヘッドアッセンブリ2400の移動により傾斜角まで傾斜され、ここで、傾斜角は、コンタクトリング2402に固定された基板のメッキ面/製造面と水平との間の角度として定義される。この傾斜角は、一般に、約3°乃至約30°であり、特に、約3°乃至約10°である。   [00130] When the substrate is secured to the contact ring 2402 by the thrust plate 2404, the lower portion of the head assembly 2400, ie, the combination of the contact ring 2402 and the thrust plate 2404, is pivoted to a tilt angle. The lower part of the head assembly is pivoted to its tilt angle by pivoting the head assembly about pivot point 2408. The lower portion of the head assembly 2400 is manipulated about a pivot point 2408, which causes the lower portion of the head assembly 2400 to pivot as indicated by arrow 2409 in FIG. The lower surface of the head assembly 2400 and the plating surface of the substrate positioned on the contact ring 2402 are inclined to the inclination angle by the movement of the head assembly 2400, where the inclination angle is the plating surface of the substrate fixed to the contact ring 2402. / Defined as the angle between the production plane and the horizontal. This inclination angle is generally from about 3 ° to about 30 °, in particular from about 3 ° to about 10 °.

[00131]ヘッドアッセンブリ2400が傾斜されると、これをZ方向に操作して浸漬プロセスを開始することができる。より詳細には、ヘッドアッセンブリ2400を、図25に示すように、矢印2501で指示された方向に操作して、コンタクトリング2402に位置された基板を、ヘッドアッセンブリ2400の下に位置されたメッキセル2504内に収容されたメッキ溶液に向けて運ぶことができる。図2に示すメッキセル200と一般的に同様のメッキセル2504は、メッキ溶液を収容するように構成される。メッキ容積は、一般に、メッキセル2504の内部堰内に収容されて、この内部堰の最上点2502をオーバーフローする。それ故、ヘッドアッセンブリ2400がメッキセル2504に向けて移動されると、コンタクトリング2402の下面、即ち傾斜角の結果としてメッキセル2504の最も近くに位置されたコンタクトリング2402の面は、ヘッドアッセンブリ2400がセル2502に向けて操作されたときにメッキ溶液に接触する。セル2502に向かってヘッドアッセンブリ2400を操作するプロセスは、更に、コンタクトリング2402に回転移動を与えることを含んでもよい。従って、浸漬プロセスの初期段階中に、コンタクトリング2402は、垂直即ちZ方向に操作されながら、ヘッドアッセンブリ2400を経て上方に延びる垂直軸の周りで回転もされる。一般に、コンタクトリング2402が回転される垂直軸は、基板面に一般に直交する。基板にバイアスを印加しながらメッキ溶液に基板を浸漬するプロセスは、2001年1月18日に「Reverse Voltage Bias for Use in Electro-Chemical Plating System」という名称で出願された共通に譲渡された米国特許出願第09/766,060号に説明されており、これは、1999年4月8日に出願された米国特許第6,258,220号の利益を請求するもので、これらは両方とも参考としてその全体をここに援用する。   [00131] Once the head assembly 2400 is tilted, it can be manipulated in the Z direction to begin the dipping process. More specifically, as shown in FIG. 25, the head assembly 2400 is operated in the direction indicated by the arrow 2501, so that the substrate positioned on the contact ring 2402 is moved to the plating cell 2504 positioned below the head assembly 2400. It can be transported towards the plating solution contained within. A plating cell 2504 generally similar to the plating cell 200 shown in FIG. 2 is configured to contain a plating solution. The plating volume is generally contained within the internal weir of the plating cell 2504 and overflows the uppermost point 2502 of this internal weir. Therefore, when the head assembly 2400 is moved toward the plating cell 2504, the bottom surface of the contact ring 2402, ie, the surface of the contact ring 2402 that is closest to the plating cell 2504 as a result of the tilt angle, causes the head assembly 2400 to Contact the plating solution when operated toward 2502. The process of manipulating head assembly 2400 toward cell 2502 may further include providing rotational movement to contact ring 2402. Thus, during the initial stages of the dipping process, the contact ring 2402 is also rotated about a vertical axis extending upwardly through the head assembly 2400 while being manipulated in the vertical or Z direction. In general, the vertical axis around which the contact ring 2402 is rotated is generally orthogonal to the substrate surface. The process of immersing a substrate in a plating solution while applying a bias to the substrate is a commonly assigned US patent filed January 18, 2001 under the name “Reverse Voltage Bias for Use in Electro-Chemical Plating System”. No. 09 / 766,060, which claims the benefit of US Pat. No. 6,258,220, filed April 8, 1999, both of which are incorporated by reference. This is incorporated herein in its entirety.

[00132]メッキセル2504内に収容されたメッキ溶液に基板が浸漬された状態になったときに、ヘッドアッセンブリ2400のZ移動が終了となり、コンタクトリング2402の傾斜位置が、図26に示すように、水平に戻される。垂直即ちZ方向移動の終了は、傾斜角が除去されたときにセル2504に収容されたメッキ溶液に基板を維持するように計算される。更に、本発明の実施形態は、傾斜角の除去、即ちコンタクトリング2402の水平位置への復帰を、コンタクトリング2402のメッキ溶液への垂直移動と同時に実行できることも意図している。従って、本発明の実施形態は、基板が傾斜角に位置された状態で基板を最初にメッキ溶液に接触させ、次いで、基板をメッキ溶液に浸漬し続けながら傾斜角を水平に復帰できることも意図している。このプロセスは、垂直操作及び傾斜角操作の両方を含む独特の移動を発生し、これは、浸漬プロセス中の気泡の発生及び基板面への付着を低減することが示されている。更に、浸漬プロセス中の基板の垂直及び枢着操作は、コンタクトリング2402の回転移動を含んでもよく、これは、浸漬プロセス中の気泡の発生及び基板面への付着を更に最小にすることが示されている。   [00132] When the substrate is immersed in the plating solution contained in the plating cell 2504, the Z movement of the head assembly 2400 is completed, and the inclined position of the contact ring 2402 is as shown in FIG. Return to level. The end of vertical or Z-direction movement is calculated to keep the substrate in the plating solution contained in cell 2504 when the tilt angle is removed. Furthermore, embodiments of the present invention also contemplate that removal of the tilt angle, i.e., returning the contact ring 2402 to a horizontal position, can be performed simultaneously with the vertical movement of the contact ring 2402 into the plating solution. Thus, embodiments of the present invention also contemplate that the substrate can be first contacted with the plating solution with the substrate positioned at the tilt angle, and then the tilt angle can be returned to horizontal while continuing to immerse the substrate in the plating solution. ing. This process generates unique movements that include both vertical and tilt angle operations, which have been shown to reduce bubble generation and adhesion to the substrate surface during the immersion process. Further, the vertical and pivoting operation of the substrate during the immersion process may include rotational movement of the contact ring 2402, which indicates that bubble formation and adhesion to the substrate surface during the immersion process is further minimized. Has been.

[00133]基板が、セル2504内に収容されたメッキ溶液に完全に浸漬されると、ヘッドアッセンブリ2400を垂直方向(下方)に更に操作して、基板をメッキ溶液へ更に浸漬させ、即ち図27に示すように、基板をメッキ溶液に更に即ちより深くに位置させることができる。また、このプロセスは、基板の回転を含んでもよく、これは、浸漬プロセス中に形成された気泡を基板面から追放するように働く。基板がメッキ溶液内の深部に位置されると、ヘッドアッセンブリ2400を、再び、ピボット点2408に周りで枢着回転し、従って、基板面を、図8に示すように、傾斜角に位置させることができる。更に、ヘッドアッセンブリ2400は、以前のステップにおいて基板を下方にメッキ溶液へと操作しただけであるから、図8に示す傾斜移動は、一般に、傾斜したコンタクトリングの高い側でメッキ溶液から基板面を持ち上げるものではない。より詳細には、ピボット点2408は、ヘッドアッセンブリ2400の中央に位置されているので、ヘッドアッセンブリがピボット点2408の周りでコンタクトリング2402を枢着回転すると、コンタクトリング2402の片側がメッキ溶液中へと更に浸漬される一方、コンタクトリング2402の反対側は枢着運動の結果としてメッキ溶液の表面に向かって上方に持ち上げられる。従って、基板は、浸漬されると、メッキ溶液内に維持されることが意図されるので、ヘッドアッセンブリ2400は、基板の少なくとも一部分をメッキ溶液から持ち上げることなく、コンタクトリング2402を図27に示す水平位置から、図28に示す傾斜位置へ移動するために、メッキ溶液中へと更に操作されねばならない。ヘッドアッセンブリ2400の最終的傾斜運動は、一般に、処理位置、即ちコンタクトリング2402により支持された基板が、メッキセル2502の下部に位置されたアノードに一般的に平行となる位置に、コンタクトリング2402を位置させることに対応する。更に、処理位置にコンタクトリング2402を位置させることは、メッキセルの下部に位置されたアノードに向けてヘッドアッセンブリ2400を更に操作して、基板のメッキ面をメッキ処理のためにアノードから特定の距離に位置できるようにすることを含んでもよい。   [00133] Once the substrate is fully immersed in the plating solution contained in the cell 2504, the head assembly 2400 is further manipulated vertically (downward) to further immerse the substrate in the plating solution, ie FIG. As shown, the substrate can be positioned further or deeper in the plating solution. The process may also include rotation of the substrate, which serves to expel bubbles formed during the dipping process from the substrate surface. When the substrate is positioned deep within the plating solution, the head assembly 2400 is pivoted again about the pivot point 2408 so that the substrate surface is positioned at a tilt angle as shown in FIG. Can do. Further, since the head assembly 2400 has only manipulated the substrate downward into the plating solution in the previous step, the tilt movement shown in FIG. 8 generally moves the substrate surface from the plating solution on the high side of the tilted contact ring. It is not something to lift. More specifically, pivot point 2408 is located in the center of head assembly 2400 so that when head assembly pivots contact ring 2402 about pivot point 2408, one side of contact ring 2402 enters the plating solution. While the other side of the contact ring 2402 is lifted upward toward the surface of the plating solution as a result of the pivoting movement. Thus, since the substrate is intended to be maintained in the plating solution when immersed, the head assembly 2400 does not lift at least a portion of the substrate from the plating solution, but the contact ring 2402 in the horizontal direction shown in FIG. In order to move from position to the tilt position shown in FIG. 28, it must be further manipulated into the plating solution. The final tilting movement of the head assembly 2400 generally positions the contact ring 2402 in a processing position, that is, a position where the substrate supported by the contact ring 2402 is generally parallel to the anode located at the bottom of the plating cell 2502. Corresponds to Furthermore, positioning the contact ring 2402 in the processing position further manipulates the head assembly 2400 toward the anode located at the bottom of the plating cell so that the plating surface of the substrate is at a specific distance from the anode for plating processing. It may also include enabling the position.

[00134]更に、本発明の浸漬プロセスは、気泡除去プロセスを更に向上させるように構成された振動運動を含んでもよい。より詳細には、ヘッドアッセンブリ2400は、第1の傾斜角と第2の傾斜角との間で振動式に前後に傾斜され、即ち基板がメッキ溶液に浸漬されたときに基板が第1角度と第2角度との間で数回傾斜されるようにすることができる。この傾斜運動は、素早く、即ち1秒当たり約2回の傾斜から1秒あたり約20回の傾斜まで行うことができる。この傾斜運動は、回転を付随してもよく、これは、基板面に付着する気泡の追放を更に容易にする。   [00134] Furthermore, the dipping process of the present invention may include an oscillating motion configured to further enhance the bubble removal process. More particularly, the head assembly 2400 is tilted back and forth in a vibrating manner between a first tilt angle and a second tilt angle, i.e., when the substrate is immersed in a plating solution, It can be inclined several times between the second angle. This tilting movement can be done quickly, ie from about 2 tilts per second to about 20 tilts per second. This tilting motion may be accompanied by rotation, which further facilitates the expulsion of bubbles adhering to the substrate surface.

[00135]また、本発明の浸漬プロセスは、メッキ溶液内での基板の垂直振動を含んでもよい。より詳細には、基板がメッキ溶液に浸漬されると、基板を上下に操作することができる。基板がメッキ溶液内で上方に持ち上げられると、基板の下の溶液の量が増加し、それ故、基板の下のエリアへの溶液の迅速な流れが形成される。同様に、基板が下げられると、その量が減少し、外側への溶液の流れが発生される。従って、垂直方向の基板の操作、即ち上下の繰り返し運動は、逆転即ち振動する流体の流れを基板面に生じさせる。この振動に回転を追加すると、基板面にわたる振動流体の流れが更に増加する。これらの振動する流体の流れは、気泡の除去を改善し、それ故、欠陥を減少することが示されている。   [00135] The dipping process of the present invention may also include vertical oscillation of the substrate within the plating solution. More specifically, once the substrate is immersed in the plating solution, the substrate can be manipulated up and down. As the substrate is lifted up in the plating solution, the amount of solution under the substrate increases, thus forming a rapid flow of solution to the area under the substrate. Similarly, when the substrate is lowered, its amount decreases and an outward solution flow is generated. Thus, vertical substrate manipulation, i.e., repetitive up and down motion, causes a reverse or oscillating fluid flow on the substrate surface. Adding rotation to this vibration further increases the flow of oscillating fluid across the substrate surface. These oscillating fluid flows have been shown to improve bubble removal and therefore reduce defects.

[00136]本発明の浸漬プロセスは、基板がメッキ溶液に浸漬されたときに基板の回転振動を更に含んでもよい。より詳細には、基板は、一般に、浸漬及びメッキの両プロセス中に回転される。この回転は、一般に、基板面に発生される欠乏メッキ溶液の循環により基板面における流体の流れを増加させる。また、この回転及び流体流れ特性は、浸漬プロセス中に、気泡除去を容易にするように使用することもできる。より詳細には、本発明の実施形態は、基板の浸漬中及び/又はその後に、基板を変化する回転速度及び変化する方向に回転できることも意図している。例えば、基板が溶液に浸漬されると、基板を先ず時計方向に所定時間中回転した後に、回転方向を反時計方向に所定の時間中切り換えることができる。回転方向は、用途に応じて、数回切り換えてもよいし、又は一回だけでもよい。   [00136] The immersion process of the present invention may further include rotational vibration of the substrate when the substrate is immersed in the plating solution. More particularly, the substrate is typically rotated during both the dipping and plating processes. This rotation generally increases the flow of fluid on the substrate surface by circulation of the deficient plating solution generated on the substrate surface. This rotational and fluid flow characteristics can also be used to facilitate bubble removal during the dipping process. More particularly, embodiments of the present invention also contemplate that the substrate can be rotated in varying speeds and directions during and / or after immersion of the substrate. For example, when the substrate is immersed in the solution, the substrate can be first rotated clockwise for a predetermined time, and then the rotation direction can be switched counterclockwise for a predetermined time. The direction of rotation may be switched several times or only once depending on the application.

[00137]更に、本発明の実施形態は、上述した振動方法を組み合せて実施してもよい。例えば、本発明の浸漬プロセスは、傾斜操作、回転操作、及び垂直操作、又はその任意の組み合せを含んでもよい。   [00137] Furthermore, embodiments of the present invention may be implemented by combining the vibration methods described above. For example, the dipping process of the present invention may include tilting operations, rotating operations, and vertical operations, or any combination thereof.

[00138]図29は、基板面が回転されずに電解溶液中に浸漬され且つ基板が水平から傾斜角に傾斜されるときの基板面を示す図である。この実施形態では、基板2907は、基板の縁が、基板2907の第1の縁2908において電解溶液に最初に接触するときに浸漬を開始する。基板支持部材又はヘッドアッセンブリの垂直運動が続くと、陰影付けされたエリア2909で示されたように、電解溶液に浸漬される基板の面積が比例的に増加する。しかしながら、陰影付けされたエリア2909は、全浸漬面積を表わしていないことに注意されたい。むしろ、エリア2909は、一般に、最も最近に浸漬される面積を表わし、それ故、基板の縁から、j+1と示された線までのエリアが、時間J+1における基板の全浸漬面積を表わす。それ故、電源が浸漬プロセス中に基板面にわたって一定の電流密度を与えるために、浸漬されている基板の経時変化面積を計算するか、さもなければ、推定又は決定し、これを使用して、電解溶液に浸漬された基板のエリアにわたり一定の電流密度を与えるのに必要な経時変化電流を決定することができる。従って、本発明の実施形態は、基板の浸漬速度の関数として基板へ電流を供給する。というのは、基板の浸漬速度、即ち基板がメッキ溶液へ浸漬される垂直方向速度が、浸漬プロセス中の基板の浸漬面積の変化に直接対応するからである。更に、基板は、一般に、浸漬プロセス中に回転されるが、面積の計算は、非回転実施形態でも不変である。というのは、基板の回転は、単位時間当たりにメッキ溶液に浸漬される基板の面積を増加も減少もしないからである。   [00138] FIG. 29 is a diagram illustrating the substrate surface when the substrate surface is immersed in the electrolyte solution without being rotated and the substrate is inclined from the horizontal to the inclination angle. In this embodiment, the substrate 2907 begins to dip when the edge of the substrate first contacts the electrolyte solution at the first edge 2908 of the substrate 2907. As the vertical movement of the substrate support member or head assembly continues, the area of the substrate immersed in the electrolytic solution increases proportionally, as indicated by the shaded area 2909. Note, however, that the shaded area 2909 does not represent the total immersion area. Rather, area 2909 generally represents the most recently immersed area, so the area from the edge of the substrate to the line labeled j + 1 represents the total immersed area of the substrate at time J + 1. Therefore, to provide a constant current density across the substrate surface during the immersion process, the power source calculates or otherwise estimates or determines the aging area of the substrate being immersed, and uses this The time-varying current required to provide a constant current density over the area of the substrate immersed in the electrolytic solution can be determined. Accordingly, embodiments of the present invention provide current to the substrate as a function of the substrate immersion rate. This is because the immersion rate of the substrate, i.e. the vertical rate at which the substrate is immersed in the plating solution, directly corresponds to the change in the immersion area of the substrate during the immersion process. Furthermore, although the substrate is typically rotated during the dipping process, the area calculation is unchanged in non-rotated embodiments. This is because the rotation of the substrate does not increase or decrease the area of the substrate immersed in the plating solution per unit time.

[00139]電解溶液中に浸漬される基板の経時変化面積の計算は、一般に、基板の浸漬部分の分区分の面積を増分的に計算し、次いで、それら区分を一緒に加算して、特定の時間中に浸漬される全面積を得ることを含む。この計算及び浸漬プロセス中の基板への電流の印加は、参考としてここに全体を援用する2002年4月29日に出願された「Apparatus and Method for Regulating the Electrical Power Applied to a Substrate During Immersion」と題する出願中の共通に譲渡された米国特許出願第10/135,546号に示されている。更に、この参照する特許出願は、一般に、浸漬バイアスを制御することに向けられるが、本出願人は、以下に更に述べるように、この方法を使用して除去バイアスを制御できることも意図している。   [00139] The calculation of the time-varying area of a substrate immersed in an electrolytic solution generally involves incrementally calculating the area of the subdivisions of the submerged portion of the substrate and then adding the sections together to provide a specific Including obtaining the total area immersed in time. This calculation and application of current to the substrate during the dipping process is described in “Apparatus and Method for Regulating the Electrical Power Applied to a Substrate During Immersion” filed on April 29, 2002, which is incorporated herein by reference in its entirety. In commonly assigned US patent application Ser. No. 10 / 135,546. Further, although this referenced patent application is generally directed to controlling immersion bias, Applicants also intend that this method can be used to control removal bias, as further described below. .

[00140]本発明の一実施形態では、基板に供給される電流は、浸漬表面積が時間の計算に基づいて増加するにつれて増加される。例えば、浸漬プロセスの全時間は、実験により決定することができる。その後、浸漬プロセスにおける経過時間と浸漬した表面積との間の相関を計算により決定することができる。従って、経過時間と浸漬面積との間の相関が決定されると、浸漬時間の増加に基づいて基板への電流及び供給を決定することができる。というのは、この時間は、浸漬面積に比例するからである。それ故、浸漬時間と浸漬表面積との間の相関が分かると、浸漬プロセス中に基板に供給される電流の比例的変化を含ませるように処理レシピを変更して、浸漬表面積にわたり均一な電流密度を、浸漬プロセス全体にわたって維持できるようにすることができる。   [00140] In one embodiment of the present invention, the current supplied to the substrate is increased as the immersion surface area increases based on time calculations. For example, the total time of the immersion process can be determined by experimentation. The correlation between the elapsed time in the dipping process and the dipped surface area can then be determined by calculation. Thus, once the correlation between elapsed time and immersion area is determined, the current and supply to the substrate can be determined based on the increase in immersion time. This is because this time is proportional to the immersion area. Therefore, once the correlation between immersion time and immersion surface area is known, the process recipe can be modified to include a proportional change in the current supplied to the substrate during the immersion process, resulting in a uniform current density across the immersion surface area. Can be maintained throughout the dipping process.

[00141]本発明の別の実施形態では、センサを使用して、浸漬プロセス中の基板の正確な半径方向位置即ち傾斜位置を決定することができる。したがって、この位置がコントローラへ送信され、コントローラは、次いで、浸漬面積をリアルタイムで計算することができる。次いで、この計算された浸漬面積を使用して、基板の浸漬面積にわたり均一な電流密度を維持するために基板に供給されるべき電流を決定することができる。測定プロセスの粒状性/増分区分サンプリングは、単位時間当たりにより多くの測定を行うだけで、ひいては、浸漬面積へ供給される電流を単位時間当たりにより大きく調整するだけで、増加することができる。ここに示す実施形態の最終結果は、基板の浸漬表面積にわたって均一な電流密度を与えることであるが、ここに示す実施形態は、非均一な浸漬プロセス中にも基板の浸漬面積にわたって均一な電流密度を与える。例えば、基板の浸漬速度が一定でないか、又は各浸漬プロセスの間にその反復性がない場合には、本発明を使用して、浸漬速度に関わらず基板の浸漬面積にわたり均一な電流密度を維持することができる。というのは、電流の計算が浸漬経過時間とは独立しているからである。それ故、ここに示す実施形態のフィードバックループ型システムは、浸漬プロセスの経過時間が多数の基板浸漬にわたって一定ではない特定構成の本発明の他の実施形態に勝る効果を発揮することができる。   [00141] In another embodiment of the present invention, a sensor can be used to determine the exact radial or tilt position of the substrate during the immersion process. This position is thus transmitted to the controller, which can then calculate the immersion area in real time. This calculated immersion area can then be used to determine the current to be supplied to the substrate to maintain a uniform current density over the substrate immersion area. The granularity / incremental segment sampling of the measurement process can be increased by only making more measurements per unit time and thus by adjusting the current supplied to the immersion area more greatly per unit time. The end result of the embodiment shown here is to give a uniform current density over the immersion surface area of the substrate, but the embodiment shown here is a uniform current density over the immersion area of the substrate even during a non-uniform immersion process. give. For example, if the substrate immersion rate is not constant or not repeatable during each immersion process, the present invention can be used to maintain a uniform current density across the substrate immersion area regardless of the immersion rate. can do. This is because the current calculation is independent of the soaking time. Therefore, the feedback loop type system of the embodiment shown here can provide an advantage over other embodiments of the present invention of a particular configuration where the elapsed time of the immersion process is not constant over multiple substrate immersions.

[00142]本発明の別の実施形態では、基板面にわたり均一な電流密度を維持するための方法が、メッキセルから基板を除去するプロセス中に使用される。例えば、基板のメッキプロセスが完了すると、浸漬プロセスのステップを逆にするように基板がメッキチャンバーから除去される。この逆の浸漬プロセスでは、浸漬プロセス中に維持される一定電流密度と同様に、均一性の変動を回避するために、基板の浸漬面にわたって一定の電流密度を維持することが望まれる。それ故、この逆の浸漬プロセスでは、基板へ供給される電流を、基板の浸漬面積が減少するにつれて減少させて、基板の浸漬面積にわたり均一な電流密度を維持できるようにする。この逆の浸漬プロセス中に基板への電流を制御するプロセスは、例えば、以前の実施形態で説明したように、フィードバックループ型システム又は経時変化電流制御型システムに対して実行される。実施される電流制御システムの形式に関わらず、この逆の浸漬プロセス中に基板へ供給される電流は、一般に、メッキ溶液中に浸漬されたままである基板の表面積に比例する。   [00142] In another embodiment of the present invention, a method for maintaining a uniform current density across the substrate surface is used during the process of removing the substrate from the plating cell. For example, once the substrate plating process is complete, the substrate is removed from the plating chamber to reverse the steps of the dipping process. In this reverse immersion process, it is desirable to maintain a constant current density across the immersion surface of the substrate to avoid variations in uniformity, as well as a constant current density maintained during the immersion process. Thus, in this reverse immersion process, the current supplied to the substrate is reduced as the substrate immersion area is reduced to maintain a uniform current density across the substrate immersion area. The process of controlling the current to the substrate during this reverse immersion process is performed, for example, on a feedback loop type system or a time-varying current control type system, as described in previous embodiments. Regardless of the type of current control system implemented, the current delivered to the substrate during this reverse immersion process is generally proportional to the surface area of the substrate that remains immersed in the plating solution.

[00143]メッキプロセスは、コンタクトリング2402を経て基板に電気的バイアスを印加することを含む。メッキバイアスは、順方向バイアスであり、即ちメッキバイアスは、基板がメッキセルにおいてアノード205よりも負に荷電されるように構成され、従って、メッキ溶液中の正に荷電された金属イオンが、負に荷電された基板をメッキすることになる。従来のメッキシステムでは、メッキプロセスが完了すると、電気的バイアスが終了となり、基板がメッキセルから除去される。しかしながら、上述したように、従来のメッキシステム及び方法は、一般に、メッキバイアスの終了とメッキ溶液からの基板の除去との間に少なくとも僅かな時間遅延を含む。この時間遅延の間に、基板がメッキ溶液に接触し、メッキ溶液はしばしばその性質が酸性であるから、メッキ溶液がこの時間遅延中にメッキ層の表面をエッチングすることになる。このエッチングは、メッキ層の滑らかな表面を粗面化させ、これは、CMPプロセスのようなその後の処理ステップにとって有益でない。   [00143] The plating process includes applying an electrical bias to the substrate via the contact ring 2402. The plating bias is a forward bias, i.e., the plating bias is configured such that the substrate is more negatively charged than the anode 205 in the plating cell, so that positively charged metal ions in the plating solution are negatively charged. The charged substrate will be plated. In conventional plating systems, when the plating process is complete, the electrical bias is terminated and the substrate is removed from the plating cell. However, as noted above, conventional plating systems and methods generally include at least a slight time delay between termination of the plating bias and removal of the substrate from the plating solution. During this time delay, the substrate comes into contact with the plating solution, and the plating solution is often acidic in nature, so the plating solution will etch the surface of the plating layer during this time delay. This etch roughens the smooth surface of the plating layer, which is not beneficial for subsequent processing steps such as a CMP process.

[00144]それ故、本発明の方法及び装置は、この遅延時間中に基板に順方向の基板除去バイアス(基板はアノードに対して負である)を印加するように構成される。この除去バイアスは、メッキ層の表面のエッチングを防止するように構成され、それ故、除去バイアスは、メッキ層の滑らかな表面を保存するように構成される。除去バイアスは、一般に、メッキバイアスが終了された直後に基板に印加され、即ちメッキバイアスから除去バイアスへの移行をシームレスとすることができ、順方向バイアスを印加せずに基板がメッキ溶液に露出されることがないようにする。除去バイアスは、メッキ層のエッチングを防止又は阻止するに充分であるように計算されるが、除去バイアスは、また、メッキ層の表面における堆積を最小にするようにも構成される。従って、除去バイアスは、システムのメッキ電位のすぐ上となるように構成できると共に、除去バイアスの駆動電流は、これを最小にし、即ちエッチングを防止するに足るだけの電流にする一方、メッキ層の滑らかな上面に著しい堆積を生じさせないものとすることができる。   [00144] Therefore, the method and apparatus of the present invention is configured to apply a forward substrate removal bias (the substrate is negative relative to the anode) to the substrate during this delay time. This removal bias is configured to prevent etching of the surface of the plating layer, and therefore the removal bias is configured to preserve the smooth surface of the plating layer. The removal bias is generally applied to the substrate immediately after the plating bias is completed, i.e., the transition from plating bias to removal bias can be seamless, and the substrate is exposed to the plating solution without applying a forward bias. So that it won't be. Although the removal bias is calculated to be sufficient to prevent or prevent etching of the plating layer, the removal bias is also configured to minimize deposition on the surface of the plating layer. Thus, the removal bias can be configured to be just above the plating potential of the system, and the removal bias drive current is minimized, i.e., sufficient to prevent etching, while the plating layer has It can be such that no significant deposition occurs on the smooth top surface.

[00145]上述した本発明の浸漬バイアス制御特徴と同様に、本発明の実施形態は、除去即ち回収バイアス中に印加される電流を制御するようにも構成される。例えば、コントローラ111を使用して、回収プロセス中に基板に印加される電流及び/又は電圧を制御することができる。回収中に基板に供給される電流又は電圧は、電気化学的メッキプロセスにおける堆積厚みが一般にメッキ溶液への露出時間の関数であるから、基板の他のエリアより長くメッキ溶液中に浸漬されたままとなる基板のエリアにおける付加的な堆積を防止するように制御できる。更に、電圧又は電流は、基板回収プロセス中に、基板の浸漬部分における電流密度が増加して、メッキ溶液中に浸漬されたままとなる基板の部分におけるメッキレートを一般に増加させることを防止するようにも制御できる。   [00145] Similar to the immersion bias control feature of the present invention described above, embodiments of the present invention are also configured to control the current applied during removal or recovery bias. For example, the controller 111 can be used to control the current and / or voltage applied to the substrate during the collection process. The current or voltage supplied to the substrate during recovery remains immersed in the plating solution longer than other areas of the substrate because the deposition thickness in an electrochemical plating process is generally a function of the exposure time to the plating solution. And can be controlled to prevent additional deposition in the area of the substrate. In addition, the voltage or current may prevent the current density in the submerged portion of the substrate from increasing during the substrate recovery process and generally increasing the plating rate in the portion of the substrate that remains immersed in the plating solution. Can also be controlled.

[00146]本発明の実施形態は、電圧制御システム(電圧を監視して調整し、印加電流又は電力を制御する制御システム)、又は電流制御システム(電流自体を監視して制御する制御システム)のいずれかを使用して、除去バイアスを制御することを意図している。電流制御システムは、全基板除去プロセス中に基板面にわたって一定の電流密度を維持することにより除去バイアスを制御するように使用できる。より詳細には、浸漬プロセス中に基板面にわたって一定の電流密度を維持することに関して上述したように、基板をメッキ溶液から除去するにつれて、除去バイアスを供給する電気回路の抵抗が変化する。この抵抗変化は、基板の浸漬された導電性表面積が減少する結果であり、これにより、回路の抵抗が増加する。それ故、回路の抵抗が増加し、浸漬表面積が減少するにつれて、本発明の電流制御システムは、これらの変化に反応して、基板へ供給される電流を減少し、基板の表面積にわたる電流密度が回収プロセスを通して一定のままであるようにする。制御システムは、閉ループ的に電流を制御することができ、即ち電流制御システムは、除去バイアス回路の抵抗又は他の電気的パラメータを測定し、それに応じて、そこに供給される電流を制御するように構成できる。或いはまた、電流制御システムは、基板の位置のような機械的条件又は別の測定可能な機械的パラメータに応答して除去バイアスを制御するように構成されてもよい。例えば、基板の位置、即ち回収プロセス中のメッキ溶液に対する基板の垂直位置を基板の浸漬表面積と相関させることができ、それ故、基板の位置を使用して、基板に印加される電気的除去バイアスを制御することもできる。更に、電気的バイアスを時間従属的に制御することもでき、即ち電気的除去バイアスを、除去プロセスを通して基板が継続する単位時間ごとに調整し、従って、基板の浸漬表面積を伴う除去プロセスの時間又は期間を本質的に同等とすることもできる。   [00146] Embodiments of the present invention include a voltage control system (a control system that monitors and regulates voltage and controls applied current or power), or a current control system (a control system that monitors and controls the current itself). Either is intended to control the removal bias. The current control system can be used to control the removal bias by maintaining a constant current density across the substrate surface during the entire substrate removal process. More specifically, as described above with respect to maintaining a constant current density across the substrate surface during the immersion process, the resistance of the electrical circuit supplying the removal bias changes as the substrate is removed from the plating solution. This resistance change is the result of a decrease in the immersed conductive surface area of the substrate, thereby increasing the resistance of the circuit. Therefore, as the resistance of the circuit increases and the immersion surface area decreases, the current control system of the present invention reacts to these changes to reduce the current delivered to the substrate and the current density across the surface area of the substrate is reduced. To remain constant throughout the recovery process. The control system can control the current in a closed loop, i.e., the current control system measures the resistance or other electrical parameter of the removal bias circuit and controls the current supplied thereto accordingly. Can be configured. Alternatively, the current control system may be configured to control the removal bias in response to mechanical conditions such as substrate position or another measurable mechanical parameter. For example, the position of the substrate, i.e., the vertical position of the substrate relative to the plating solution during the recovery process can be correlated with the immersion surface area of the substrate, and therefore the substrate position can be used to apply an electrical removal bias applied to the substrate. Can also be controlled. In addition, the electrical bias can be controlled in a time dependent manner, i.e., the electrical removal bias is adjusted for each unit time the substrate continues through the removal process, and thus the time of the removal process with the immersion surface area of the substrate or The periods can be essentially equivalent.

[00147]基板除去プロセス中に、基板を回転し、傾斜し、枢着回転し、垂直に操作し、水平に操作し、及び/又は音波又は超音波エネルギーで振動することができる。例えば、本発明の除去プロセス中に、除去バイアスが開始される間に基板をメッキ溶液中で回転することができる。次いで、基板を溶液から垂直方向に持ち上げて、溶液から基板を除去することができる。この持ち上げプロセス中に、基板の表面域がメッキ溶液から増分的に除去され、そこに供給される電気的バイアスは、上述したように、溶液から除去される(又は溶液中に留まる)表面積の割合に基づいて制御される。基板は、水平位置、即ち基板面が堰型メッキ装置に収容されたメッキ溶液の上面に一般に平行となる位置に保持することができる。或いはまた、基板面を水平から傾斜してもよく、即ち基板面と堰型メッキプレート内のメッキ溶液の上面との間に傾斜角が形成されるように基板面を位置してもよい。この構成では、基板を溶液から垂直に移動又は持ち上げるときに、基板面とメッキ溶液の上面との間の傾斜角が一定のままとされる。しかしながら、本発明の実施形態は、除去プロセス中に傾斜角を変化できることも意図している。例えば、溶液からの基板の垂直移動で傾斜角が一定のままとならず、むしろ、基板が除去されるにつれて傾斜角が増加又は減少するように、傾斜角を基板除去プロセス中に増加又は減少してもよい。   [00147] During the substrate removal process, the substrate can be rotated, tilted, pivoted, manipulated vertically, manipulated horizontally, and / or vibrated with sonic or ultrasonic energy. For example, during the removal process of the present invention, the substrate can be rotated in the plating solution while the removal bias is initiated. The substrate can then be lifted vertically from the solution to remove the substrate from the solution. During this lifting process, the surface area of the substrate is incrementally removed from the plating solution and the electrical bias supplied thereto is the percentage of surface area that is removed from (or remains in solution) as described above. Controlled based on The substrate can be held in a horizontal position, that is, a position where the substrate surface is generally parallel to the top surface of the plating solution contained in the weir-type plating apparatus. Alternatively, the substrate surface may be inclined from the horizontal, that is, the substrate surface may be positioned so that an inclination angle is formed between the substrate surface and the upper surface of the plating solution in the weir-type plating plate. In this configuration, the tilt angle between the substrate surface and the top surface of the plating solution remains constant as the substrate is moved or lifted vertically from the solution. However, embodiments of the present invention also contemplate that the tilt angle can be changed during the removal process. For example, the tilt angle may be increased or decreased during the substrate removal process so that the tilt angle does not remain constant with vertical movement of the substrate from the solution, but rather increases or decreases as the substrate is removed. May be.

[00148]除去プロセス中に、例えば、基板を約5rpm乃至約100rpm、より詳細には、約20rpm乃至約60rpmで回転してもよい。基板の傾斜角は、約3°乃至約30°、より詳細には、約5°ないし約20°でよい。また、傾斜角は、除去プロセス中に、増加又は減少されてもよいし、枢着回転又は振動されてもよい。除去プロセス中に基板に印加される電気的バイアスは、約0.5mA/cm乃至約5mA/cm、より詳細には、約0.5mA/cm乃至約1mA/cm、又は更に詳細には、約1.0mA/cm乃至約3mA/cmの電流密度を基板面にわたって発生するように構成できる。除去中に基板に印加される電圧は、例えば、約0.3ボルトないし約10ボルトでよく、より詳細には、約0.8ボルトないし約5ボルトでよい。 [00148] During the removal process, for example, the substrate may be rotated from about 5 rpm to about 100 rpm, and more particularly from about 20 rpm to about 60 rpm. The tilt angle of the substrate may be about 3 ° to about 30 °, more specifically about 5 ° to about 20 °. Also, the tilt angle may be increased or decreased during the removal process, or pivoted or vibrated. The electrical bias applied to the substrate during the removal process is about 0.5 mA / cm 3 to about 5 mA / cm 3 , more specifically about 0.5 mA / cm 3 to about 1 mA / cm 3 , or more Can be configured to generate a current density of about 1.0 mA / cm 3 to about 3 mA / cm 3 across the substrate surface. The voltage applied to the substrate during removal may be, for example, from about 0.3 volts to about 10 volts, and more specifically from about 0.8 volts to about 5 volts.

[00149]以上、本発明の実施形態を説明したが、本発明の基本的な範囲から逸脱せずに、他の及び更に別の実施形態を案出することもでき、従って、本発明の範囲は、特許請求の範囲によって限定されるものとする。   [00149] While embodiments of the present invention have been described, other and further embodiments may be devised without departing from the basic scope of the present invention, and thus the scope of the present invention. Is intended to be limited by the scope of the claims.

本発明の電気化学的メッキシステムの一実施形態を示す上面図である。It is a top view which shows one Embodiment of the electrochemical plating system of this invention. 本発明の電気化学的メッキセルに使用されるメッキセルの実施形態を例示する図である。It is a figure which illustrates embodiment of the plating cell used for the electrochemical plating cell of this invention. 本発明のアニールシステムを例示する斜視図である。It is a perspective view which illustrates the annealing system of the present invention. 本発明のアニールチャンバーを例示する上方斜視図である。It is an upper perspective view which illustrates the annealing chamber of this invention. 本発明のロボットブレードを例示する下方斜視図である。It is a lower perspective view which illustrates the robot blade of this invention. アニールチャンバーの加熱プレートの斜視図兼部分断面図である。It is a perspective view and partial sectional view of a heating plate of an annealing chamber. 加熱プレートの下部の斜視図である。It is a perspective view of the lower part of a heating plate. 本発明の基板スピンすすぎ乾燥セルを例示する部分斜視図兼断面図である。It is a fragmentary perspective view and sectional view which illustrate a substrate spin rinse dry cell of the present invention. 本発明の別の基板スピンすすぎ乾燥セルを例示する部分斜視図兼断面図である。It is a fragmentary perspective view and sectional view which illustrate another substrate spin rinse dry cell of the present invention. 本発明のスピンすすぎ乾燥セルに対する基板係合フィンガーを例示する上方斜視図で、フィンガーが閉位置にあるところを示した図である。It is the upper perspective view which illustrates the board engagement finger to the spin rinse dry cell of the present invention, and is a figure showing the finger in a closed position. 本発明のスピンすすぎ乾燥セルに対する基板係合フィンガーを例示する上方斜視図で、フィンガーが開位置にあるところを示した図である。It is the upper perspective view which illustrates the board engagement finger to the spin rinse dry cell of the present invention, and is a figure showing the finger in an open position. 本発明のスピンすすぎ乾燥セルに対する基板係合フィンガーを例示する側面斜視図で、フィンガーが閉位置にあるところを示した図である。It is the side perspective view which illustrates the substrate engagement finger to the spin rinse dry cell of the present invention, and is a figure showing the finger in a closed position. 本発明のスピンすすぎ乾燥セルに対する基板係合フィンガーを例示する側面斜視図で、フィンガーが開位置にあるところを示した図である。It is the side perspective view which illustrates the board engagement finger to the spin rinse dry cell of the present invention, and is the figure showing the place which has a finger in an open position. 本発明のハブアッセンブリを例示する断面図である。It is sectional drawing which illustrates the hub assembly of this invention. ハブアッセンブリの下部を示す上方斜視図である。It is an upper perspective view which shows the lower part of a hub assembly. 本発明のベベル洗浄セルを例示する上方斜視図である。It is an upper perspective view which illustrates the bevel washing cell of the present invention. 本発明のベベル洗浄セルに対する背面流体ディスペンスマニホールドを例示する上方斜視図である。FIG. 6 is a top perspective view illustrating a backside fluid dispense manifold for the bevel wash cell of the present invention. 本発明の基板センタリングメカニズムを例示する斜視図である。It is a perspective view which illustrates the substrate centering mechanism of the present invention. 本発明の基板センタリング部材を例示する断面図である。It is sectional drawing which illustrates the board | substrate centering member of this invention. 本発明の基板センタリング部材を例示する上面図である。It is a top view which illustrates the board | substrate centering member of this invention. 本発明の流体配送システムを例示する図である。It is a figure which illustrates the fluid delivery system of this invention. 本発明のタンク及びコンジット構成を例示する図である。It is a figure which illustrates the tank and conduit structure of this invention. 本発明の流体タンクの内部コンポーネントを示す斜視図である。It is a perspective view which shows the internal component of the fluid tank of this invention. 本発明の流体タンクを例示する平面図である。It is a top view which illustrates the fluid tank of this invention. 本発明の流体タンクの内壁コンポーネントを例示する斜視図である。It is a perspective view which illustrates the inner wall component of the fluid tank of this invention. 本発明のタンクを例示する部分斜視図兼断面図である。It is a fragmentary perspective view and sectional view which illustrate the tank of the present invention. 傾斜プロセス中のメッキセル及びヘッドアッセンブリを示す断面図である。It is sectional drawing which shows the plating cell and head assembly in an inclination process. 浸漬プロセス中、即ち垂直動作中のメッキセル及びヘッドアッセンブリを示す断面図である。2 is a cross-sectional view showing a plating cell and a head assembly during an immersion process, that is, during a vertical operation. 浸漬後の傾斜プロセス中のメッキセル及びヘッドアッセンブリを示す断面図である。It is sectional drawing which shows the plating cell and head assembly in the inclination process after immersion. 浸漬プロセス中のメッキセル及びヘッドアッセンブリを示す断面図で、ヘッドアッセンブリが基板をメッキ溶液中の深部に位置させるところを示す図である。It is sectional drawing which shows the plating cell and head assembly in an immersion process, and is a figure which shows the place where a head assembly positions a board | substrate in the plating solution deep. 処理位置に位置されたメッキセル及びヘッドアッセンブリの断面図である。It is sectional drawing of the plating cell and head assembly which were located in the process position. 浸漬中の基板エリアを示す図である。It is a figure which shows the board | substrate area during immersion.

符号の説明Explanation of symbols

100…ECPシステム、102、104、106、108、110、112、114、116…処理場所、111…プロセスコントローラ、113…プラットホーム(メインフレーム)、114、116…処理セル、115…リンクトンネル、120…メインフレームロボット、130…ファクトリーインターフェイス、132…ロボット、134…基板収容カセット、135…アニールステーション、136…冷却プレート、137…加熱プレート、140…ロボット、200…メッキセル、201…外側深皿、202…内側深皿、203…フレーム部分、204…ベース部材、205…アノード部材、206…メンブレーン支持アッセンブリ、207…スロット、208…メンブレーン、209…流体入口/排出口、210…拡散プレート、300…スタック型アニールシステム、301…フレーム、302…アニールチャンバー、304…流体及びガス供給アッセンブリ、306…電気的システムコントローラ、400…処理容積部、401…チャンバー本体、402…加熱プレート、404…冷却プレート、406…基板搬送メカニズム、408…基板支持部材/ブレード、410…基板支持タブ、412…ロボット、414…アクセスドア、416…ノッチ、418…基板移送メカニズムアクチュエータアッセンブリ、420…冷却流体接続部、422…真空アパーチャー、424…ポンプダウンアパーチャー、426…ガスディスペンスポート、500…強化ブレード部材、501…一体的フレーム部材、502…基板支持リング又は部材、503…基板支持タブ、600…加熱素子、604…サーモカップル、606…ステム、608…ベース部材、800…基板スピンすすぎ乾燥セル、801…流体ボウル/本体、802…回転可能なハブ、803…基板支持フィンガー、804…基板、805…ドーム部材、806…流体マニホールド、807…ガスノズル、808…流体ディスペンスノズル、810…ガスディスペンスノズル、812…環状シールド部材、814…キャッチカップ、816…カーブした面、818…減圧領域、1000…直立した枢着型エアホイル/クランプ部材、1001…内部固定ポスト、1002…ピボット点、1004…上面、1005…滑らかな面、1006…チャンネル、1007…ベース、1008…下部アクチュエータ部分、1010…傾斜面、1101…コンジット、1104…ガスディスペンスパージポート、1300…ベベル洗浄セル又はチャンバー、1301…直立した壁部分、1302…排出深皿、1303…基板チャック、1304…基板センタリングピン、1305…すすぎ溶液ディスペンスアーム、1306…エッチング溶液ディスペンスアーム、1400…マニホールド、1500…基板センタリングメカニズム、1502…リンケージ、1503、1504…操作アーム、1505…フレーム部材、1506…リセプタクル、1601…キャップ部材、1602…中央部分、1603…基板センタリングポスト、1604…コア、1605…スリーブ部材、1811…メッキ溶液配送システム、1802…添加物源、1804…電解液源、1806…加速剤源、1808…ならし剤源、1810…抑制剤源、1811…メッキ溶液配送システム、1812…ドーズポンプ、1814…大量蓄積容器、1816…緩衝容器、1830…第2ポンプアッセンブリ、1832…第2マニホールド、1834…バルブ列、1836…サンプルポート、1842…脱イオン水源、1844…非反応性ガス源、1850…第1のガス供給ライン、1852…第2の配送ライン、2400…ヘッドアッセンブリ、2402…コンタクトリング、2404…スラストプレート、2406…アクセススペース、2504…メッキセル DESCRIPTION OF SYMBOLS 100 ... ECP system, 102, 104, 106, 108, 110, 112, 114, 116 ... Processing place, 111 ... Process controller, 113 ... Platform (mainframe), 114, 116 ... Processing cell, 115 ... Link tunnel, 120 ... main frame robot, 130 ... factory interface, 132 ... robot, 134 ... substrate housing cassette, 135 ... annealing station, 136 ... cooling plate, 137 ... heating plate, 140 ... robot, 200 ... plating cell, 201 ... outer deep dish, 202 ... inner basin, 203 ... frame portion, 204 ... base member, 205 ... anode member, 206 ... membrane support assembly, 207 ... slot, 208 ... membrane, 209 ... fluid inlet / outlet, 210 ... diffusion pre 300 ... stacked annealing system, 301 ... frame, 302 ... anneal chamber, 304 ... fluid and gas supply assembly, 306 ... electric system controller, 400 ... processing volume, 401 ... chamber body, 402 ... heating plate, 404 ... Cooling plate, 406 ... Substrate transport mechanism, 408 ... Substrate support member / blade, 410 ... Substrate support tab, 412 ... Robot, 414 ... Access door, 416 ... Notch, 418 ... Substrate transfer mechanism actuator assembly, 420 ... Cooling fluid connection 422 ... Vacuum aperture 424 ... Pump down aperture 426 ... Gas dispense port 500 ... Strengthening blade member 501 ... Integral frame member 502 ... Substrate support ring or member 503 ... Substrate support tab 00 ... heating element, 604 ... thermocouple, 606 ... stem, 608 ... base member, 800 ... substrate spin rinse drying cell, 801 ... fluid bowl / body, 802 ... rotatable hub, 803 ... substrate support finger, 804 ... substrate 805 ... Dome member, 806 ... Fluid manifold, 807 ... Gas nozzle, 808 ... Fluid dispense nozzle, 810 ... Gas dispense nozzle, 812 ... Annular shield member, 814 ... Catch cup, 816 ... Curved surface, 818 ... Depressurized region, 1000 ... Upright pivoted airfoil / clamp member, 1001 ... Internal fixed post, 1002 ... Pivot point, 1004 ... Upper surface, 1005 ... Smooth surface, 1006 ... Channel, 1007 ... Base, 1008 ... Lower actuator part, 1010 ... Inclined surface 1101 ... Koji 1104 ... Gas dispense purge port, 1300 ... Bevel cleaning cell or chamber, 1301 ... Upright wall portion, 1302 ... Drain pan, 1303 ... Substrate chuck, 1304 ... Substrate centering pin, 1305 ... Rinse solution dispensing arm, 1306 ... Etching solution dispensing arm, 1400 ... Manifold, 1500 ... Substrate centering mechanism, 1502 ... Linkage, 1503 and 1504 ... Operation arm, 1505 ... Frame member, 1506 ... Receptacle, 1601 ... Cap member, 1602 ... Center part, 1603 ... Substrate centering Post, 1604 ... Core, 1605 ... Sleeve member, 1811 ... Plating solution delivery system, 1802 ... Additive source, 1804 ... Electrolyte source, 1806 ... Accelerator source, 1808 ... Leveling agent , 1810 ... inhibitor source, 1811 ... plating solution delivery system, 1812 ... dose pump, 1814 ... mass storage container, 1816 ... buffer container, 1830 ... second pump assembly, 1832 ... second manifold, 1834 ... valve train, 1836 ... sample Port, 1842 ... Deionized water source, 1844 ... Non-reactive gas source, 1850 ... First gas supply line, 1852 ... Second delivery line, 2400 ... Head assembly, 2402 ... Contact ring, 2404 ... Thrust plate, 2406 ... Access space, 2504 ... Plating cell

Claims (22)

メインフレームに位置された基板メッキセルであって、分離されたカソード液及びアノード液容積部を含んでいる基板メッキセルと、
上記メインフレームに位置された基板ベベル洗浄セルと、
上記メインフレームに位置された基板スピンすすぎ乾燥セルと、
上記メインフレームと連通するように位置されたスタック型基板アニールステーションであって、その各チャンバーに、基板加熱プレート及び基板冷却プレートが隣接して位置されているようなスタック型基板アニールステーションと、
上記各々のセルと上記アニールステーションとの間で基板を移送するように構成された少なくとも1つの基板移送ロボットと、
を備えた電気化学的メッキシステム。
A substrate plating cell located in the main frame, comprising a separated catholyte and anolyte volume;
A substrate bevel cleaning cell located in the main frame;
A substrate spin rinse drying cell located on the main frame;
A stack type substrate annealing station positioned so as to communicate with the main frame, the stack type substrate annealing station having a substrate heating plate and a substrate cooling plate positioned adjacent to each other in each chamber;
At least one substrate transfer robot configured to transfer a substrate between each of the cells and the annealing station;
Electrochemical plating system with
上記少なくとも1つのスピンすすぎ乾燥セルは、
直立した円筒壁を有するセルボウルと、
上記直立した円筒壁の頂部に位置された環状で且つ内方にカーブした減圧面と、
上記直立した円筒壁の上部から半径方向内方に延びる流体受け入れシールドと、
上記セルボウルの中央に位置された回転可能な基板支持部材と、
上記支持部材に位置された基板の上面へすすぎ溶液をディスペンスするように構成された流体ディスペンスノズルと、
を備えた、請求項1に記載のシステム。
The at least one spin rinse drying cell is
A cell bowl having an upright cylindrical wall;
An annular and inwardly curved decompression surface located at the top of the upright cylindrical wall;
A fluid receiving shield extending radially inward from the top of the upstanding cylindrical wall;
A rotatable substrate support member positioned in the center of the cell bowl;
A fluid dispensing nozzle configured to dispense a rinsing solution onto an upper surface of a substrate positioned on the support member;
The system of claim 1, comprising:
上記少なくとも1つのメッキセルは、
オーバーフロー堰を有するメッキセルボウルと、
上記セルボウルに位置されたアノードと、
上記アノードと上記オーバーフロー堰との間で上記セルボウルにわたって位置されたイオンメンブレーンと、
上記メンブレーンと上記オーバーフロー堰との間で上記セルボウルにわたって位置された拡散部材と、
を備えた、請求項1に記載のシステム。
The at least one plating cell is
A plating cell bowl having an overflow weir;
An anode located in the cell bowl;
An ion membrane positioned across the cell bowl between the anode and the overflow weir;
A diffusing member positioned over the cell bowl between the membrane and the overflow weir;
The system of claim 1, comprising:
上記拡散部材は、流体浸透性で多孔性のセラミック部材を含む、請求項3に記載のシステム。   The system of claim 3, wherein the diffusion member comprises a fluid permeable and porous ceramic member. 上記メンブレーンはカチオンメンブレーンを含む、請求項3に記載のシステム。   4. The system of claim 3, wherein the membrane comprises a cationic membrane. 上記メッキセルは、
上記メンブレーンと上記オーバーフロー堰との間の上記カソード液容積部へカソード溶液をディスペンスするように位置されたカソード液流体入口と、
上記メンブレーンの下の上記セルボウルの容積部へアノード溶液をディスペンスするように位置されたアノード液流体入口と、
を備えた、請求項5に記載のシステム。
The plating cell is
A catholyte fluid inlet positioned to dispense a catholyte solution into the catholyte volume between the membrane and the overflow weir;
An anolyte fluid inlet positioned to dispense an anolyte solution into the volume of the cell bowl below the membrane;
The system of claim 5 comprising:
上記スタック型基板アニールステーションは、上記加熱プレート及び上記冷却プレートに隣接して位置された基板移送ロボットであって、上記加熱プレートと上記冷却プレートとの間で基板を移送するように構成された基板移送ロボットを備えた、請求項1に記載のシステム。   The stack type substrate annealing station is a substrate transfer robot positioned adjacent to the heating plate and the cooling plate, and is configured to transfer a substrate between the heating plate and the cooling plate. The system according to claim 1, comprising a transfer robot. 上記基板ベベル洗浄セルは、
基板センタリングアッセンブリと、
回転可能な基板支持部材と、
上記ベベル洗浄セル内で処理されている基板の除外ゾーンへエッチング剤溶液をディスペンスするように位置された流体ディスペンスノズルと、
を備えた、請求項1に記載のシステム。
The substrate bevel cleaning cell is
A substrate centering assembly;
A rotatable substrate support member;
A fluid dispensing nozzle positioned to dispense an etchant solution into an exclusion zone of a substrate being processed in the bevel cleaning cell;
The system of claim 1, comprising:
上記基板センタリングアッセンブリは、協働して回転し得る複数の基板支持センタリングピンを備え、該基板支持センタリングピンの各々は、該センタリングピンの垂直軸上に位置された持ち上がった基板支持部分と、偏心して位置された基板センタリングポストとを有する、請求項8に記載のシステム。   The substrate centering assembly includes a plurality of substrate support centering pins that can rotate in cooperation with each other, each of the substrate support centering pins being offset from a raised substrate support portion positioned on a vertical axis of the centering pin. 9. The system of claim 8, comprising a centered substrate post located in the center. 上記個々のメッキセルの各々へ少なくとも2つの異なるメッキ化学物質を供給するように構成された流体配送システムを備えた、請求項1に記載のシステム。   The system of claim 1, comprising a fluid delivery system configured to supply at least two different plating chemistries to each of the individual plating cells. 共通プラットホームに位置された複数の電気化学的メッキセルと、
上記プラットホームに位置された洗浄セルと、
上記プラットホームと連通するように位置されたアニールチャンバーと、
上記プラットホームと連通すると共に、上記複数のメッキセルと流体連通するように位置された複数の化学物質の流体配送システムであって、複数の流体溶液を混合して上記複数のメッキセルの各々へ分配するように構成された流体配送システムと、
を備えた複数の化学物質メッキシステム。
A plurality of electrochemical plating cells located on a common platform;
A washing cell located on the platform;
An annealing chamber positioned to communicate with the platform;
A plurality of chemical fluid delivery systems positioned in fluid communication with the platform and in fluid communication with the plurality of plating cells, wherein the fluid solutions are mixed and distributed to each of the plurality of plating cells. A fluid delivery system configured to:
With multiple chemical plating systems.
上記電気化学的メッキセルは、
セルボウルの頂部に位置されたオーバーフロー堰と、
上記セルボウルに位置されたアノードと、
上記アノードと上記オーバーフロー堰との間で上記セルボウルにわたって位置されたイオンメンブレーンと、
上記メンブレーンと上記オーバーフロー堰との間で上記セルボウルにわたって位置された拡散部材と、
を備えた、請求項11に記載のメッキシステム。
The electrochemical plating cell is
An overflow weir located at the top of the cell bowl;
An anode located in the cell bowl;
An ion membrane positioned across the cell bowl between the anode and the overflow weir;
A diffusing member positioned over the cell bowl between the membrane and the overflow weir;
The plating system according to claim 11, comprising:
上記イオンメンブレーンは、更に、上記メッキセルを、上記メンブレーンの下のアノード液区画と、上記メンブレーンの上のカソード液区画とに分離するように構成されたカチオンメンブレーンを備えた、請求項12に記載のメッキシステム。   The ion membrane further comprises a cationic membrane configured to separate the plating cell into an anolyte compartment below the membrane and a catholyte compartment above the membrane. 12. The plating system according to 12. 上記複数の化学物質の流体配送システムは、上記カソード液区画にカソード液メッキ溶液を、且つ上記アノード液区画にアノード液の溶液を供給するように構成される、請求項13に記載のメッキシステム。   14. The plating system of claim 13, wherein the plurality of chemical fluid delivery systems are configured to supply a catholyte plating solution to the catholyte compartment and an anolyte solution to the anolyte compartment. 上記洗浄セルは基板スピンすすぎ乾燥セルを含む、請求項11に記載のメッキシステム。   The plating system of claim 11, wherein the cleaning cell comprises a substrate spin rinse drying cell. 上記洗浄セルは基板ベベル洗浄セルを含む、請求項11に記載のメッキシステム。   The plating system of claim 11, wherein the cleaning cell includes a substrate bevel cleaning cell. 上記ベベル洗浄セルは、
回転可能な真空チャックと、
上記真空チャックの半径方向外方に位置された複数の基板センタリグポストと、
上記真空チャックに位置された基板のベベルにエッチング剤溶液をディスペンスするように位置された可動の流体ディスペンスノズルと、
を備えた、請求項11に記載のメッキシステム。
The bevel washing cell is
A rotatable vacuum chuck,
A plurality of substrate center rig posts positioned radially outward of the vacuum chuck;
A movable fluid dispensing nozzle positioned to dispense an etchant solution onto a substrate bevel positioned at the vacuum chuck;
The plating system according to claim 11, comprising:
上記複数の基板センタリングポストは、上記センタリングポストの長手軸に位置された持ち上がった基板支持部分と、偏心して位置されたセンタリングポストとを備えた、請求項17に記載のメッキシステム。   The plating system according to claim 17, wherein the plurality of substrate centering posts includes a lifted substrate support portion positioned on a longitudinal axis of the centering post and a centering post positioned eccentrically. 上記アニールチャンバーは、スタック型アニールシステムを構成し、該スタックの各アニールチャンバーは、加熱プレートと、冷却プレートと、ガス分配ノズルとを含む、請求項11に記載のメッキシステム。   The plating system according to claim 11, wherein the annealing chamber constitutes a stacked annealing system, and each annealing chamber of the stack includes a heating plate, a cooling plate, and a gas distribution nozzle. 処理プラットホームに位置された電気化学的メッキセルであって、
メッキ溶液を収容するように構成され、且つオーバーフロー堰が位置されているセル本体、
上記セル本体に位置されたアノード、
上記アノードの上で且つ上記オーバーフロー堰の下の位置において上記セル本体にわたり位置されたイオンメンブレーンであって、該メンブレーンの下のアノード液区画を該メンブレーンの上のカソード液区画から分離するようなイオンメンブレーン、及び
上記カソード液区画において上記セル本体にわたって位置された多孔性拡散部材、
を含むものである電気化学的メッキセルと、
上記処理プラットホームに位置された基板洗浄セルと、
前記処理プラットホームと連通するように位置されたスタック型基板アニールステーションと、
を備えた電気化学的メッキシステム。
An electrochemical plating cell located on the processing platform,
A cell body configured to contain a plating solution and in which an overflow weir is located;
An anode located in the cell body;
An ion membrane positioned over the cell body at a location above the anode and below the overflow weir, separating the anolyte compartment below the membrane from the catholyte compartment above the membrane. An ion membrane, and a porous diffusion member positioned over the cell body in the catholyte compartment,
An electrochemical plating cell that includes:
A substrate cleaning cell located on the processing platform;
A stacked substrate annealing station positioned to communicate with the processing platform;
Electrochemical plating system with
上記基板洗浄セルは、基板スピンすすぎ乾燥セル及び基板ベベル洗浄セルの少なくとも1つを含む、請求項20に記載のメッキシステム。   21. The plating system of claim 20, wherein the substrate cleaning cell includes at least one of a substrate spin rinse drying cell and a substrate bevel cleaning cell. 上記スタック型基板アニールステーションは、複数のスタック型アニールチャンバーを備え、該スタック型アニールチャンバーの各々は、
上記チャンバーに位置された加熱プレートと、
上記チャンバーに位置された冷却プレートと、
上記加熱プレートと冷却プレートとの間で基板を移送するように位置された基板移送ロボットと、
上記チャンバーの内部と流体連通するガスディスペンスノズルと、
を備えた、請求項20に記載のメッキシステム。
The stack type substrate annealing station includes a plurality of stack type annealing chambers, and each of the stack type annealing chambers includes:
A heating plate located in the chamber;
A cooling plate located in the chamber;
A substrate transfer robot positioned to transfer a substrate between the heating plate and the cooling plate;
A gas dispensing nozzle in fluid communication with the interior of the chamber;
The plating system according to claim 20, comprising:
JP2006513120A 2003-04-18 2004-04-16 Multiple chemical plating systems Pending JP2007525591A (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US46386203P 2003-04-18 2003-04-18
US46395603P 2003-04-18 2003-04-18
US46397003P 2003-04-18 2003-04-18
US46386103P 2003-04-18 2003-04-18
US46386003P 2003-04-18 2003-04-18
PCT/US2004/012012 WO2004094702A2 (en) 2003-04-18 2004-04-16 Multi-chemistry plating system

Publications (1)

Publication Number Publication Date
JP2007525591A true JP2007525591A (en) 2007-09-06

Family

ID=33314528

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006513120A Pending JP2007525591A (en) 2003-04-18 2004-04-16 Multiple chemical plating systems

Country Status (4)

Country Link
EP (1) EP1694885A4 (en)
JP (1) JP2007525591A (en)
TW (1) TWI265216B (en)
WO (1) WO2004094702A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100092729A (en) * 2009-02-13 2010-08-23 주식회사 뉴파워 프라즈마 Substrate gilding apparatus
JP2013526056A (en) * 2010-04-27 2013-06-20 テル エフエスアイ インコーポレイテッド Wet processing of microelectronic substrates by controlling fluid mixing near the substrate surface
KR20150030623A (en) * 2013-09-12 2015-03-20 어플라이드 머티어리얼스, 인코포레이티드 Anneal module for semiconductor wafers

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4996125B2 (en) * 2005-04-22 2012-08-08 ルネサスエレクトロニクス株式会社 Plating method, semiconductor device manufacturing method using the same, and plating apparatus
US20060237319A1 (en) 2005-04-22 2006-10-26 Akira Furuya Planting process and manufacturing process for semiconductor device thereby, and plating apparatus
CN101218067B (en) * 2005-07-09 2011-05-18 Tbw工业有限公司 Enhanced end effector arm arrangement for CMP pad conditioning
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
CN101484974B (en) 2006-07-07 2013-11-06 Fsi国际公司 Barrier structure, device and method to process microelectronic workpieces
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR102013906B1 (en) * 2014-10-08 2019-08-23 버슘머트리얼즈 유에스, 엘엘씨 Low pressure fluctuation flow control apparatus and method
JP6833685B2 (en) 2014-11-10 2021-02-24 ブルックス オートメーション インコーポレイテッド Tool automatic teaching method and equipment

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62101045A (en) * 1985-10-28 1987-05-11 Toshiba Corp Center aligner for wafer
JPH0414237A (en) * 1990-05-07 1992-01-20 Tokyo Electron Ltd Semiconductor manufacturing equipment
JPH09260338A (en) * 1996-03-19 1997-10-03 Nippon Steel Corp Rotary applicator
JP2000084503A (en) * 1998-07-13 2000-03-28 Kokusai Electric Co Ltd Fluid treatment of material to be treated and device therefor
JP2000319797A (en) * 1999-03-11 2000-11-21 Ebara Corp Plating device
JP2001068434A (en) * 1999-08-25 2001-03-16 Ebara Corp Copper plating device
JP2001102298A (en) * 1999-07-28 2001-04-13 Tokyo Electron Ltd Development apparatus, liquid processing apparatus, and development method
JP2001156032A (en) * 1999-11-26 2001-06-08 Shimada Phys & Chem Ind Co Ltd Apparatus and method for cleaning
JP2002273360A (en) * 2001-03-22 2002-09-24 Dainippon Screen Mfg Co Ltd Substrate treating device
WO2002090623A1 (en) * 2001-05-09 2002-11-14 Ebara-Udylite Co., Ltd. Copper plating bath and method for plating substrate by using the same
JP2003027280A (en) * 2001-07-18 2003-01-29 Ebara Corp Plating apparatus
JP2003068830A (en) * 2001-08-23 2003-03-07 Advantest Corp Positioning and fixing device for wafer transport

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5883762A (en) * 1997-03-13 1999-03-16 Calhoun; Robert B. Electroplating apparatus and process for reducing oxidation of oxidizable plating anions and cations
WO1999054527A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6415804B1 (en) * 1999-12-23 2002-07-09 Lam Research Corporation Bowl for processing semiconductor wafers
US6780374B2 (en) * 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US7102763B2 (en) * 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US20030070695A1 (en) * 2001-10-16 2003-04-17 Applied Materials, Inc. N2 splash guard for liquid injection on the rotating substrate
US20040118694A1 (en) * 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
US20040192066A1 (en) * 2003-02-18 2004-09-30 Applied Materials, Inc. Method for immersing a substrate

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62101045A (en) * 1985-10-28 1987-05-11 Toshiba Corp Center aligner for wafer
JPH0414237A (en) * 1990-05-07 1992-01-20 Tokyo Electron Ltd Semiconductor manufacturing equipment
JPH09260338A (en) * 1996-03-19 1997-10-03 Nippon Steel Corp Rotary applicator
JP2000084503A (en) * 1998-07-13 2000-03-28 Kokusai Electric Co Ltd Fluid treatment of material to be treated and device therefor
JP2000319797A (en) * 1999-03-11 2000-11-21 Ebara Corp Plating device
JP2001102298A (en) * 1999-07-28 2001-04-13 Tokyo Electron Ltd Development apparatus, liquid processing apparatus, and development method
JP2001068434A (en) * 1999-08-25 2001-03-16 Ebara Corp Copper plating device
JP2001156032A (en) * 1999-11-26 2001-06-08 Shimada Phys & Chem Ind Co Ltd Apparatus and method for cleaning
JP2002273360A (en) * 2001-03-22 2002-09-24 Dainippon Screen Mfg Co Ltd Substrate treating device
WO2002090623A1 (en) * 2001-05-09 2002-11-14 Ebara-Udylite Co., Ltd. Copper plating bath and method for plating substrate by using the same
JP2003027280A (en) * 2001-07-18 2003-01-29 Ebara Corp Plating apparatus
JP2003068830A (en) * 2001-08-23 2003-03-07 Advantest Corp Positioning and fixing device for wafer transport

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100092729A (en) * 2009-02-13 2010-08-23 주식회사 뉴파워 프라즈마 Substrate gilding apparatus
KR101578079B1 (en) 2009-02-13 2015-12-16 주식회사 뉴파워 프라즈마 Substrate gilding apparatus
JP2013526056A (en) * 2010-04-27 2013-06-20 テル エフエスアイ インコーポレイテッド Wet processing of microelectronic substrates by controlling fluid mixing near the substrate surface
KR20150030623A (en) * 2013-09-12 2015-03-20 어플라이드 머티어리얼스, 인코포레이티드 Anneal module for semiconductor wafers
JP2015056669A (en) * 2013-09-12 2015-03-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Anneal module for semiconductor wafers
KR102200292B1 (en) * 2013-09-12 2021-01-07 어플라이드 머티어리얼스, 인코포레이티드 Anneal module for semiconductor wafers

Also Published As

Publication number Publication date
TWI265216B (en) 2006-11-01
TW200502439A (en) 2005-01-16
EP1694885A4 (en) 2007-12-19
EP1694885A2 (en) 2006-08-30
WO2004094702A2 (en) 2004-11-04
WO2004094702A3 (en) 2006-11-30
WO2004094702A8 (en) 2005-12-29

Similar Documents

Publication Publication Date Title
US7223323B2 (en) Multi-chemistry plating system
TWI624567B (en) Electrofill vacuum plating cell
US20040118694A1 (en) Multi-chemistry electrochemical processing system
US8048282B2 (en) Apparatus and method for plating a substrate
JP2006511717A5 (en)
US20070238265A1 (en) Plating apparatus and plating method
US20040149584A1 (en) Plating method
US6742279B2 (en) Apparatus and method for rinsing substrates
JP2006501360A (en) Electroless plating system
US7520939B2 (en) Integrated bevel clean chamber
KR20010107766A (en) Substrate processing apparatus and substrate plating apparatus
WO2005038094A2 (en) Apparatus for electroless deposition
US7553400B2 (en) Plating apparatus and plating method
US20070158202A1 (en) Plating apparatus and method for controlling plating solution
JP2007525591A (en) Multiple chemical plating systems
KR20150138826A (en) Metallization of wafer edge for optimized electroplating performance on resistive substrates
US20120145552A1 (en) Electroplating method
US20050023149A1 (en) Plating apparatus, plating method and substrate processing apparatus
CN1985026A (en) Multi-chemistry plating system
US20050077182A1 (en) Volume measurement apparatus and method
US20040206628A1 (en) Electrical bias during wafer exit from electrolyte bath
US7473339B2 (en) Slim cell platform plumbing
US7479213B2 (en) Plating method and plating apparatus
US20050020077A1 (en) Formation of protection layer by dripping DI on wafer with high rotation to prevent stain formation from H2O2/H2SO4 chemical splash
US20040192066A1 (en) Method for immersing a substrate

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090702

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090714

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091014

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091113

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20091113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100315

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100323

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100415

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100422

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100514

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100521

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100810