JP2007521500A - 集積回路を製造するためのパターンを作成する方法 - Google Patents

集積回路を製造するためのパターンを作成する方法 Download PDF

Info

Publication number
JP2007521500A
JP2007521500A JP2005507805A JP2005507805A JP2007521500A JP 2007521500 A JP2007521500 A JP 2007521500A JP 2005507805 A JP2005507805 A JP 2005507805A JP 2005507805 A JP2005507805 A JP 2005507805A JP 2007521500 A JP2007521500 A JP 2007521500A
Authority
JP
Japan
Prior art keywords
features
important
feature
design
stripe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005507805A
Other languages
English (en)
Inventor
ルイス ケー. シェフェー,
ケンジ ヨシダ,
ヨシクニ アベ,
アキ フジムラ,
ロバート シー. パック,
Original Assignee
カデンス デザイン システムズ, インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by カデンス デザイン システムズ, インコーポレイテッド filed Critical カデンス デザイン システムズ, インコーポレイテッド
Publication of JP2007521500A publication Critical patent/JP2007521500A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【解決手段】マスクにより製造されるチップの歩留まりを向上させるために、重要なマスク部分と重要でないマスク部分とを区別するのに文脈情報が使用される。特徴の設計文脈から導出されるこの情報を使用することにより、重要な特徴の描画速度を減少させることなく、重要な特徴は重要でない特徴よりも高精度で描かれる。特徴の設計文脈から導出されるこの情報を使用することにより、マスクは、重要な属性または特徴の精度を犠牲にすることなく高速で描かれる。

Description

本発明は、集積回路用のマスク描画及びマスク検査の分野に関する。
集積回路製造処理はシリコン上に回路を形成するのにマスクを使用する。マスクは各チップ設計毎にひとつだけ作成されるが、非常に精確でなければならない。典型的に、従来のマスク描画法は、速さと精度との間にトレードオフの関係を与えるものである。マスク製造処理はしばしば、マスク作成を遅くしても、可能な限り精確な技術を採用する。マスク作成を速める技術は、精度を有意に低下させるため、ほとんど使用されない。
例えば、マルチパス描画は、描画時間を犠牲にしてより精度を上げるのにICマスク製造に使用される通常の技術である。この技術は、各模様を描く際のエラーを平均的に除去する。東芝製のNuFlare EBM-400のような典型的なマスク描画装置は、描画が4つの独立のパスで実行されるときにのみ特定化された精度に到達する。典型的に、4つのパス描画は、エラーの統計的平均により1つのパス描画の2倍の精度を有する(この場合、エラーはパス数の平方根になる)。しかし、4つのパス描画法はマスクを書くのに長時間(ほぼ4倍)かかる。ラスターベース装置も同様のトレードオフを与える。ラージスポットは高速描画をもたらすが精度が低く、スモールスポットは最適な精度を与えるが描画時間が非常に長い。
長い時間は直接的にマスクのコスト増加につながる。従来、マスクコストは他のプロジェクト費用と比較しても有意ではなかったため、このトレードオフは許容範囲であった。チップ上の部品数及びその精度が増加するに従い、マスクコストは非常に有意になってきた。マスクをより速く描画する方法はコスト削減に有効である。しかし、現在、これを実現する従来の方法は、精度要件を緩和することを要求し、その結果精度の低いマスクが製造されている。製造されたチップは歩留まりが低く、所望されるものではない。従来のアプローチにおいて、すべての部品が遅い描画処理を生じさせるひとつの精度標準で描画されるか、どのアイテムがより重要かを特定するのに特別のデータベースが与えられなければならず、可能な最適化を制限していた。
現在、このアプローチを使用するユーザーは、低コストで歩留まりの低いマスクを与える高速マスク描画か、歩留まりが高いが製造が遅く高価なマスクを与える低速マスク描画か、のいずかを選択している。
他のアプローチは設計目的とOPC(光学近接効果補正)を結合させるための装置を使用する。設計目的は、マスクコストを最低限にする同じ全体目的で、より少ないマスク特徴を描画するのに使用される。これらの提案は、少ない特徴を描画する点に集中し、同数のマスク特徴を描画する可能性を考慮するのではなく、速いが精度の低い方法で重要でない特徴を描画するものである。
マスクにより製造されるチップの歩留まりを向上させるために、重要なマスク部分と重要でないマスク部分とを区別するのに文脈情報が使用される。特徴の設計文脈から導出されるこの情報を使用することにより、重要な特徴の描画速度を減少させることなく、重要な特徴は重要でない特徴よりも高精度で描かれる。他に、重要な部品は適切な精度を保証する方法により描かれ、それは重要でない特徴を描画するのに使用される精度の低い描画処理より遅くてもよい。
どの特徴が重要か及びそれが重要であることの判断は、ひとつまたはそれ以上の設計パターンの代わりに設計文脈を評価する処理によって為される。ある場合において、判断は半導体処理に含まれる。例えば、ポリシリコンマスクを描画する際、幅の精度は、2つの特徴の間隔の精度より非常に重要である。概して、どの特徴が重要かを判断するのに使用される情報は、設計内の特徴の文脈を反映している。
マスク描画を実行するために文脈情報を使用することにより、マスク描画処理の速度及び精度の両方を改善することができる。設計情報及び該設計情報から生成される文脈情報(例えば、タイミング、重要パス、及び多角形データ)は、設計の重要な特徴を識別するために設計特徴の文脈の解析を実行するのに使用される。重要な特徴のデータを使用することで、マスク描画が最適化され、その結果、マスクのある場所は高い精度で描画処理され、マスクの他の場所は最適化された速度で描画処理される。マスクの異なる部分を描画する際に使用される複数の描画処理を与えることにより、各マスクの全体のコストを減少させることができる。
複数の描画処理のひとつを選択するべく比較的重要な設計特徴を判断するために使用される文脈情報は、設計者の意図(例えば、設計者はどの部分が最も重要であるかを指定できる)に関する明瞭な情報を含むことができ、またはそれは設計の特性から導出され得る。例えば、設計レイアウトにおいて、レイヤーポリシリコン内の多角形のサイズは、トランジスタの寸法を画定するため、非常に重要である。多角形の間隔はあまり重要ではない。同様に、単一のポリシリコン図形内においても、ゲートを画定する部分は最も重要であり、残りの部分はあまり重要ではない。設計文脈のこれらの形式は、どの多角形及び多角形のどの部分が重要であるかを推論するために、集積回路ICのレイヤーの組み合わせを調べることにより導出される。
ひとつまたはそれ以上の設計特徴に対する設計文脈を与えるための情報の例は、特徴の隣接幾何学関係、特徴の電気的目的、特徴を含む所定回路のタイミング、及び隣接する特徴と当該特徴との関係または冗長性などに関するデータを含む。文脈情報により、設計の他の特徴に関する特定の特徴の重要性は、当該特徴が設計内の特定の位置になぜ配置されているのか、当該特徴が設計内で意図された使用は何なのか、設計内で当該特徴の電気的な要件は何なのか、などのファクタに基づいて判断することが可能となる。特徴の相対的重要性が与えられると、適切な描画及び検査処理が選択され、その結果当該特徴は所望レベルの精度で描画されかつ検査される。
描かれるべき各図の目的及び周囲事情を理解する方法、マスク(またはウエハ)描画装置の動作モデル、及びユーザーとの対話は、マスクまたはウエハ描画における速度と精度との間のより良いトレードオフを判断する方法をもたらす。例えば、もし文脈が、精確な間隔よりも精確な幅が重要であるような小さい特徴を描いていれば、当該方法はマスクをより速く描くためにストライプとしてマスク描画を実行する。
実施例において、特徴のある部分が図全体より高い精度で描かれなかればならない場合、及び各部分の精度が設計文脈により判断される場合には、マスク描画はストライプとして実行され、ユーザー入力は文脈または文脈の一部を確立するために使用されたり、されなかったりする。結果として、各図の各部分の要求に釣り合った精度でもって、より速いマスク描画が達成される。
ひとつの実施例において、ユーザーは任意のレイアウトを定義する。コンピュータ実行処理は、いかにして高速のマスク描画が可能であるかを判断するために、マスク描画処理のモデルを使用する。コンピュータ実行処理はマスク描画時間を与え、いずれの特徴がより遅く描かれるべきかを示すグラフィック情報が見つけられる。不満足であれば、ユーザーは、達成される精度とマスク描画時間との間でより好適なトレードオフを得るべく設計を変更するように文脈情報と対話することができる。文脈情報とのユーザー対話の一例は領域を分割するラインごとに実行される。多くの他の同等のアイデアがラインの代わりに使用されてもよい。例えば、領域は異なるカラーまたは異なる充填パターンであってもよく、異なる速度で明滅するか、またはカーソルが上を通過した際に明滅してもよい。
実施例において、フロアプランナーのような表示装置は、ユーザー次第で、最速のマスク描画を生じさせるグラフィック情報を表示する。その後、ユーザーは、その設計が実際に可能な限り高速に描画されることを保証するためにこの情報に従う。表示装置は、レイアウトエディタ、場所及びルートツール用の描画エディタのようなあらゆるグラフィカルレイアウトツールであってもよい。
しばしばユーザーは最終ウエハ上に所望のパターンを描くことを意図する。しかし、印刷処理が完璧ではないため、コンピュータはしばしばユーザーにより明示的に要求されない付加的な特徴を付加し、最終的な印刷結果をユーザー所望のパターンにより近似したものにする。この付加的特徴は、RETまたは解像度向上技術特徴と呼ばれる。これを実行する2つの例は、補正特徴、例えば最適な補正を与えるための特徴を設計特徴に付加すること(それは不必要な描画時間を生じさせる)、または付加した特徴の数を最小化する(または、ショットカウントと呼ばれる関連数を最小化する)ことを含む。描画精度に対するこの最適化は、より遅いマスク描画及び/または所望の精度より劣る精度を生じさせる。例えば、単一のユーザー定義特徴に関連する2つ以外のRETオブジェクトが異なるストライプで描画され、それにより有意な量のエラーを受けることもありうる。例えば、いくつかの特徴が単一のユーザー定義特徴に対するRETであるような文脈情報及びマスク描画装置の特性の情報を使用することで、処理は、各ユーザー定義特徴及びその関連RET特徴が1本のストライプで一緒に描かれることを保証する。また、概して各特徴は多くの方法でRET補正される。マスク描画処理の知識を使って、特定のRET補正が描画速度及び精度に基づいて決定される。例えば、各部品の所望の精度が文脈から計算され、コンピュータ処理装置がマスク描画処理のモデルを有すれば、コンピュータ読み取り可能メモリ内に格納されたコンピュータプログラムが、高速マスク描画時間を達成するためにチップのレイアウトを修正するようコンピュータ処理装置により実行される。ひとつの実施例において、セルが行内にあり、コンピュータ処理装置はマスク描画時間を最適化するために行の位置を決定する。他の実施例において、文脈は描画RET(解像度向上技術)特徴である。コンピュータ装置はマスク描画処理のモデルを格納し、コンピュータ装置は、マスク描画速度及び精度に基づいて描かれるべきOPC(光学近接効果補正)特徴を選択するための処理を実行する。
ひとつの実施例において、マルチパス描画法は図1に示されるような文脈情報を使用する。文脈情報または設計目的情報がマスク描画処理の速度及び精度を向上させるのに使用される。ひとつの実施例において、重要な特徴である要素A及びBが重要であるとして識別される。描画処理のパス数が増加すれば描画精度は向上し、重要な特徴はマルチ描画パスを含む処理により描かれる。重要な特徴はマスク全体の中でほんのわずかであるため、この描画処理はマルチパスモードでマスク全体を描くよりもはるかに速い。残りの重要でない特徴は、例えばひとつのパスのようなより少ないパスを有するモードで描かれる。重要な特徴の密度及び2つのモードの相対速度のようないくつかのファクタに依存して、描画速度は重要でない特徴を描くためのパスの数を減少させることにより増加する。
文脈ベースのアプローチの他の実施例は、単一パス描画が多くの位置(例えば、ストライプの中心)で適切な精度を与えるが、他において(例えば、特徴がストライプ境界を横切って分割される場合)は精度が低いことを認識する。現在の技術は、どの特徴が重要で、該特徴のどのアスペクトが重要であるかを判断するために、文脈情報を解析することは不可能である。したがって、典型的に、現在の技術は、精確に描くことが困難である、ストライプ境界を横切るような特徴に対して、高度に精確な処理を使用する。しかし、マスクのどの部分が重要かを識別するために文脈情報の解析が使用される際、チップの重要部分はストライプの境界内に特徴の重要部分を含めることにより単一パスモードで描くことが可能となる。図1に示される点線は、第1と第2ストライプの間の境界を表し、各特長はストライプのいずれかひとつ内に含まれる。
ひとつのストライプ内に各重要な特徴を含める文脈ベースのアプローチは、歩留まりまたは性能に影響を与える境界エラーを避ける。また、このアプローチにより、単一パス描画処理で描かれたマスクは、現在のマルチパス技術を使って描かれたマスクと同じ歩留まりとなる。例えば、マスク描画エラーはいくつかのコンポーネントを有する(例えば、サブフィールド・レジストレーション・エラー、ストライプ・レジストレーション・エラー、及びランダムエラー)。例えば、マスク描画エラー予算の大部分は、ストライプ・ツー・ストライプのレジストレーション用に割り当てられ、それはストライプ境界を交差する特徴に対して完全な精確性を維持するのに使用される。したがって、ストライプ内に完全に含まれかつひとつのパスで描かれる特徴の精確性は、複数のパスで描かれたストライプを交差する特徴の精確性に匹敵する。
重要な多角形はストライプ1及び2の走査オーバーラップと比較して小さいため、描画処理は各多角形が走査境界を交差することを防止するように走査境界を作成することができる。例えば、図1の点線は、どの多角形にも触らずかつ走査境界内に残らないようにチップを横切って描かれる。この図において、多角形A、C及びDは、線の下側にあるため、下側ストライプの一部として描かれる。多角形B、E及びFは上側ストライプの一部として描かれる。大きな重要ではない多角形Gはストライプのオーバーラップよりも大きい。したがって、それは複数の部分に分解され、その結果多角形の各部分はそれぞれのストライプ内で描かれる。この方法に関して、多角形内の個々の重要な領域の区別は、重要な領域の精確性を保証するのに必要とされない。他の利点として、マスク描画が速くなれば、長期間のドリフト及び化学的不安定などによるある種のエラーを減少させることができる。
文脈情報を使用したマスク描画の文脈ベースアプローチの他の例において、いくつかの特徴がストライプ境界を交差する。したがって、いくつかの特徴のいくつかの部分は精確でなく描かれてもよい。どの多角形のどの部分が重要かの理解に基づいて、より厳しい公差で描かれる特徴を、より緩い公差で描かれる特徴から区別するために文脈情報が使用される。多角形の重要な特徴はストライプの境界以内に配置され、その結果それらは高精度の単一パス処理により描かれる。重要でない特徴はストライプ境界を交差する。重要でない特徴は描画処理速度を増加するために単一パス処理で描かれてもよい。しかし、もしこれらの重要でない特徴がストライプ同士の境界を交差すれば、これらの特徴は重要な特徴より精確ではなく描かれる。
例えば、図2に示されるような集積回路(IC)用のポリシリコンマスクを考える。ポリシリコンはいくつかの機能を実行するのに使用される。例えば、コンタクトへの接続を形成するため、配線を形成するため、ゲートを形成するため、または短絡を防止するべく元のゲートを伸張するためである。ゲート及び最小のトランジスタが、エレメントAからEに示されるように厳しい公差で描かれるように、ゲート領域全体が描かれる。また、最小のトランジスタ内でも、3つのトランジスタのサブセットが回路の機能に関して他より重要であるとして識別され、このサブセットは最小のトランジスタの残りの部分より高精度に描かれる。したがって、いくつかの特徴(例えば、IOドライバ及び/またはスクライブリングのような)がストライプを交差して伸張するが、論理ゲート領域(または重要な論理ゲート領域)を識別するために及びひとつのストライプの境界内にそれを配置するために、文脈情報を使用することによって、ストライプ境界を交差するように描かれた論理ゲート領域は無いか、または回路動作にとって少なくとも重要なゲート領域は存在しないことを保証するために文脈情報の解析が使用される。
図2は、文脈情報の解析に基づいて重要であると識別された各多角形の部分の例を示す。情報は各特長が重要な理由を記述する。例えば、斜線のエレメントA、B、C、D及びEは高精度で描かれるべきマスクの部分をそれぞれ表すと仮定する。高精度描画処理は、重要な特徴、例えば、実際の幅が設計の幅とできるだけ近いことを保証するために使用される。しかし、特徴の間隔は比較的重要ではない。この場合、各多角形の各重要部分はひとつのストライプで描かれ、重要でない部分は2本のストライプで描かれる。したがって、たとえ多角形がストライプ境界を交差しても、多角形の重要な部分はその精度を保証するべく1本のストライプで完全に描かれる。
図2の実施例において、部分A及びBはストライプ1で描かれる。部分D及びEはストライプ2で描かれる。高い精度が要求されない各多角形の残りの部分と同様に、部分Cはいずれのストライプでも描かれることが可能である。I/Oドライバ、またはスクライブリングとして示される図2の大きな特徴は、完全なストライプ・ツー・ストライプ・エラーを有する場所を必ず有する。このような大きい特徴は概して重要な寸法を有せずかつより緩い公差で描かれるため、この点は許容される。
付加的な文脈情報もまた描画処理において使用される。例えば、もしBとCとの間隔が重要なら、またはもしデバイスB及びCができるだけ一致すべきであれば、Cは下側ストライプに描かれなければならない。一方、もしCとDとの間隔が重要なら、またはもしCとDができるだけ一致すべきであるならば、Cは上側ストライプの一部として描かれなければならない。したがって、重要な領域を識別するために使用される属性が設計文脈から選択される。これは、重要な領域を識別するために使用される特別の属性が異なるという概念の例を示している。例えば、いくつかの領域は面積が重要であり、いくつかは幅が重要であり、いくつかは長さが重要であり、いくつかは平均予測エラーが重要であり、他の領域と一致させることが重要である。
概して、この処理は、重要な領域を避けた、ストライプのオーバーラップ領域内で、チップ全体を横切ってラインを描くことにより使用される。その後、各重要領域は図3に示すようにひとつのストライプまたはそれ以外に割当てられる。
文脈情報によって生成された高速描画処理の図4に示す他の実施例において、設計者は、マスク描画装置に適した位置で真っ直ぐの水平線を交差する重要な特徴は無いことを保証することにより、マスク作成処理を助ける。ストライプのオーバーラップが生じないため、マスクは高速で描かれる。標準的なセル方法論において、これはある水平線の上に行が無いことを確かめることにより与えられる(しかし、線はマスク作成装置の能力により画定される)。各ストライプ内のセル及び/または行は、垂直またはY軸方向に等間隔または非等間隔であり、例えば、異なる長さを有し、異なる高さを有する。
各セル及び行が図4に示されるように予め画定された点線を避けるため、処理は描画速度を上げることができる。また、この処理は、文脈情報を使って重要な特徴を探すため及びストライプの境界内に重要な特徴を配置するために、コンピュータ解析方法を使って自動的に実行され、その結果重要な特徴はストライプ境界を交差しない。
同様に、例えば、ブロックベースのフロアプランナーにおいて、ユーザーは、図5に示されるようにブロックの配置を決定し、その結果高速マスク描画技術が使用される。ユーザーは全部の多角形または多角形の重要部分をストライプ内に配置することによりそれを実行する。特徴または特徴の重要部分がストライプの境界を交差することを避けるように、文脈情報の解析に基づいて設計特徴を移動するためにも自動処理が使用される。この例において、ストライプのサイズより高い大きいセルは、境界をオーバーラップするため、より悪いオーバーラップの場合に敏感であってはならない。
この処理の実施例において、設計エレメントの重要性は、特徴それ自身よりも特徴の属性に関連している。例えば、ある場合には幅が最も重要で、ある場合にはマッチングが最も重要で、ある場合には面積が最も重要で、ある場合にはエッジの位置が最も重要である。特徴のストライプへの割当ては、各特長のどの属性が重要であると考えられるかにより実行され、マスク描画速度の改善を可能にする。例えば、一致すべき2つの図が両方とも同じストライプ内に描かれるばかりでなく、幅が重要な属性であるかまたは最も重要である場合の図はひとつのストライプ内に完全に描かれる。もし面積が重要なら、ストライプへの割当ては問題ではなく、ストライプへの特徴の割当てはマスク描画速度を最適化するために実行される。
図6は、文脈情報を使ったマスク作成及びチップ製造の処理の例を示す。IC設計の各レイヤーに対する情報を含む設計データベースが作成される(610)。各レイヤーに対する文脈特定マスク描画データベースが作成される(620)。マスク描画データベースはレイヤーの各特徴に対する情報及び回路設計から生成された特徴の文脈に関する情報を含む。マスク描画装置はマスクを生成するために文脈特定データを使用する(630)。その後、マスクは半導体製造の際に使用される(640)。
図7は、ひとつまたはそれ以上のパスを有するマスクにひとつのレイヤーを描くために文脈特定マスク描画データベースからの情報を使用する例を示す。文脈特定マスク描画データベースが生成される(710)。文脈特定マスク描画データベースからのデータは、レイヤーに対する特徴の文脈情報に基づいてレイヤーをストライプに画分するために使用される(720)。各特長は基本的形状に分割される(730)。隣接形状に対して補償ファクタが計算される(740)。各ストライプがマスク上に描かれる(750)。もし精度を向上させるために複数パスが所望であれば、処理は720に戻る(760)。
図8は、レイヤーをストライプに画分し、レイヤーの多角形を対応するストライプに割当てるために文脈特定データを使用する例を示す。隣接するストライプN及びN+1内に描かれるべき多角形用のデータが考慮される(810)。隣接ストライプ内の各多角形が、該多角形に対する文脈特定データを使ってひとつまたは両方のストライプへ割当てられる。描画中マスク上により精確な多角形を作成することができるストライプは、多角形に対して割り当てられたストライプとして選択される。多角形はストライプNに割当てられる(820)。多角形はストライプN内に配置される(822)。多角形はストライプN+1に割当てられる(830)。多角形はストライプN+1内に配置される(832)。もし、多角形が各ストライプ内に配置されれば(840)、該多角形はストライプN内に配置されるか(822)、またはストライプN+1内に配置される(832)。もし、多角形が大きすぎて単一のストライプ内に収まらない場合(850)、多角形は複数の部分に分割され(852)、該部分はストライプN及びN+1の両方に割当てられる。分割された多角形はストライプ内に含まれる多角形よりも低い解像度で描かれる。各ストライプの多角形はマスクに描かれる(860)。その後、次のストライプは、ステップ810に戻ってNを1だけ増分することにより考慮される。処理は、各ストライプが考慮されかつマスクに描かれるまで繰り返される。
図9は、ユーザーに所望のマスク描画速度をもたらすために、文脈特定情報を使用する例を示す。ユーザーは予備マスク描画プランを案出する(910)。該プランを案出する際、ユーザーは特徴の文脈情報に基づいてあるストライプにある特徴を割当てることができる。その後、プロセッサは付与されたプランに対する描画時間を計算する(920)。その後、ユーザーは計算された描画時間に基づいてプランを受諾または拒否する(930)。もしプランが受諾されると、マスクが作成される(940)。もし、プランが拒否されると、処理はステップ910に戻り、ユーザーは特徴のストライプ割当てを変更することができ、それは新しい描画時間を生成する。処理はユーザーがプランを受諾するまで繰り返される。
図10は、ユーザーに対して許容範囲の時間でマスクを描画するための描画プランを決定するために文脈情報を使用する自動手続きの例を示す。ユーザーは、例えばRTL記述のような高レベルの回路設計記述を入力する(1010)。ユーザーはマスクを描画するための予備プランも入力する。その後、コンピュータプロセッサは、高速描画処理用のプランを生成するために設計のセルを移動または配置する(1020)。ユーザーはプランの描画時間が許容範囲か否かを判断する(1030)。もしプランが許容されれば、マスクが作成される(1040)。もし、提案されたプランの描画処理がユーザーにとって許容限度を超えたものであれば、描画プランを決定するための処理はステップ1010へ戻る。
図11(A)から(G)は、設計の文脈に関して設計特徴を考慮することにより与えられる情報の例を示す。重要な特徴を識別するのに使用されるこの文脈情報は、当該特徴がなぜまたはどのように重要かに関する指示を与えないタグによって各設計特徴をラベリングするよりも非常に有効である。エレメントは、第1レイヤー上に大きい正方形としてかつ第2レイヤー上に小さい正方形として表されたビアである。ビアの文脈はビアのどの特徴が重要であるかを識別するのに使用される。処理の不確かさが存在しても、ビアが第1レイヤーに完全に包含されることを製造規則は主張する。第2レイヤー上の小さい正方形は第1レイヤー上の大きい正方形に関して異なる位置にあってもよい。
図11(A)の実施例に対して、各辺の位置は重要ではない。図11(B)に示されるように、ひとつの辺の位置が重要である。図11(C)及び11(D)において、2つの辺の位置が重要である。図11(E)は3つの辺の位置が重要である例を示す。図11(F)において、4つの辺のすべての位置が重要である。図11(G)において、いくつかの縁部が重要であり、他は重要ではない。
ビア自身及びいくつかの制約(最小面積など)が図11(A)から11(F)に指定されている。しかし、縁の位置が重要か否か(マスク描画中の精度を向上させるためのコスト増加となるか否か、またはマスク検査中に欠陥として拒絶されるか否か)は、図がビアを表すという事実、またはビアを重要としてマークすることによっては判断されない。むしろ、文脈情報はビアの重要と非重要特徴を判断するのに使用される。この場合において、文脈情報は各縁部を重要または非重要として個別にマークするのに使用される。
描画の文脈ベースアプローチは、従来の複数パス手法より速いマスク描画用のシステムを与え、いくつかの多角形が他より低い精度で描かれても、マスクに描かれる各多角形に対して同じ精度をもたらす。
文脈ベースアプローチは、所望の場所での精度を犠牲にすることなく、より速い描画を可能にする。選択された特徴(例えば、非重要として選択されたもの)が高速であるが精度が低い方法で描かれることを許さない従来のアプローチと対照的に、文脈情報を使用するマスク描画処理は、描画速度と描画精度の両方を同時に最適化する。重要及び非重要エレメントのような異なるエレメントに対して異なる描画手法を要求する従来の方法と違って、例えば、ひとつの統一的手法が描画速度を決定するために使用され、その場合その手法での描画順序はユーザーの所望の描画精度と一致するようにアレンジされる。
この文脈ベースアプローチにより、マスク描画は、形状間の隣接関係及び生成されたチップの電気的要件のような設計概念を使用して、形状及び形状それ自身の特性との間の関係を考慮することが可能になる。このアプローチにより、ユーザーは、マスク作成判断と、電気的または物理的な公差、市場までの時間、マスクコスト、及び歩留まりのような他の関係との間にトレードオフを作成することができる。以前のアプローチは非常に粗い解析のみを実行し、トレードオフを作成する際にユーザーを助けることはせず、これらの判断が非常に高レベルの粒状度でのみ許されていた(例えば、チップ全体が高精度で描かれるか否かのいずれか)。
また、フロアプランまたはチップレイアウトを作成する際、ユーザーは任意の特徴がゆっくり描かれて作成されたかどうかを評価でき、フロアプランまたはレイアウトレベルのいずれでもこの解析を許さない以前のアプローチとは対照的である。ユーザーはまたマスクがより速く描かれるように、文脈情報に基づいて設計を制約または修正してもよい。従来のアプローチでは、ユーザーがマスク描画速度を見ることができず、またそれに対するあらゆる制御が不可能であった。
文脈特定マスク描画処理により、設計目的情報は、マスク描画の際に各マスク特徴がどのくらい精確に複写されるかを判断するのに使用され得る。描かれるべき特徴の数を変更する代わりに、設計目的はマスク特徴の描画速度を改善するために文脈特定描画処理においても使用される。描くべき各特徴に従い、その特徴の所望の精度及び特徴の各幾何学的属性の重要性を格納した文脈ベースの描画データベースが作成される。物理的、回路、またはコンポーネントの属性及びマスク作成判断との間のトレードオフを評価することができるツールは、文脈特定マスク描画に関して与えられる。
所望のレベルの精度で描くためにどのくらいの時間がかかるかによってフロアプランを評価することができるフロアプランナーは、文脈ベース描画処理により作成され得る。他に、フロアプランナーはレイアウトを解析し、各特徴が所望の描画速度でいかに精確に描かれるかを報告する。
フロアプランナーは、もし重要な特徴により交差されなければ、高速マスク描画が与えられるところの、図形的な線を示す。他に、同じ線が使用されて、ユーザーは各特徴に対して達成可能な精度を知らされる。例えば、フロアプランナーは少なくともセルのサブセットを、高速マスク描画用の正しい位置にあるように、自動的に配置する。
文脈ベース描画方法はまた、マスクが部分に分離して描かれ、各部分間のエラーが部分内のエラーより大きく、マスクの特徴の平均は各部分間のオーバーラップより小さい、ところのマスク描画システムにも使用される。これらの部分は、ストライプ、正方形、非均一サイズのストライプ、またはマスクの他の小区画であってもよい。
本発明のこれら及び他の実施例は上記教示に従って実現され、発明の思想及び態様から離れることなく上記実施例に対してさまざまな修正または変更が為され得ることは明白である。したがって、明細書及び図面は例示に過ぎず、特許請求の範囲に記載された発明を制限するものではない。
図1は、文脈情報に基づくマルチパス描画方法の実施例を示す。 図2は、文脈情報に基づいて、重要であると指示された多角形部分の実施例を示す。 図3は、文脈情報に基づいて、重要領域をひとつのストライプに割当てる実施例を示す。 図4は、マスク描画速度を向上させるのに適した文脈情報により選択された位置に配置された重要な特徴の実施例を示す。 図5は、マスク描画速度を向上させるために、ブロックの位置を決定する文脈情報をユーザーが考えることができるようにするためのブロックベースのフロアプランナーの実施例を示す。 図6は、文脈情報を使ったマスク作成及びチップ製造処理の実施例を示す。 図7は、ひとつまたはそれ以上のパスを有するマスクへ一つのレイヤーを描画するために特定文脈マスク描画データベースからの情報を使用する実施例を示す。 図8は、レイヤーをストライプに画分し、レイヤーの多角形を対応するストライプに割当てるために特定文脈データを使用する実施例を示す。 図9は、マスクを描画するための所望の速度をユーザーに与えるために特定文脈情報を使用する実施例を示す。 図10は、マスクを描画するための描画プランを決定するために文脈情報を使用するための自動手続きの実施例を示す。 図11(A)から(G)は、設計の文脈に関して設計特徴を考慮することにより与えられた情報の実施例を示す。

Claims (34)

  1. 集積回路設計を生成する工程と、
    設計の各レイヤーに対する設計データを含む設計データベースを作成する工程と、
    前記設計のレイヤーの特徴に対する文脈情報を作成する工程と、
    レイヤーの特徴の重要な属性を識別するために文脈情報を解析する工程と、
    レイヤーを複数のストライプに画分する工程と、
    特徴の属性の重要性に基づいて、各特徴をひとつまたはそれ以上の複数のストライプに割り当てる工程と、
    対応するストライプ内で各特徴を描くために描画プランを案出する工程と、
    から成る方法。
  2. 請求項1に記載の方法であって、重要な特徴を識別するために文脈情報を解析する工程は、
    設計の重要な属性を識別する工程と、
    重要な属性を有する多角形を識別する工程と、
    から成る、ところの方法。
  3. 請求項2に記載の方法であって、さらに、
    重要な多角形の各々を複数の形状に分割する工程と、
    多角形ごとに、重要な属性を有する形状を識別する工程と、
    を含む方法。
  4. 請求項3に記載の方法であって、各特徴をひとつのストライプに割り当てる工程は、各形状を前記各形状の属性と整合するひとつまたはそれ以上のストライプに割り当てる工程から成る、ところの方法。
  5. 請求項1に記載の方法であって、重要な特徴を識別するために文脈情報を解析する工程は、
    レイヤーに関する設計データをユーザーに表示する工程と、
    レイヤーの特徴に関する文脈情報を表示する工程と、
    特徴の重要な属性の識別をユーザーから受信する工程と、
    から成るところの方法。
  6. 請求項5に記載の方法であって、各重要な特徴をひとつのストライプに割り当てる工程は、ひとつのストライプへの特徴の割り当てをユーザーから受信する工程から成る、ところの方法。
  7. 請求項6に記載の方法であって、さらに、描画プランに対する描画時間を計算する工程を含む方法。
  8. 請求項7に記載の方法であって、さらに、描画時間に基づいて描画プランを受諾または拒否する工程を含む方法。
  9. 請求項1に記載の方法であって、重要な特徴を識別するために文脈情報を解析する工程は、文脈情報に基づいてレイヤーの重要な特徴を自動的に配置する工程から成る、ところの方法。
  10. 請求項9に記載の方法であって、レイヤーを複数のストライプに画分する工程は、各重要な特徴がひとつのストライプ内にあるように、パーティションを自動的に生成する工程から成る、ところの方法。
  11. 請求項10に記載の方法であって、さらに、描画プランに対する描画時間を計算する工程を含む方法。
  12. 請求項11に記載の方法であって、さらに、描画時間に基づいて描画プランを受諾するかまたは拒否する工程を含む方法。
  13. 集積回路設計を生成するための手段と、
    設計の各レイヤーに関する設計データを含む設計データベースを作成するための手段と、
    設計のレイヤーの特徴に関する文脈情報を作成するための手段と、
    レイヤーの特徴の重要な属性を識別するために文脈情報を解析するための手段と、
    レイヤーを複数のストライプに画分するための手段と、
    その属性に基づいて、各特徴をひとつまたはそれ以上の複数のストライプに割り当てるための手段と、
    対応するストライプ内に各重要な特徴を描くために描画プランを案出するための手段と、
    から成るシステム。
  14. 請求項13に記載のシステムであって、重要な特徴を識別するために文脈情報を解析するための手段は、設計の重要な属性を識別するための手段と、重要な属性を有する多角形を識別するための手段と、から成るところのシステム。
  15. 請求項14に記載のシステムであって、さらに、
    重要な多角形の各々を複数の形状に分割するための手段と、
    多角形の各々に対して、重要な属性を有する形状を識別するための手段と、
    を含むシステム。
  16. 請求項15に記載のシステムであって、各重要な特徴をひとつのストライプに割り当てるための手段は、重要な属性を有する各形状をひとつのストライプに割り当てるための手段から成るところのシステム。
  17. 請求項13に記載のシステムであって、重要な特徴を識別するために文脈情報を解析するための手段は、
    レイヤーに関する設計データをユーザーに表示するための手段と、
    レイヤーの特徴に関する文脈情報をユーザーに表示するための手段と、
    重要な特徴の識別をユーザーから受信するための手段と、
    から成るところのシステム。
  18. 請求項17に記載のシステムであって、各重要な特徴をひとつのストライプに割り当てるための手段は、ひとつのストライプへの特徴の割り当てをユーザーから受信するための手段から成る、ところのシステム。
  19. 請求項18に記載のシステムであって、さらに、描画プランに対する描画時間を計算するための手段を含むシステム。
  20. 請求項19に記載のシステムであって、さらに、描画時間に基づいて描画プランを受諾または拒否するための手段を含むシステム。
  21. 請求項13に記載のシステムであって、重要な特徴を識別するために文脈情報を解析するための手段は、文脈情報に基づいてレイヤーの重要な特徴を自動的に配置するための手段から成るところのシステム。
  22. 請求項21に記載のシステムであって、レイヤーを複数のストライプへ画分するための手段は、各重要な特徴がひとつのストライプ内にあるようにパーティションを自動的に生成するための手段から成るところのシステム。
  23. 請求項21に記載のシステムであって、さらに、描画プランに対する描画時間を計算するための手段を含むシステム。
  24. 請求項23に記載のシステムであって、さらに、描画時間に基づいて描画プランを受諾または拒否するための手段を含むシステム。
  25. 複数の多角形を有する集積回路設計データを生成する工程と、
    集積回路設計データから文脈情報を決定する工程と、
    特徴の重要な属性を重要でない属性及び特徴から区別するために、文脈情報により設計データの特徴を解析する工程と、
    から成る方法。
  26. 請求項25に記載の方法であって、さらに、その特徴の属性と釣り合った精度で各特徴を描くために、マスク描画処理を調節する工程を含む方法。
  27. 請求項25に記載の方法であって、設計特徴に関する文脈情報が、隣接幾何学関係、特徴の電気的目的、所定回路のタイミング、及び与えられた特徴と隣りの特徴との関係に対する情報から成る、ところの方法。
  28. 請求項25に記載の方法であって、さらに、文脈情報に基づいて設計の他の特徴と比較し、与えられた特徴、または与えられた特徴の与えられた属性の重要性を識別する工程を含む方法。
  29. 相対的な重要性が文脈情報により識別されるところの請求項28に記載の方法であって、前記文脈情報が、設計内の特定の位置に特徴を配置するための理由、設計内での特徴の使用目的、及び設計内での特徴の電気的要件から成る、ところの方法。
  30. 複数の多角形を有する集積回路設計データを生成するための手段と、
    集積回路設計データから文脈情報を決定するための手段と、
    特徴の重要な属性を重要でない属性から区別するために、文脈情報により設計データの特徴を解析するための手段と、
    から成るシステム。
  31. 請求項30に記載のシステムであって、さらに、その特徴の属性と釣り合う精度で各特徴を描くためにマスク描画処理を調節するための手段を含むシステム。
  32. 請求項30に記載のシステムであって、設計特徴に関する文脈情報は、隣接幾何学関係、特徴の電気的目的、所定回路のタイミング、特徴の冗長性、及び与えられた特徴と隣りの特徴との関係に対する情報から成る、ところのシステム。
  33. 請求項30に記載のシステムであって、さらに、文脈情報に基づいて設計の他の特徴と比較した、与えられた特徴の重要性を識別するための手段を含む、ところのシステム。
  34. 相対的重要性が文脈情報により識別されるところの請求項33に記載のシステムであって、前記文脈情報が、設計内の特定の位置に特徴を配置するための理由、設計内での特徴の使用目的、及び設計内での特徴の電気的要件から成る、ところの方法。
JP2005507805A 2003-07-14 2003-07-14 集積回路を製造するためのパターンを作成する方法 Pending JP2007521500A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2003/021997 WO2005017785A1 (en) 2003-07-14 2003-07-14 Method for creating patterns for producing integrated circuits

Publications (1)

Publication Number Publication Date
JP2007521500A true JP2007521500A (ja) 2007-08-02

Family

ID=34192484

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005507805A Pending JP2007521500A (ja) 2003-07-14 2003-07-14 集積回路を製造するためのパターンを作成する方法

Country Status (4)

Country Link
EP (1) EP1644855A4 (ja)
JP (1) JP2007521500A (ja)
AU (1) AU2003249230A1 (ja)
WO (1) WO2005017785A1 (ja)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6453452B1 (en) * 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US6456899B1 (en) * 1999-12-07 2002-09-24 Ut-Battelle, Llc Context-based automated defect classification system using multiple morphological masks
US6444373B1 (en) * 2000-06-16 2002-09-03 Advanced Micro Devices, Inc. Modification of mask layout data to improve mask fidelity
US6353774B1 (en) * 2000-09-22 2002-03-05 Virtek Engineering Sciences Inc. High precision vision guided positioning device
US6526550B1 (en) * 2000-09-29 2003-02-25 General Electric Company Analyzing characteristics of geometries
JP2002196470A (ja) * 2000-12-26 2002-07-12 Hitachi Ltd フォトマスクの製造方法および半導体集積回路装置の製造方法
US6901574B2 (en) * 2001-02-09 2005-05-31 Lacour Patrick J. Data management method for mask writing
US6703167B2 (en) * 2001-04-18 2004-03-09 Lacour Patrick Joseph Prioritizing the application of resolution enhancement techniques
US6560766B2 (en) * 2001-07-26 2003-05-06 Numerical Technologies, Inc. Method and apparatus for analyzing a layout using an instance-based representation
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
JP2003315973A (ja) * 2002-04-19 2003-11-06 Fujitsu Ltd マスク設計装置、マスク設計方法、プログラムおよび半導体装置製造方法

Also Published As

Publication number Publication date
EP1644855A4 (en) 2007-08-01
AU2003249230A1 (en) 2005-03-07
WO2005017785A1 (en) 2005-02-24
EP1644855A1 (en) 2006-04-12

Similar Documents

Publication Publication Date Title
US10216890B2 (en) Integrated circuits having in-situ constraints
US6370673B1 (en) Method and system for high speed detailed placement of cells within an integrated circuit design
US10248751B2 (en) Alternative hierarchical views of a circuit design
US20080066041A1 (en) Auxiliary pattern generation for cell-based optical proximity correction
US20150149969A1 (en) Layout design for electron-beam high volume manufacturing
US7024638B2 (en) Method for creating patterns for producing integrated circuits
US10990741B2 (en) Multiple patterning method and system for implementing the method
US10311197B2 (en) Preserving hierarchy and coloring uniformity in multi-patterning layout design
US20230351087A1 (en) Using machine trained network during routing to modify locations of vias in an ic design
US20230306177A1 (en) Using topological and geometric routers to produce curvilinear routes
JPWO2006137119A1 (ja) フロアプラン装置,フロアプランプログラム及び同プログラムを記録したコンピュータ読取可能な記録媒体
US20230282635A1 (en) Integrated circuit with non-preferred direction curvilinear wiring
US7590955B1 (en) Method and system for implementing layout, placement, and routing with merged shapes
JP2007521500A (ja) 集積回路を製造するためのパターンを作成する方法
US8181143B2 (en) Method and apparatus for generating a memory-efficient representation of routing data
US8132141B2 (en) Method and apparatus for generating a centerline connectivity representation
JPH0645443A (ja) 階層化配線方法
Xiang et al. A simple fast exact density calculation algorithm

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080529

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090811

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091016

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091023

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091210

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091217

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100310