JP2007243119A - Substrate processing apparatus - Google Patents

Substrate processing apparatus Download PDF

Info

Publication number
JP2007243119A
JP2007243119A JP2006067533A JP2006067533A JP2007243119A JP 2007243119 A JP2007243119 A JP 2007243119A JP 2006067533 A JP2006067533 A JP 2006067533A JP 2006067533 A JP2006067533 A JP 2006067533A JP 2007243119 A JP2007243119 A JP 2007243119A
Authority
JP
Japan
Prior art keywords
valve
substrate processing
recipe
processing
pod
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006067533A
Other languages
Japanese (ja)
Other versions
JP4933809B2 (en
Inventor
Hidekatsu Miyamori
秀勝 宮守
Reizo Nunosawa
礼三 布澤
Satoru Takahata
覚 高畑
Yukio Ozaki
行雄 尾崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2006067533A priority Critical patent/JP4933809B2/en
Publication of JP2007243119A publication Critical patent/JP2007243119A/en
Application granted granted Critical
Publication of JP4933809B2 publication Critical patent/JP4933809B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To expand the versatility for banning the use of a valve so that the response is possible and to increase certainty of banning of the use, when there are some changes in substrate processing. <P>SOLUTION: A substrate processing apparatus comprises: a first control means having a recipe in which contents of substrate processing such as opening/closing of a valve, etc. accompanying supply and discharge of gas are described and a control calculation part for performing indication of execution of the recipe; and a second control means which controls a control parameter for executing the recipe according to the indication from the first control means. The first control means has a table in which the use of valve is prescribed corresponding to the recipe, and a determining means for determining consistency by comparing a prescribed content of the valve prescribed in the recipe and a prescribed content of the valve prescribed in the table. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は半導体装置を製造する1工程であり、基板に薄膜の生成、不純物の拡散、アニール処理、エッチング等の基板処理を行う基板処理装置に関するものである。   The present invention relates to a substrate processing apparatus which is a process for manufacturing a semiconductor device and performs substrate processing such as thin film formation, impurity diffusion, annealing, and etching on a substrate.

半導体装置の製造方法の1工程である基板処理工程に於いては、処理炉内にシリコンウェーハ、ガラス基板等の基板を装入し、処理炉を密閉し、基板を加熱しつつ処理ガスを導入して、薄膜の生成、不純物の拡散、アニール、エッチング等の基板処理が行われ、基板処理が完了すると、処理炉内が不活性ガス等によりガスパージされる。その後、処理炉を開放して処理済みの基板を取出す等がされていた。   In the substrate processing step, which is one step of the semiconductor device manufacturing method, a silicon wafer, a glass substrate or the like is placed in a processing furnace, the processing furnace is sealed, and a processing gas is introduced while heating the substrate. Then, substrate processing such as thin film formation, impurity diffusion, annealing, and etching is performed. When the substrate processing is completed, the inside of the processing furnace is purged with an inert gas or the like. Thereafter, the processing furnace was opened and the processed substrate was taken out.

前記処理ガスはキャリアガスと共に供給され、処理炉がガスパージされる場合、処理ガスの供給停止、排気装置による処理炉内の排気、続いて処理炉内にパージガスの供給等が実行され、処理ガスの給排、キャリアガスの給排、パージガスの給排はそれぞれの管路に設けられたバルブの開閉によって行われる。バルブの開閉及び開閉のタイミングは基板処理内容が設定されたレシピに従って決定されている。   When the processing gas is supplied together with the carrier gas and the processing furnace is purged, the processing gas supply is stopped, the exhaust in the processing furnace is exhausted, and then the purge gas is supplied into the processing furnace. Supply / discharge, supply / discharge of carrier gas, and supply / discharge of purge gas are performed by opening and closing valves provided in the respective pipe lines. The opening / closing timing of the valve and the opening / closing timing are determined in accordance with a recipe in which the substrate processing content is set.

従来、基板の処理内容(処理温度、ガス種、ガス流量、処理圧力等の制御パラメータ)を設定したレシピは、基板処理装置の制御装置に予め設定入力され、バルブの開閉は通常レシピに従って、前記制御装置がバルブの開閉を制御している。   Conventionally, a recipe in which the processing content of a substrate (control parameters such as processing temperature, gas type, gas flow rate, processing pressure, etc.) is set and inputted in advance to the control device of the substrate processing apparatus, and the opening and closing of the valve is performed according to the normal recipe. The control device controls the opening and closing of the valve.

基板処理内容によっては、操作してはいけないバルブ(操作禁止バルブ)があり、操作禁止バルブについては安全の為、ハード的にバルブの開閉状態を拘束するか若しくはプロセス毎に詳細な条件を設け、コントローラソフトに開閉操作の禁止処理を組込んでいる。   Depending on the substrate processing contents, there are valves that should not be operated (operation prohibited valves). For safety reasons, the valve opening / closing state is restricted by hardware, or detailed conditions are set for each process. The controller software incorporates the open / close operation prohibition process.

この為、ハード的にバルブの開閉を拘束すると、異なる基板処理を実行する為のレシピの変更がある度に装置の改造が必要となり、又コントローラソフトで使用禁止処理を行った場合は、やはりソフトの改造が必要となっていた。   For this reason, if the opening and closing of the valve is constrained by hardware, it is necessary to modify the device every time there is a recipe change to execute different substrate processing. It was necessary to remodel.

又、種々の基板処理ができる様に汎用性を求めると、バルブの使用禁止についての確実性が低下するという問題があった。   Further, when versatility is required so that various kinds of substrate processing can be performed, there is a problem that the certainty about prohibition of use of the valve is lowered.

本発明は斯かる実情に鑑み、基板処理の変更があった場合に、対応が可能な様にバルブの使用禁止についての汎用性を増すと共に使用禁止の確実性を増大させるものである。   In view of such circumstances, the present invention increases the versatility of prohibiting the use of a valve and increases the certainty of prohibiting the use so that it is possible to cope with changes in substrate processing.

本発明は、ガスの給排に伴うバルブの開閉等の基板処理内容が記載されたレシピと、該レシピの実行指示を行う制御演算部とを有する第1制御手段と、該第1制御手段からの指示に従って、前記レシピを実行する為、制御パラメータを制御する第2制御手段とを具備する基板処理装置に於いて、前記第1制御手段は前記レシピに対応してバルブの使用を規定したテーブルと、前記レシピに規定したバルブの規定内容と前記テーブルに規定したバルブの規定内容とを比較し整合性を判定する判定手段とを有する基板処理装置に係るものである。   The present invention includes a first control unit having a recipe in which the contents of substrate processing such as opening and closing of a valve associated with gas supply and discharge are described, and a control calculation unit that instructs execution of the recipe, and the first control unit. In order to execute the recipe in accordance with the instruction, a substrate processing apparatus having a second control means for controlling a control parameter, wherein the first control means defines a use of a valve corresponding to the recipe. And a substrate processing apparatus having a determination unit that compares the specified content of the valve specified in the recipe with the specified content of the valve specified in the table to determine consistency.

本発明によれば、ガスの給排に伴うバルブの開閉等の基板処理内容が記載されたレシピと、該レシピの実行指示を行う制御演算部とを有する第1制御手段と、該第1制御手段からの指示に従って、前記レシピを実行する為、制御パラメータを制御する第2制御手段とを具備する基板処理装置に於いて、前記第1制御手段は前記レシピに対応してバルブの使用を規定したテーブルと、前記レシピに規定したバルブの規定内容と前記テーブルに規定したバルブの規定内容とを比較し整合性を判定する判定手段とを有するので、実行しようとするレシピが間違ったバルブの使用を実行しようとした場合の判定が行われ、誤った基板処理の実行を未然に防止できる。又、異なるレシピを実行したい場合は、バルブの使用を規定したテーブルの内容を変更すれば対応が可能であるので、汎用性が向上する等の優れた効果を発揮する。   According to the present invention, the first control means having a recipe in which the contents of substrate processing such as opening and closing of a valve accompanying gas supply and discharge are described, and a control arithmetic unit that gives an instruction to execute the recipe, and the first control In order to execute the recipe in accordance with an instruction from the means, the substrate processing apparatus includes a second control means for controlling a control parameter. The first control means defines the use of a valve corresponding to the recipe. And a determination means for comparing the specified content of the valve specified in the recipe with the specified content of the valve specified in the table to determine consistency, so that the recipe to be executed uses the wrong valve Therefore, it is possible to prevent erroneous substrate processing from being executed. If different recipes are to be executed, it can be dealt with by changing the contents of the table that regulates the use of the valve, so that excellent effects such as improved versatility are exhibited.

以下、図面を参照しつつ本発明を実施する為の最良の形態を説明する。   The best mode for carrying out the present invention will be described below with reference to the drawings.

先ず本発明に係る基板処理装置について説明する。   First, a substrate processing apparatus according to the present invention will be described.

尚、基板処理装置は、半導体装置(IC)の製造工程の1つである基板処理工程を実施する。又、以下の説明では、基板処理装置の一例として縦型炉を具備して基板に酸化処理、CVD成膜処理、拡散処理、アニール処理等を行う縦型の基板処理装置について説明する。   The substrate processing apparatus performs a substrate processing process which is one of the manufacturing processes of a semiconductor device (IC). In the following description, a vertical substrate processing apparatus that includes a vertical furnace as an example of a substrate processing apparatus and performs oxidation processing, CVD film formation processing, diffusion processing, annealing processing, etc. on the substrate will be described.

図1及び図2に示されている様に、基板処理装置1は筐体2を備えている。該筐体2の正面壁3の正面前方部にはメンテナンス可能な様に設けられた開口部としての正面メンテナンス口4が開設され、該正面メンテナンス口4は正面メンテナンス扉5によって開閉される。   As shown in FIGS. 1 and 2, the substrate processing apparatus 1 includes a housing 2. A front maintenance port 4 serving as an opening provided for maintenance is opened at the front front portion of the front wall 3 of the housing 2, and the front maintenance port 4 is opened and closed by a front maintenance door 5.

前記筐体2の正面壁3にはポッド搬入搬出口6が前記筐体2の内外を連通する様に開設されており、前記ポッド搬入搬出口6はフロントシャッタ(搬入搬出口開閉機構)7によって開閉され、前記ポッド搬入搬出口6の正面前方側にはロードポート(基板搬送容器受渡し台)8が設置されており、該ロードポート8は載置されたポッド9を位置合せする様に構成されている。   A pod loading / unloading port 6 is opened on the front wall 3 of the housing 2 so as to communicate between the inside and outside of the housing 2. The pod loading / unloading port 6 is opened by a front shutter (loading / unloading opening / closing mechanism) 7. A load port (substrate transfer container delivery table) 8 is installed on the front front side of the pod loading / unloading port 6. The load port 8 is configured to align the placed pod 9. ing.

該ポッド9は、密閉式の基板搬送容器であり、図示しない工程内搬送装置によって前記ロードポート8上に搬入され、又、該ロードポート8上から搬出される様になっている。   The pod 9 is a hermetically sealed substrate transfer container, and is loaded into the load port 8 by an in-process transfer apparatus (not shown) and unloaded from the load port 8.

前記筐体2内の前後方向の略中央部に於ける上部には、回転式ポッド棚(基板搬送容器格納棚)11が設置されており、該回転式ポッド棚11は複数個のポッド9を格納する様に構成されている。   A rotary pod shelf (substrate transfer container storage shelf) 11 is installed at an upper portion of the housing 2 at a substantially central portion in the front-rear direction. The rotary pod shelf 11 includes a plurality of pods 9. It is configured to store.

前記回転式ポッド棚11は垂直に立設されて間欠回転される支柱12と、該支柱12に上中下段の各位置に於いて放射状に支持された複数段の棚板(基板搬送容器載置棚)13とを備えており、該棚板13はポッド9を複数個宛それぞれ載置した状態で格納する様に構成されている。   The rotary pod shelf 11 is a vertically-supported support column 12 that is intermittently rotated, and a plurality of shelf plates (substrate transfer container placement) that are radially supported by the support column 12 at the upper, middle, and lower positions. The shelf 13 is configured to store the pods 9 in a state where a plurality of pods 9 are placed.

前記回転式ポッド棚11の下方には、ポッドオープナ(基板搬送容器蓋体開閉機構)14が設けられ、該ポッドオープナ14は前記ポッド9を載置し、又該ポッド9の蓋を開閉可能な構成を有している。   A pod opener (substrate transfer container lid opening / closing mechanism) 14 is provided below the rotary pod shelf 11. The pod opener 14 can mount the pod 9 and can open and close the lid of the pod 9. It has a configuration.

前記ロードポート8と前記回転式ポッド棚11、前記ポッドオープナ14との間には、ポッド搬送装置(容器搬送装置)15が設置されており、該ポッド搬送装置15は、前記ポッド9を保持して昇降可能、水平方向に進退可能となっており、前記ロードポート8、前記回転式ポッド棚11、前記ポッドオープナ14との間で前記ポッド9を搬送する様に構成されている。   A pod transfer device (container transfer device) 15 is installed between the load port 8 and the rotary pod shelf 11 and the pod opener 14, and the pod transfer device 15 holds the pod 9. The pod 9 can be moved between the load port 8, the rotary pod shelf 11, and the pod opener 14.

前記筐体2内の前後方向の略中央部に於ける下部には、サブ筐体16が後端に亘って設けられている。該サブ筐体16の正面壁17にはウェーハ(基板)18を前記サブ筐体16内に対して搬入搬出する為のウェーハ搬入搬出口(基板搬入搬出口)19が一対、垂直方向に上下二段に並べられて開設されており、上下段のウェーハ搬入搬出口19,19に対して前記ポッドオープナ14がそれぞれ設けられている。   A sub-housing 16 is provided over the rear end of the lower portion of the housing 2 at a substantially central portion in the front-rear direction. A pair of wafer loading / unloading ports (substrate loading / unloading ports) 19 for loading / unloading wafers (substrates) 18 into / from the sub-casing 16 are arranged on the front wall 17 of the sub-casing 16 vertically and vertically. The pod openers 14 are provided to the upper and lower wafer loading / unloading ports 19, 19, respectively.

該ポッドオープナ14は前記ポッド9を載置する載置台21と、前記ポッド9の蓋を開閉する開閉機構22とを備えている。前記ポッドオープナ14は前記載置台21に載置された前記ポッド9の蓋を前記開閉機構22によって開閉することにより、前記ポッド9のウェーハ出入れ口を開閉する様に構成されている。   The pod opener 14 includes a mounting table 21 on which the pod 9 is mounted and an opening / closing mechanism 22 that opens and closes the lid of the pod 9. The pod opener 14 is configured to open and close the wafer entrance of the pod 9 by opening and closing the lid of the pod 9 placed on the mounting table 21 by the opening and closing mechanism 22.

前記サブ筐体16は前記ポッド搬送装置15や前記回転式ポッド棚11が配設されている空間(ポッド搬送空間)から気密となっている移載室23を構成している。該移載室23の前側領域にはウェーハ移載機構(基板移載機構)24が設置されており、該ウェーハ移載機構24は、ウェーハを載置する所要枚数(図示では5枚)のウェーハ載置プレート25を具備し、該ウェーハ載置プレート25は水平方向に直動可能、水平方向に回転可能、又昇降可能となっている。前記ウェーハ移載機構24はボート(基板保持具)26に対してウェーハ18を装填及び払出しする様に構成されている。   The sub casing 16 constitutes a transfer chamber 23 that is airtight from a space (pod transport space) in which the pod transport device 15 and the rotary pod shelf 11 are disposed. A wafer transfer mechanism (substrate transfer mechanism) 24 is installed in the front region of the transfer chamber 23, and the wafer transfer mechanism 24 has a required number of wafers (five in the drawing) on which wafers are to be mounted. A mounting plate 25 is provided, and the wafer mounting plate 25 can be moved in the horizontal direction, can be rotated in the horizontal direction, and can be moved up and down. The wafer transfer mechanism 24 is configured to load and unload wafers 18 with respect to a boat (substrate holder) 26.

前記移載室23の後側領域には、前記ボート26を収容して待機させる待機部27が構成され、該待機部27の上方には縦型の処理炉28が設けられている。該処理炉28の下端部は、炉口部となっており、該炉口部は炉口シャッタ(炉口開閉機構)29により開閉される様になっている。   In the rear region of the transfer chamber 23, a standby unit 27 that accommodates and waits for the boat 26 is configured, and a vertical processing furnace 28 is provided above the standby unit 27. The lower end portion of the processing furnace 28 is a furnace port portion, and the furnace port portion is opened and closed by a furnace port shutter (furnace port opening / closing mechanism) 29.

前記筐体2の右側端部と前記サブ筐体16の前記待機部27の右側端部との間には前記ボート26を昇降させる為のボートエレベータ(基板保持具昇降機構)31が設置されている。該ボートエレベータ31の昇降台に連結されたアーム32には蓋体としてのシールキャップ33が水平に取付けられており、該シールキャップ33は前記ボート26を垂直に支持し、前記処理炉28の下端部を気密に閉塞可能となっている。   A boat elevator (substrate holder lifting mechanism) 31 for raising and lowering the boat 26 is installed between the right end of the housing 2 and the right end of the standby portion 27 of the sub-housing 16. Yes. A seal cap 33 as a lid is horizontally attached to the arm 32 connected to the elevator platform of the boat elevator 31, and the seal cap 33 supports the boat 26 vertically, and a lower end of the processing furnace 28. The part can be closed airtight.

前記ボート26は複数本の保持部材を備えており、複数枚(例えば、50枚〜125枚程度)のウェーハ18を、その中心を揃えて水平姿勢で多段に保持する様に構成されている。   The boat 26 includes a plurality of holding members, and is configured to hold a plurality of (for example, about 50 to 125) wafers 18 in multiple stages in a horizontal posture with the centers thereof aligned.

前記ボートエレベータ31側と対向した位置にはクリーンユニット35が配設され、該クリーンユニット35は、清浄化した雰囲気若しくは不活性ガスであるクリーンエア34を供給する様供給ファン及び防塵フィルタで構成されている。前記ウェーハ移載機構24と前記クリーンユニット35との間には、ウェーハの円周方向の位置を整合させる基板整合装置としてのノッチ合せ装置(図示せず)が設置されている。   A clean unit 35 is disposed at a position facing the boat elevator 31 side, and the clean unit 35 is configured by a supply fan and a dustproof filter so as to supply a clean atmosphere or clean air 34 which is an inert gas. ing. Between the wafer transfer mechanism 24 and the clean unit 35, a notch alignment device (not shown) is installed as a substrate alignment device for aligning the circumferential position of the wafer.

前記クリーンユニット35から吹出されたクリーンエア34は、ノッチ合せ装置(図示せず)及び前記ウェーハ移載機構24、前記ボート26に流通された後に、図示しないダクトにより吸込まれて、前記筐体2の外部に排気がなされるか、若しくは前記クリーンユニット35の吸込み側である一次側(供給側)に迄循環され、再び該クリーンユニット35によって、前記移載室23内に吹出される様に構成されている。   The clean air 34 blown out from the clean unit 35 is circulated through a notch aligner (not shown), the wafer transfer mechanism 24, and the boat 26, and is then sucked in by a duct (not shown), and the casing 2 The exhaust air is exhausted to the outside or circulated to the primary side (supply side) which is the suction side of the clean unit 35, and is again blown out into the transfer chamber 23 by the clean unit 35. Has been.

次に、本発明の処理装置の作動について説明する。   Next, the operation of the processing apparatus of the present invention will be described.

前記ポッド9が前記ロードポート8に供給されると、前記ポッド搬入搬出口6が前記フロントシャッタ7によって開放される。前記ロードポート8の上の前記ポッド9は前記ポッド搬送装置15によって前記筐体2の内部へ前記ポッド搬入搬出口6を通して搬入され、前記回転式ポッド棚11の指定された前記棚板13へ載置される。前記ポッド9は前記回転式ポッド棚11で一時的に保管された後、前記ポッド搬送装置15により前記棚板13からいずれか一方のポッドオープナ14に搬送されて前記載置台21に移載されるか、若しくは前記ロードポート8から直接前記載置台21に移載される。   When the pod 9 is supplied to the load port 8, the pod loading / unloading port 6 is opened by the front shutter 7. The pod 9 on the load port 8 is carried into the housing 2 by the pod carrying device 15 through the pod loading / unloading port 6 and mounted on the designated shelf 13 of the rotary pod shelf 11. Placed. The pod 9 is temporarily stored in the rotary pod shelf 11, and is then transferred from the shelf plate 13 to one of the pod openers 14 by the pod transfer device 15 and transferred to the mounting table 21. Alternatively, it is transferred directly from the load port 8 to the mounting table 21.

この際、前記ウェーハ搬入搬出口19は前記開閉機構22によって閉じられており、前記移載室23には前記クリーンエア34が流通され、充満されている。例えば、前記移載室23にはクリーンエア34として窒素ガスが充満することにより、酸素濃度が20ppm以下と、前記筐体2の内部(大気雰囲気)の酸素濃度よりも遥かに低く設定されている。   At this time, the wafer loading / unloading port 19 is closed by the opening / closing mechanism 22, and the clean air 34 is circulated and filled in the transfer chamber 23. For example, the transfer chamber 23 is filled with nitrogen gas as clean air 34, so that the oxygen concentration is set to 20 ppm or less, which is much lower than the oxygen concentration inside the housing 2 (atmosphere). .

前記載置台21に載置された前記ポッド9はその開口側端面が前記サブ筐体16の前記正面壁17に於ける前記ウェーハ搬入搬出口19の開口縁辺部に押付けられると共に、蓋が前記開閉機構22によって取外され、ウェーハ出入れ口が開放される。   The opening side end surface of the pod 9 placed on the mounting table 21 is pressed against the opening edge of the wafer loading / unloading port 19 in the front wall 17 of the sub casing 16 and the lid is opened and closed. It is removed by the mechanism 22 and the wafer entrance is opened.

前記ポッド9が前記ポッドオープナ14によって開放されると、ウェーハ18は前記ポッド9から前記ウェーハ移載機構24によって取出され、ノッチ合せ装置(図示せず)に移送され、該ノッチ合せ装置にてウェーハ18を整合した後、前記ウェーハ移載機構24はウェーハ18を前記移載室23の後方にある前記待機部27へ搬入し、前記ボート26に装填(チャージング)する。   When the pod 9 is opened by the pod opener 14, the wafer 18 is taken out from the pod 9 by the wafer transfer mechanism 24 and transferred to a notch aligning device (not shown). After aligning 18, the wafer transfer mechanism 24 loads the wafer 18 into the standby section 27 located behind the transfer chamber 23 and charges (charges) the boat 26.

該ボート26にウェーハ18を受渡した前記ウェーハ移載機構24はポッド9に戻り、次のウェーハ18を前記ボート26に装填する。   The wafer transfer mechanism 24 that has transferred the wafer 18 to the boat 26 returns to the pod 9 and loads the next wafer 18 into the boat 26.

一方(上段又は下段)のポッドオープナ14に於ける前記ウェーハ移載機構24によるウェーハ18の前記ボート26への装填作業中に、他方(下段又は上段)のポッドオープナ14には前記回転式ポッド棚11から別のポッド9が前記ポッド搬送装置15によって搬送されて移載され、前記他方のポッドオープナ14によるポッド9の開放作業が同時進行される。   During the loading operation of the wafer 18 into the boat 26 by the wafer transfer mechanism 24 in one (upper or lower) pod opener 14, the other (lower or upper) pod opener 14 has the rotary pod shelf. 11 and another pod 9 is transferred and transferred by the pod transfer device 15, and the opening operation of the pod 9 by the other pod opener 14 is simultaneously performed.

予め指定された枚数のウェーハ18が前記ボート26に装填されると、前記炉口シャッタ29によって閉じられていた前記処理炉28の炉口部が、前記炉口シャッタ29によって開放される。続いて、前記ボート26は前記ボートエレベータ31によって上昇され、前記処理炉28内へ搬入(ローディング)される。   When a predetermined number of wafers 18 are loaded into the boat 26, the furnace port portion of the processing furnace 28 that has been closed by the furnace port shutter 29 is opened by the furnace port shutter 29. Subsequently, the boat 26 is raised by the boat elevator 31 and is loaded into the processing furnace 28.

ローディング後は、前記シールキャップ33によって炉口部が気密に閉塞され、前記処理炉28にてウェーハ18に所要の処理が実行される。   After loading, the furnace port is hermetically closed by the seal cap 33, and a required process is performed on the wafer 18 in the process furnace 28.

処理後は、ノッチ合せ装置(図示せず)でのウェーハ18の整合工程を除き、上記と逆の手順で、ウェーハ18及びポッド9は前記筐体2の外部へ払出される。   After the processing, the wafer 18 and the pod 9 are discharged to the outside of the casing 2 in the reverse procedure to the above except for the alignment process of the wafer 18 with a notch aligner (not shown).

次に、前記処理炉28について図3により説明する。   Next, the processing furnace 28 will be described with reference to FIG.

該処理炉28は加熱手段としてのヒータ38を有する。該ヒータ38は円筒形状であり、保持板としてのヒータベース39に支持されることにより垂直に設置されている。   The processing furnace 28 has a heater 38 as heating means. The heater 38 has a cylindrical shape and is vertically installed by being supported by a heater base 39 as a holding plate.

前記ヒータ38の内側には、該ヒータ38と同心円状に反応管としてのプロセスチューブ41が配設されている。該プロセスチューブ41は内部反応管42と、その外側に同心に設けられた外部反応管43とから構成されている。   Inside the heater 38, a process tube 41 as a reaction tube is disposed concentrically with the heater 38. The process tube 41 includes an internal reaction tube 42 and an external reaction tube 43 provided concentrically on the outside thereof.

前記内部反応管42は、例えば石英(SiO2 )又は炭化シリコン(SiC)等の耐熱性材料からなり、上端及び下端が開口した円筒形状であり、前記外部反応管43は、例えば石英又は炭化シリコン等の耐熱性材料からなり、上端が閉塞し下端が開口した円筒形状となっている。   The inner reaction tube 42 is made of a heat-resistant material such as quartz (SiO2) or silicon carbide (SiC), and has a cylindrical shape with upper and lower ends opened, and the outer reaction tube 43 is formed of quartz or silicon carbide, for example. It has a cylindrical shape with a top end closed and a bottom end open.

前記内部反応管42の内部には処理室44が画成され、該処理室44にはウェーハ18が前記ボート26によって保持され、収容可能となっている。該ボート26は、例えば石英や炭化珪素等の耐熱性材料からなり、所定枚数のウェーハ18を水平姿勢で且つ互いに中心を揃えた状態で整列させて多段に保持する様に構成されている。尚、前記ボート26の下部には、例えば石英や炭化珪素等の耐熱性材料からなる円板形状をした断熱部材としての断熱板61が水平姿勢で多段に複数枚配置されており、前記ヒータ38からの熱がマニホールド45側に伝わり難くなる様構成されている。   A processing chamber 44 is defined inside the internal reaction tube 42, and the wafer 18 is held by the boat 26 and can be accommodated in the processing chamber 44. The boat 26 is made of a heat-resistant material such as quartz or silicon carbide, and is configured to hold a predetermined number of wafers 18 in a horizontal posture and in a state where the centers are aligned with each other and held in multiple stages. A plurality of heat insulating plates 61 as a heat insulating member having a disk shape made of a heat resistant material such as quartz or silicon carbide are arranged in a plurality of stages in a horizontal posture at the lower portion of the boat 26. It is configured so that the heat from the heat is not easily transmitted to the manifold 45 side.

前記外部反応管43の下方には、該外部反応管43と同心円状に前記マニホールド45が配設されている。該マニホールド45は、例えばステンレス等からなり、上端及び下端が開口した円筒形状となっており、該マニホールド45の上端に前記外部反応管43が気密に立設され、前記マニホールド45の内壁に突設された内フランジ46に前記内部反応管42が立設されている。前記プロセスチューブ41と前記マニホールド45により反応容器が形成される。   Below the external reaction tube 43, the manifold 45 is disposed concentrically with the external reaction tube 43. The manifold 45 is made of, for example, stainless steel and has a cylindrical shape with an upper end and a lower end opened. The external reaction tube 43 is installed upright on the upper end of the manifold 45 and protrudes from the inner wall of the manifold 45. The inner reaction tube 42 is erected on the inner flange 46 formed. A reaction vessel is formed by the process tube 41 and the manifold 45.

前記シールキャップ33にはガス導入部としてのノズル50が前記処理室44に連通する様に設けられ、前記ノズル50にはガス供給管47が接続されている。該ガス供給管47には、ガス流量制御器48を介して図示しない処理ガス供給源や不活性ガス供給源が接続されている。前記ガス流量制御器48には、ガス流量制御部49が電気的に接続されており、供給するガスの流量が所望の量となる様所望のタイミングにて制御する様に構成されている。   The seal cap 33 is provided with a nozzle 50 as a gas introduction part so as to communicate with the processing chamber 44, and a gas supply pipe 47 is connected to the nozzle 50. A processing gas supply source and an inert gas supply source (not shown) are connected to the gas supply pipe 47 through a gas flow rate controller 48. A gas flow rate controller 49 is electrically connected to the gas flow rate controller 48, and is configured to control at a desired timing so that the flow rate of the supplied gas becomes a desired amount.

前記マニホールド45には、前記処理室44の雰囲気を排気する排気管51が設けられている。該排気管51は、前記内部反応管42と前記外部反応管43との間に形成される筒状空間52の下端部に連通している。前記排気管51には圧力センサ53及び圧力調整装置54を介して真空ポンプ等の真空排気装置55が接続されており、前記処理室44の圧力が所定の圧力(真空度)となる様真空排気し得る様に構成されている。   The manifold 45 is provided with an exhaust pipe 51 for exhausting the atmosphere of the processing chamber 44. The exhaust pipe 51 communicates with a lower end portion of a cylindrical space 52 formed between the internal reaction pipe 42 and the external reaction pipe 43. A vacuum exhaust device 55 such as a vacuum pump is connected to the exhaust pipe 51 via a pressure sensor 53 and a pressure adjusting device 54, and vacuum exhaust is performed so that the pressure in the processing chamber 44 becomes a predetermined pressure (degree of vacuum). It is configured to be able to.

前記圧力調整装置54及び前記圧力センサ53には、圧力制御部56が電気的に接続されており、該圧力制御部56は前記圧力センサ53により検出された圧力に基づいて前記圧力調整装置54により前記処理室44の圧力が所望の圧力となる様所望のタイミングにて制御する様に構成されている。   A pressure control unit 56 is electrically connected to the pressure adjustment device 54 and the pressure sensor 53, and the pressure control unit 56 is controlled by the pressure adjustment device 54 based on the pressure detected by the pressure sensor 53. It is configured to control at a desired timing so that the pressure in the processing chamber 44 becomes a desired pressure.

前記マニホールド45の下端開口部は炉口部を形成し、該炉口部は前記シールキャップ33によって気密に閉塞可能である。該シールキャップ33は例えばステンレス等の金属からなり、円盤状に形成されている。該シールキャップ33の下面側には、前記ボート26を回転させる回転機構57が設置されている。該回転機構57の回転軸58は前記シールキャップ33を貫通してボート受け台59に連結されており、前記ボート26を回転させることでウェーハ18を回転させる様に構成されている。前記シールキャップ33は前記ボートエレベータ31によって垂直方向に昇降される様に構成されており、これにより前記ボート26を前記処理室44に対し装入引出しすることが可能となっている。前記回転機構57及び前記ボートエレベータ31には、駆動制御部60が電気的に接続されており、所望の作動をする様所望のタイミングにて制御する様に構成されている。   The lower end opening of the manifold 45 forms a furnace port, and the furnace port can be airtightly closed by the seal cap 33. The seal cap 33 is made of a metal such as stainless steel and is formed in a disk shape. A rotation mechanism 57 that rotates the boat 26 is installed on the lower surface side of the seal cap 33. A rotating shaft 58 of the rotating mechanism 57 passes through the seal cap 33 and is connected to a boat pedestal 59, and is configured to rotate the wafer 18 by rotating the boat 26. The seal cap 33 is configured to be moved up and down in the vertical direction by the boat elevator 31, so that the boat 26 can be loaded into and withdrawn from the processing chamber 44. A drive control unit 60 is electrically connected to the rotation mechanism 57 and the boat elevator 31, and is configured to control at a desired timing so as to perform a desired operation.

前記筒状空間52には温度センサ62が前記内部反応管42の下部から上部に掛渡って立設されている。前記ヒータ38と前記温度センサ62には、電気的に温度制御部63が接続されており、該温度制御部63は前記温度センサ62により検出された温度情報に基づき前記ヒータ38への通電状態を調整することにより前記処理室44の温度が所望の温度分布となる様に制御する。   In the cylindrical space 52, a temperature sensor 62 is erected from the lower part to the upper part of the internal reaction tube 42. A temperature control unit 63 is electrically connected to the heater 38 and the temperature sensor 62, and the temperature control unit 63 determines an energization state to the heater 38 based on temperature information detected by the temperature sensor 62. By adjusting the temperature, the temperature of the processing chamber 44 is controlled to have a desired temperature distribution.

前記ガス流量制御部49、前記圧力制御部56、前記駆動制御部60、前記温度制御部63は、操作部、入出力部をも構成し、基板処理装置全体を制御する主制御部64に電気的に接続されている。前記ガス流量制御部49、前記圧力制御部56、前記駆動制御部60、前記温度制御部63、前記主制御部64は制御装置65として構成されている。   The gas flow rate control unit 49, the pressure control unit 56, the drive control unit 60, and the temperature control unit 63 also constitute an operation unit and an input / output unit, and are electrically connected to the main control unit 64 that controls the entire substrate processing apparatus. Connected. The gas flow rate control unit 49, the pressure control unit 56, the drive control unit 60, the temperature control unit 63, and the main control unit 64 are configured as a control device 65.

次に、上記構成に係る処理炉28を用いて、半導体デバイスの製造工程の1工程として、CVD法によりウェーハ18上に薄膜を生成する方法について説明する。尚、以下の説明に於いて、基板処理装置を構成する各部の作動は制御装置65により制御される。   Next, a method of forming a thin film on the wafer 18 by the CVD method as one step of the semiconductor device manufacturing process using the processing furnace 28 having the above configuration will be described. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the control device 65.

所定枚数のウェーハ18が前記ボート26に装填されると、該ボート26は、前記ボートエレベータ31によって上昇されて前記処理室44に装入される。この状態で、前記シールキャップ33は炉口部を気密に閉塞する。   When a predetermined number of wafers 18 are loaded into the boat 26, the boat 26 is raised by the boat elevator 31 and loaded into the processing chamber 44. In this state, the seal cap 33 hermetically closes the furnace port.

前記処理室44が所望の圧力(真空度)となる様に前記真空排気装置55によって真空排気される。この際、前記処理室44の圧力は、前記圧力センサ53で検出され、検出結果に基づき前記圧力調整装置54が、前記処理室44の圧力をフィードバック制御する。   The processing chamber 44 is evacuated by the evacuation device 55 so that a desired pressure (degree of vacuum) is obtained. At this time, the pressure in the processing chamber 44 is detected by the pressure sensor 53, and the pressure adjusting device 54 feedback-controls the pressure in the processing chamber 44 based on the detection result.

又、該処理室44が所望の温度となる様に前記ヒータ38によって加熱される。この際、前記処理室44が所望の温度分布となる様に前記温度センサ62が検出した温度情報に基づき前記ヒータ38への通電具合がフィードバック制御される。続いて、前記回転機構57により、前記ボート26が回転される。該ボート26と一体にウェーハ18が回転され、該ウェーハ18に対する処理が均一化される。   Further, the processing chamber 44 is heated by the heater 38 so as to reach a desired temperature. At this time, the power supply to the heater 38 is feedback-controlled based on the temperature information detected by the temperature sensor 62 so that the processing chamber 44 has a desired temperature distribution. Subsequently, the boat 26 is rotated by the rotation mechanism 57. The wafer 18 is rotated integrally with the boat 26, and the processing on the wafer 18 is made uniform.

次に、処理ガス供給源(図示せず)から処理ガスが供給され、前記ガス流量制御器48にて所望の流量となる様に制御されたガスは、前記ガス供給管47を流通して前記ノズル50から前記処理室44に導入される。導入されたガスは該処理室44を上昇し、前記内部反応管42の上端開口で折返し、前記筒状空間52を流下して前記排気管51から排気される。ガスは前記処理室44を通過する際にウェーハ18の表面と接触し、この際に熱CVD反応によってウェーハ18の表面上に薄膜が成膜される。   Next, a processing gas is supplied from a processing gas supply source (not shown), and the gas controlled to have a desired flow rate by the gas flow rate controller 48 flows through the gas supply pipe 47 and passes through the gas supply pipe 47. It is introduced into the processing chamber 44 from the nozzle 50. The introduced gas ascends in the processing chamber 44, turns back at the upper end opening of the internal reaction tube 42, flows down the cylindrical space 52, and is exhausted from the exhaust pipe 51. When the gas passes through the processing chamber 44, it comes into contact with the surface of the wafer 18, and at this time, a thin film is formed on the surface of the wafer 18 by a thermal CVD reaction.

予め設定された処理時間が経過すると、不活性ガス供給源(図示せず)から不活性ガスが供給され、前記処理室44が不活性ガスに置換されると共に、該処理室44の圧力が常圧に復帰される。   When a preset processing time elapses, an inert gas is supplied from an inert gas supply source (not shown), the processing chamber 44 is replaced with the inert gas, and the pressure in the processing chamber 44 is constantly maintained. Return to pressure.

前記ボートエレベータ31により前記シールキャップ33を介して前記ボート26が降下される。   The boat 26 is lowered by the boat elevator 31 through the seal cap 33.

処理後の処理済みウェーハ18の搬出については、上記説明の通りである。   The unloading of the processed wafer 18 after processing is as described above.

尚、一例迄、本実施の形態の処理炉にてウェーハを処理する際の処理条件としては、例えば、酸化膜の成膜に於いては、処理温度850℃、処理圧力200Pa、ガス種、ガス供給流量H2 、O2 等、30〜6SLMが例示され、それぞれの処理条件を、それぞれの範囲内のある値で一定に維持することでウェーハに処理がなされる。   As an example, the processing conditions for processing a wafer in the processing furnace of the present embodiment include, for example, in the formation of an oxide film, a processing temperature of 850 ° C., a processing pressure of 200 Pa, a gas type, and a gas. Examples of the supply flow rates H2, O2, etc. are 30 to 6 SLMs, and the wafer is processed by keeping the respective processing conditions constant at certain values within the respective ranges.

次に、前記制御装置65について図4を参照して説明する。   Next, the control device 65 will be described with reference to FIG.

図4中、68はCPU等で構成される制御演算部であり、該制御演算部68には入出力制御部69を介して操作部70が接続されると共に前記制御演算部68にはHDD等の外部記憶装置71が接続される。前記制御演算部68、前記操作部70、前記外部記憶装置71等は第1制御手段66を構成する。   In FIG. 4, reference numeral 68 denotes a control calculation unit composed of a CPU or the like. An operation unit 70 is connected to the control calculation unit 68 via an input / output control unit 69, and the control calculation unit 68 includes an HDD or the like. The external storage device 71 is connected. The control calculation unit 68, the operation unit 70, the external storage device 71, and the like constitute a first control unit 66.

又、前記制御演算部68には入出力制御部72を介して前記ガス流量制御部49、前記圧力制御部56、前記駆動制御部60、前記温度制御部63及びバルブの開閉制御を行うシーケンサ73、バルブの開閉状態、ガスの流れ状態を表示するガスパターン表示パネル74等が接続されている。前記ガス流量制御部49、前記圧力制御部56、前記駆動制御部60、前記シーケンサ73、前記ガスパターン表示パネル74等は第2制御手段67を構成する。   The control calculation unit 68 is connected to the gas flow rate control unit 49, the pressure control unit 56, the drive control unit 60, the temperature control unit 63, and a sequencer 73 for controlling the opening / closing of the valve via the input / output control unit 72. A gas pattern display panel 74 for displaying the valve open / close state and the gas flow state is connected. The gas flow rate control unit 49, the pressure control unit 56, the drive control unit 60, the sequencer 73, the gas pattern display panel 74, etc. constitute second control means 67.

前記操作部70は、表示部75、キーボード(図示せず)等を具備し、レシピの作成、設定入力、或は予め作成されたレシピの入力を行う。尚、前記表示部75をタッチパネルとし、キーボードは省略されてもよい。   The operation unit 70 includes a display unit 75, a keyboard (not shown), and the like, and performs recipe creation, setting input, or input of a recipe created in advance. The display unit 75 may be a touch panel and the keyboard may be omitted.

前記外部記憶装置71はプログラム格納部76、データ格納部77を具備し、前記プログラム格納部76にはプロセスを実行する為のプロセスプログラム、プロセスに対応するレシピを実行する為のレシピプログラム、バルブの使用禁止状態を判定する判定プログラム、シーケンスを実行する為のシーケンスプログラム等、基板処理をする為に必要な基板処理プログラムが格納され、前記データ格納部77にはレシピ78、使用禁止状態を設定した使用禁止バルブ設定テーブル79、処理中の前記処理室44の温度、圧力、ガス流量等のデータが記録される。   The external storage device 71 includes a program storage unit 76 and a data storage unit 77. The program storage unit 76 includes a process program for executing a process, a recipe program for executing a recipe corresponding to the process, and a valve program. A substrate processing program necessary for substrate processing, such as a determination program for determining the use prohibition state and a sequence program for executing a sequence, is stored. The data storage unit 77 has a recipe 78 and a use prohibition state set. The use prohibition valve setting table 79 and data such as the temperature, pressure, gas flow rate, etc. of the processing chamber 44 during processing are recorded.

本発明では、実行される基板処理に対応して、使用禁止をすべきバルブについての使用禁止バルブ設定テーブル79を作成し、基板処理を実行する為のレシピを設定入力した場合、設定されたレシピで使用されるバルブが前記使用禁止バルブ設定テーブル79で設定したバルブの使用状態と整合性がとれているかどうかが判断され、適正であれば、基板処理が実行され、整合性がとれていない場合は、アラームを発して基板処理の実行をキャンセルするものである。   In the present invention, in response to the substrate processing to be executed, the use prohibition valve setting table 79 for the valve to be prohibited is created, and when the recipe for executing the substrate processing is set and inputted, the set recipe is set. It is determined whether or not the valve used in the step is consistent with the use state of the valve set in the prohibited valve setting table 79, and if it is appropriate, the substrate processing is executed and the consistency is not achieved. Is to issue an alarm and cancel execution of the substrate processing.

図5〜図8により、バルブの使用禁止の設定、設定の変更等について説明する。   With reference to FIG. 5 to FIG. 8, setting of prohibition of use of the valve, change of setting, and the like will be described.

先ず、基板処理に対応した前記使用禁止バルブ設定テーブル79を作成し、前記操作部70、前記制御演算部68を介して前記データ格納部77に格納する。尚、前記使用禁止バルブ設定テーブル79は基板処理を実行する準備として、処理毎に作成し、設定入力してもよく、或は予め基板処理の内容に応じた使用禁止バルブ設定テーブル79をそれぞれ作成し、前記データ格納部77に格納しておいて、基板処理の内容が決定されると、その内容に対応する使用禁止バルブ設定テーブル79が選択される様にしてもよい。   First, the use prohibition valve setting table 79 corresponding to the substrate processing is created and stored in the data storage unit 77 via the operation unit 70 and the control calculation unit 68. The use prohibition valve setting table 79 may be prepared for each process and input for setting as preparation for executing the substrate processing, or the use prohibition valve setting table 79 corresponding to the contents of the substrate processing is prepared in advance. In addition, when the contents of the substrate processing are determined by being stored in the data storage section 77, the use prohibition valve setting table 79 corresponding to the contents may be selected.

該使用禁止バルブ設定テーブル79は、図5に示される様に配管に設けられたバルブに固有の番号を付し、処理の内容に応じて使用禁止バルブを設定したものである。   In the use prohibition valve setting table 79, as shown in FIG. 5, a unique number is assigned to a valve provided in the pipe, and the use prohibition valve is set according to the contents of processing.

図6は配管とバルブの関係を示す模式図であり、第1分岐管81と第2分岐管82が合流して第1配管83を構成し、第3分岐管84と第4分岐管85が合流して第2配管86を構成し、前記第1分岐管81、前記第2分岐管82、第3分岐管84、第4分岐管85にはそれぞれNo.1バルブ87、No.2バルブ88、No.3バルブ89、No.4バルブ90が設けられている。   FIG. 6 is a schematic diagram showing the relationship between the pipe and the valve. The first branch pipe 81 and the second branch pipe 82 merge to form the first pipe 83, and the third branch pipe 84 and the fourth branch pipe 85 The second pipe 86 is formed by merging, and the first branch pipe 81, the second branch pipe 82, the third branch pipe 84, and the fourth branch pipe 85 are each provided with a No. 2 pipe. 1 valve 87, no. 2 valve 88, no. 3 valve 89, no. A four valve 90 is provided.

又、基板処理装置は4種類の基板処理A,B,C,Dを行うものとし、各基板処理毎に使用禁止バルブ設定テーブル79として、使用禁止バルブ設定テーブルA,B,C,Dが作成され、該使用禁止バルブ設定テーブルA,B,C,Dは前記データ格納部77に格納されるものとする。   Further, the substrate processing apparatus performs four types of substrate processing A, B, C, and D, and the use prohibition valve setting tables A, B, C, and D are created as the use prohibition valve setting table 79 for each substrate processing. The prohibited valve setting tables A, B, C, and D are stored in the data storage unit 77.

尚、使用禁止バルブ設定テーブル79の内容としては、例えば使用禁止バルブ設定テーブルAについては、図7に示される様に、前記No.1バルブ87と前記No.3バルブ89が使用され、前記No.2バルブ88と前記No.4バルブ90とが使用禁止される。   As the contents of the use prohibition valve setting table 79, for example, with respect to the use prohibition valve setting table A, as shown in FIG. No. 1 valve 87 and the above No. 1 3 valve 89 is used. 2 valve 88 and the above No. 2 valve. The use of the four valve 90 is prohibited.

例えば、Aの基板処理を実行するとして、前記操作部70より基板処理Aが実行される様に、操作設定する。又、前記操作部70により基板処理Aを実行する為のレシピを作成する。基板処理Aは複数のステップにより実行され、前記レシピは各ステップ毎の処理条件、例えば供給するガスの種類、ガスの流量、ガスを供給するタイミング、又ガスの供給に伴うバルブの開閉等を設定する。前記レシピは前記データ格納部77に設定入力される。   For example, assuming that the substrate process A is executed, the operation setting is performed so that the substrate process A is executed by the operation unit 70. In addition, a recipe for executing the substrate processing A is created by the operation unit 70. The substrate processing A is executed in a plurality of steps, and the recipe sets processing conditions for each step, for example, the type of gas to be supplied, the gas flow rate, the timing for supplying the gas, and the opening and closing of the valve accompanying the gas supply. To do. The recipe is set and input to the data storage unit 77.

前記操作部70より基板処理Aを開始する指令が入力されると、レシピが呼込まれると共に前記外部記憶装置71から基板処理Aに対応する使用禁止バルブ設定テーブルAが呼込まれ、又バルブの使用禁止状態を判定する判定プログラムが展開される。レシピに書込まれたバルブの使用条件に基づき設定要求が為され、前記判定プログラムにより設定要求と前記使用禁止バルブ設定テーブルAの設定状態とが比較される。この設定状態の比較は、前記使用禁止バルブ設定テーブルAとレシピ全体を予め読込む等して比較してもよいし、又前記使用禁止バルブ設定テーブルAの設定状態とレシピの各ステップを読込む等して比較してもよい。   When a command for starting the substrate processing A is input from the operation unit 70, a recipe is called in, and the use prohibition valve setting table A corresponding to the substrate processing A is called from the external storage device 71. A determination program for determining the use prohibition state is developed. A setting request is made based on the use conditions of the valve written in the recipe, and the setting request is compared with the setting state of the use prohibition valve setting table A by the determination program. The comparison of the setting states may be performed by reading the entire prohibited-use valve setting table A and the entire recipe in advance, or the setting state of the prohibited-use valve setting table A and each step of the recipe are read. It may be compared.

レシピからのバルブ設定内容と前記使用禁止バルブ設定テーブルAの設定内容との整合がとれていない場合は、アラームが発せられる。該使用禁止バルブ設定テーブルAの設定内容と整合していない場合として、例えば基板処理Aを実行するのに基板処理B用のレシピが設定された場合等である。アラームは、前記表示部75にレシピによる設定が誤りである旨のメッセージが表示される、警告灯が点滅される、ブザーが鳴らされる等である。更に実行時にマニュアル操作等で誤ったバルブを開閉した場合も前記使用禁止設定テーブルAの設定内容と整合していないとしてアラームが発生される。   When the valve setting contents from the recipe and the setting contents of the prohibited valve setting table A are not consistent, an alarm is issued. An example of a case where the setting contents of the prohibited valve setting table A do not match is a case where a recipe for the substrate processing B is set to execute the substrate processing A. The alarm is such that a message indicating that the setting by the recipe is incorrect is displayed on the display unit 75, a warning light blinks, a buzzer sounds, and the like. Further, when an incorrect valve is opened and closed by manual operation or the like at the time of execution, an alarm is generated because it is not consistent with the setting contents of the use prohibition setting table A.

又、アラームが発せられると同時に基板処理の指令がキャンセルされる。このことで、作業者は、基板処理を実行する場合の設定に誤りがあったことを知ることができ、更に基板処理がキャンセルされることで、不良処理の発生等が防止できる。   In addition, the substrate processing command is canceled at the same time that an alarm is issued. As a result, the operator can know that there is an error in the setting for executing the substrate processing, and the substrate processing is canceled, so that the occurrence of defective processing can be prevented.

又、レシピからのバルブ設定内容と前記使用禁止バルブ設定テーブルAの設定内容とが整合している場合は、使用禁止バルブの設定が行われ、更に前記プログラム格納部76からシーケンスプログラム等基板処理を実行する為の基板処理プログラムが展開される。   Further, when the valve setting contents from the recipe and the setting contents of the use prohibition valve setting table A match, the use prohibition valve is set, and the board processing such as a sequence program is performed from the program storage unit 76. A substrate processing program for execution is developed.

該基板処理プログラムに基づき前記ガス流量制御部49、前記圧力制御部56、前記駆動制御部60、前記温度制御部63、前記ガスパターン表示パネル74が駆動制御される。更に、前記基板処理プログラムに基づき前記シーケンサ73にバルブ開閉の制御指令が発せられ、該シーケンサ73によって前記No.1バルブ87と前記No.3バルブ89の開閉が制御される。   The gas flow rate control unit 49, the pressure control unit 56, the drive control unit 60, the temperature control unit 63, and the gas pattern display panel 74 are driven and controlled based on the substrate processing program. Further, a valve opening / closing control command is issued to the sequencer 73 based on the substrate processing program. No. 1 valve 87 and the above No. 1 The opening and closing of the three valve 89 is controlled.

尚、実行される基板処理でのバルブの使用、使用禁止は、設定入力されたレシピのバルブの使用状態を前記判定プログラムが前記使用禁止バルブ設定テーブルAによりチェックしているので、バルブの使用禁止は確実である。   Note that the use / prohibition of the valve in the substrate processing to be executed means that the use of the valve is prohibited because the judgment program checks the use state of the valve of the recipe that has been set and input according to the prohibition valve setting table A. Is certain.

次に、基板処理Bを実行する場合は、前記使用禁止バルブ設定テーブルBが呼込まれ、使用するバルブは前記No.2バルブ88、前記No.4バルブ90、使用禁止バルブは前記No.1バルブ87、前記No.3バルブ89と設定され、基板処理Cを実行する場合は、使用するバルブは前記No.2バルブ88、前記No.3バルブ89、使用禁止バルブは前記No.1バルブ87、前記No.4バルブ90と設定され、基板処理Dを実行する場合は、使用するバルブは前記No.1バルブ87、前記No.4バルブ90、使用禁止バルブは前記No.2バルブ88、前記No.3バルブ89と設定される。   Next, when executing the substrate processing B, the unusable valve setting table B is called in, and the valve to be used is the No. 2 valve 88, No. No. 4 valve 90 and use prohibition valve No. 1 valve 87, No. 1 above. In the case where the substrate processing C is executed, the valve to be used is the above-mentioned No. 3 valve 89. 2 valve 88, No. No. 3 valve 89, use prohibition valve is No. No. 1 valve 87, No. 1 above. 4 is set as the valve 90, and when the substrate processing D is executed, the valve to be used is the aforementioned No. 4. No. 1 valve 87, No. 1 above. No. 4 valve 90 and use prohibition valve 2 valve 88, No. Three valves 89 are set.

前記判定プログラムは、実行される基板処理に対応した使用禁止バルブ設定テーブル79に基づきレシピで使用されるバルブをチェックし、それぞれ整合がとれている場合は、基板処理を実行し、又整合がとれていない場合は前記制御演算部68の判定結果を基にアラームを発する。   The determination program checks the valves used in the recipe based on the use prohibition valve setting table 79 corresponding to the substrate processing to be executed, and executes the substrate processing if they are consistent with each other. If not, an alarm is issued based on the determination result of the control calculation unit 68.

而して、基板処理が変った場合は、基板処理に対応した使用禁止バルブ設定テーブル79により、レシピで使用されるバルブが確実にチェックされるので、誤ったレシピが実行され、不良品が発生することが防止される。   Thus, when the substrate processing changes, the valves used in the recipe are surely checked by the use prohibition valve setting table 79 corresponding to the substrate processing, so that an incorrect recipe is executed and a defective product is generated. Is prevented.

又、基板処理A〜D以外の、更に異なる基板処理を行う場合は、処理に対応する使用禁止バルブ設定テーブル79を作成して追加すればよく、基板処理ソフトの変更、或はハードの設定変更等を必要としない。従って、汎用性に富んでおり、1つの基板処理装置で複数の処理や膜種に対応できる。例えば、基板処理AがCVD、基板処理Bが酸化膜を形成する処理、基板処理Cがアニール処理とすることも可能である。又、基板処理Aも基板処理Bも同じCVDだが、基板処理Aが金属膜で基板処理Bが窒化膜又は酸化膜とすることも可能である。   Further, when performing different substrate processing other than the substrate processing A to D, it is only necessary to create and add a use prohibition valve setting table 79 corresponding to the processing, change the substrate processing software, or change the hardware setting. Etc. are not required. Therefore, it is rich in versatility, and can handle a plurality of processes and film types with one substrate processing apparatus. For example, the substrate process A may be CVD, the substrate process B may be an oxide film process, and the substrate process C may be an annealing process. The substrate processing A and the substrate processing B may be the same CVD, but the substrate processing A may be a metal film and the substrate processing B may be a nitride film or an oxide film.

尚、本発明は半導体装置の基板処理装置に限らず、LCD装置を製造する基板処理装置にも実施可能であり、又横型の基板処理装置に対しても実施可能である。又、基板処理についても、ガスを使用する全ての処理に適応ができることは言う迄もない。   The present invention is not limited to a substrate processing apparatus for a semiconductor device, but can be applied to a substrate processing apparatus for manufacturing an LCD device, and can also be applied to a horizontal type substrate processing apparatus. Needless to say, substrate processing can be applied to all processing using gas.

(付記)
尚、本発明は以下の実施の態様を含む。
(Appendix)
The present invention includes the following embodiments.

(付記1)ガスの給排に伴うバルブの開閉等の基板処理内容が記載されたレシピと、複数のステップで構成される前記レシピを作成する為の表示部(操作画面)と、前記レシピの実行指示を行う制御演算部とを有する第1制御手段と、該第1制御手段からの指示に従って、前記レシピを実行する為、制御パラメータを制御する第2制御手段とを具備する基板処理装置に於いて、前記第1制御手段は前記レシピに対応してバルブの使用を規定したテーブルと、前記レシピに規定したバルブの規定内容と前記テーブルの規定内容とを比較し整合性を判定する判定手段とを有することを特徴とする基板処理装置。   (Supplementary note 1) Recipe in which the contents of substrate processing such as opening and closing of valves accompanying gas supply / discharge are described, a display unit (operation screen) for creating the recipe composed of a plurality of steps, A substrate processing apparatus comprising: a first control unit having a control operation unit that issues an execution instruction; and a second control unit that controls a control parameter to execute the recipe in accordance with an instruction from the first control unit. The first control means is a determination means for comparing the table defining the use of the valve corresponding to the recipe, and comparing the specified contents of the valve specified in the recipe with the specified contents of the table to determine consistency. And a substrate processing apparatus.

(付記2)ガスの給排に伴うバルブの開閉等の基板処理内容が記載されたレシピと、複数のステップで構成される前記レシピを作成する為の表示部(操作画面)と、前記レシピの実行指示を行う制御演算部とを有する第1制御手段と、該第1制御手段からの指示に従って、前記レシピを実行して基板処理を行う為、制御パラメータを制御する第2制御手段とを具備する基板処理装置に於いて、前記第1制御手段は前記基板処理に対応してバルブの使用を規定したテーブルと、前記基板処理を実行する場合に実行する前記レシピに規定したバルブの規定内容と前記テーブルの規定内容とを比較し整合性を判定する判定手段とを有することを特徴とする基板処理装置。   (Supplementary note 2) Recipe in which the contents of substrate processing such as opening and closing of valves accompanying gas supply and discharge are described, a display unit (operation screen) for creating the recipe composed of a plurality of steps, A first control unit having a control operation unit for performing an execution instruction; and a second control unit for controlling a control parameter for performing the substrate processing by executing the recipe in accordance with an instruction from the first control unit. In the substrate processing apparatus, the first control means includes a table that defines the use of the valve corresponding to the substrate processing, and the specified content of the valve defined in the recipe that is executed when the substrate processing is performed. A substrate processing apparatus comprising: a determination unit that compares the prescribed contents of the table to determine consistency.

(付記3)前記第1制御手段は、前記レシピを実行する際に、該レシピのステップ全体を予めチェック(読込んで)して、各ステップで動作を設定されたバルブと、予め登録されていた前記テーブルに設定されたバルブとを比較し整合性を判定する判定手段を有する付記1又は付記2の基板処理装置。   (Supplementary note 3) When the recipe is executed, the first control means checks (reads) the entire steps of the recipe in advance, and is pre-registered with a valve whose operation is set in each step. The substrate processing apparatus according to supplementary note 1 or supplementary note 2, further comprising a determination unit that compares the valves set in the table to determine consistency.

(付記4)前記第1制御手段は、前記判定手段が整合性がとれていないと判定した場合、前記第2制御手段への指示を与えない付記1乃至付記3の基板処理装置。   (Supplementary note 4) The substrate processing apparatus according to supplementary notes 1 to 3, wherein the first control unit does not give an instruction to the second control unit when the determination unit determines that the consistency is not achieved.

(付記5)前記第1制御手段は、前記判定手段が整合性がとれていないと判定した場合、更に警告を表示する付記4の基板処理装置。   (Additional remark 5) The said 1st control means is a substrate processing apparatus of Additional remark 4 which displays a warning further, when it determines with the determination means not taking consistency.

(付記6)ガスの給排に伴うバルブの開閉等の基板処理内容が記載されたレシピと、該レシピの実行指示を行う制御演算部とを有する第1制御手段と、該第1制御手段からの指示に従って、前記レシピを実行する為、制御パラメータを制御する第2制御手段とを具備する基板処理装置に於いて、テーブルに前記レシピに対応したバルブの使用を規定し、レシピを実行する場合に実行するレシピに規定したバルブの規定内容と前記テーブルの規定内容とを比較し整合性を判定することを特徴とする基板処理方法。   (Additional remark 6) The 1st control means which has the recipe which described the board | substrate process content, such as opening and closing of the valve accompanying gas supply / discharge, and the control operation part which performs the execution instruction of this recipe, From this 1st control means In the substrate processing apparatus having the second control means for controlling the control parameter in order to execute the recipe according to the instructions in the above, the use of the valve corresponding to the recipe is specified on the table and the recipe is executed A substrate processing method comprising: comparing the prescribed contents of the valve prescribed in the recipe to be executed and the prescribed contents of the table to determine consistency.

(付記7)基板処理がレシピによって実行され、ガスの給排に伴うバルブの開閉等の基板処理内容が記載されたレシピと、該レシピの実行指示を行う制御演算部とを有する第1制御手段と、該第1制御手段からの指示に従って、基板処理を実行する為、制御パラメータを制御する第2制御手段とを具備する基板処理装置に於いて、テーブルに基板処理に対応してバルブの使用を規定し、基板処理を実行する場合に実行する基板処理に規定したバルブの規定内容と前記テーブルの規定内容とを比較し整合性を判定することを特徴とする基板処理方法。   (Additional remark 7) The 1st control means which has a recipe by which the board | substrate process was performed by the recipe and the board | substrate process content, such as opening and closing of a valve accompanying gas supply / discharge, was described, and a control calculation part which performs the execution instruction of this recipe And a second control means for controlling a control parameter in order to execute the substrate processing in accordance with an instruction from the first control means, the use of a valve corresponding to the substrate processing on the table The substrate processing method is characterized in that consistency is determined by comparing the specified content of the valve specified for the substrate processing to be executed when executing the substrate processing with the specified content of the table.

(付記8)ボートに複数の基板を装填するステップと、前記ボートを処理炉に装入するステップと、所定の温度及び所定の圧力に一定とするステップと、所定のガスを流しながら基板に処理を施すステップと、前記ボートを前記処理炉から搬出するステップと、基板を前記ボートから取出すステップとを有する基板処理方法であって、前記基板処理を施すステップを実行する前に、テーブルに規定したバルブの規定内容とレシピに規定したバルブの規定内容を比較し整合性を判定するステップを有することを特徴とする基板処理方法。   (Supplementary Note 8) A step of loading a plurality of substrates into a boat, a step of charging the boat into a processing furnace, a step of maintaining a predetermined temperature and a predetermined pressure, and processing a substrate while flowing a predetermined gas A substrate processing method comprising: a step of unloading the boat from the processing furnace; and a step of unloading the substrate from the boat. The substrate processing method is defined on a table before performing the step of performing the substrate processing. A substrate processing method comprising a step of comparing the prescribed content of the valve and the prescribed content of the valve defined in the recipe to determine consistency.

本発明が実施される基板処理装置の概略斜視図である。1 is a schematic perspective view of a substrate processing apparatus in which the present invention is implemented. 該基板処理装置の概略側面図である。It is a schematic side view of this substrate processing apparatus. 該基板処理装置に使用される縦型処理炉の概略断面図である。It is a schematic sectional drawing of the vertical processing furnace used for this substrate processing apparatus. 該基板処理装置の制御装置を示すブロック図である。It is a block diagram which shows the control apparatus of this substrate processing apparatus. 該制御装置に用いられる使用禁止バルブ設定テーブルの一例を示す図である。It is a figure which shows an example of the use prohibition valve | bulb setting table used for this control apparatus. 本発明の配管と配管、バルブの関係を示す模式図である。It is a schematic diagram which shows the relationship between piping of this invention, piping, and a valve | bulb. 基板処理の種類と基板処理に対応するバルブの使用禁止状態及び使用禁止バルブ設定テーブルとの対応を示す図である。It is a figure which shows a response | compatibility with the kind of board | substrate process, the use prohibition state of the valve | bulb corresponding to a substrate process, and a use prohibition valve setting table. 本発明のバルブ開閉禁止処理の作用を示す説明図である。It is explanatory drawing which shows the effect | action of the valve opening / closing prohibition process of this invention.

符号の説明Explanation of symbols

1 基板処理装置
2 筐体
28 処理炉
41 プロセスチューブ
66 第1制御手段
67 第2制御手段
68 制御演算部
70 操作部
71 外部記憶装置
75 表示部
76 プログラム格納部
77 データ格納部
78 レシピ
79 使用禁止バルブ設定テーブル
DESCRIPTION OF SYMBOLS 1 Substrate processing apparatus 2 Housing | casing 28 Processing furnace 41 Process tube 66 1st control means 67 2nd control means 68 Control calculating part 70 Operation part 71 External storage device 75 Display part 76 Program storage part 77 Data storage part 78 Recipe 79 Use prohibition Valve setting table

Claims (1)

ガスの給排に伴うバルブの開閉等の基板処理内容が記載されたレシピと、該レシピの実行指示を行う制御演算部とを有する第1制御手段と、該第1制御手段からの指示に従って、前記レシピを実行する為、制御パラメータを制御する第2制御手段とを具備する基板処理装置に於いて、前記第1制御手段は前記レシピに対応してバルブの使用を規定したテーブルと、前記レシピに規定したバルブの規定内容と前記テーブルに規定したバルブの規定内容とを比較し整合性を判定する判定手段とを有することを特徴とする基板処理装置。   In accordance with an instruction from the first control means, a first control means having a recipe that describes the contents of substrate processing such as opening and closing of a valve associated with gas supply and discharge, and a control operation unit that instructs execution of the recipe, In order to execute the recipe, a substrate processing apparatus comprising a second control means for controlling a control parameter, wherein the first control means includes a table defining use of a valve corresponding to the recipe, and the recipe A substrate processing apparatus comprising: a determination unit that compares the specified content of the valve specified in the above and the specified content of the valve specified in the table to determine consistency.
JP2006067533A 2006-03-13 2006-03-13 Substrate processing apparatus, substrate processing method, and substrate processing apparatus determination program Active JP4933809B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006067533A JP4933809B2 (en) 2006-03-13 2006-03-13 Substrate processing apparatus, substrate processing method, and substrate processing apparatus determination program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006067533A JP4933809B2 (en) 2006-03-13 2006-03-13 Substrate processing apparatus, substrate processing method, and substrate processing apparatus determination program

Publications (2)

Publication Number Publication Date
JP2007243119A true JP2007243119A (en) 2007-09-20
JP4933809B2 JP4933809B2 (en) 2012-05-16

Family

ID=38588318

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006067533A Active JP4933809B2 (en) 2006-03-13 2006-03-13 Substrate processing apparatus, substrate processing method, and substrate processing apparatus determination program

Country Status (1)

Country Link
JP (1) JP4933809B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101201351B1 (en) 2009-08-31 2012-11-14 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and display method for substrate processing apparatus
JP2017002353A (en) * 2015-06-09 2017-01-05 株式会社日立国際電気 Substrate treatment apparatus, and production method of semiconductor device
JP2020038904A (en) * 2018-09-04 2020-03-12 株式会社Kokusai Electric Substrate processing apparatus and manufacturing method of semiconductor device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6316618A (en) * 1986-07-08 1988-01-23 Yokogawa Electric Corp Control of semiconductor diffusion furnace
JPH02191002A (en) * 1989-01-20 1990-07-26 Nec Corp Program preparing device for semiconductor vapor-phase growing device
JPH0356678A (en) * 1989-07-21 1991-03-12 Nec Corp Control system for vapor growth device
JPH10312967A (en) * 1997-05-09 1998-11-24 Kokusai Electric Co Ltd Material gas controller for semiconductor manufacturing device
JP2002329674A (en) * 2001-03-07 2002-11-15 Applied Materials Inc Valve control system for semiconductor treatment chamber
JP2003077782A (en) * 2001-08-31 2003-03-14 Toshiba Corp Manufacturing method for semiconductor device
JP2003084801A (en) * 2001-09-12 2003-03-19 Tokyo Electron Ltd Interlock mechanism, interlock method and heat treatment method
JP2004311553A (en) * 2003-04-03 2004-11-04 Hitachi Kokusai Electric Inc Semiconductor manufacturing device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6316618A (en) * 1986-07-08 1988-01-23 Yokogawa Electric Corp Control of semiconductor diffusion furnace
JPH02191002A (en) * 1989-01-20 1990-07-26 Nec Corp Program preparing device for semiconductor vapor-phase growing device
JPH0356678A (en) * 1989-07-21 1991-03-12 Nec Corp Control system for vapor growth device
JPH10312967A (en) * 1997-05-09 1998-11-24 Kokusai Electric Co Ltd Material gas controller for semiconductor manufacturing device
JP2002329674A (en) * 2001-03-07 2002-11-15 Applied Materials Inc Valve control system for semiconductor treatment chamber
JP2003077782A (en) * 2001-08-31 2003-03-14 Toshiba Corp Manufacturing method for semiconductor device
JP2003084801A (en) * 2001-09-12 2003-03-19 Tokyo Electron Ltd Interlock mechanism, interlock method and heat treatment method
JP2004311553A (en) * 2003-04-03 2004-11-04 Hitachi Kokusai Electric Inc Semiconductor manufacturing device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101201351B1 (en) 2009-08-31 2012-11-14 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and display method for substrate processing apparatus
JP2017002353A (en) * 2015-06-09 2017-01-05 株式会社日立国際電気 Substrate treatment apparatus, and production method of semiconductor device
JP2020038904A (en) * 2018-09-04 2020-03-12 株式会社Kokusai Electric Substrate processing apparatus and manufacturing method of semiconductor device

Also Published As

Publication number Publication date
JP4933809B2 (en) 2012-05-16

Similar Documents

Publication Publication Date Title
JP2015029057A (en) Substrate processing apparatus, semiconductor device manufacturing method and storage medium
JP5334261B2 (en) Substrate processing apparatus, display method in substrate processing apparatus, and method of manufacturing semiconductor device
JP4917660B2 (en) Substrate processing apparatus, substrate processing apparatus control method, semiconductor device manufacturing method, apparatus state transition method, substrate processing apparatus maintenance method, and state transition program
JP5600503B2 (en) Statistical analysis method, substrate processing system, and program
JP4933809B2 (en) Substrate processing apparatus, substrate processing method, and substrate processing apparatus determination program
WO2011021635A1 (en) Substrate processing system, group management device, and display method for substrate processing system
JP5275606B2 (en) Substrate processing apparatus, screen display program, and display method for substrate processing apparatus
JP5123485B2 (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
JP2011243677A (en) Substrate processing device
JP6775533B2 (en) Substrate processing equipment, semiconductor device manufacturing methods, substrate holders, and small holders
CN106575339B (en) Processing apparatus, controller, processing system, control method of processing apparatus, and display method of substrate processing apparatus
JP7288551B2 (en) Semiconductor device manufacturing method, substrate processing apparatus and program
JP5531003B2 (en) Substrate processing apparatus, substrate processing apparatus maintenance method, and semiconductor device manufacturing method
JP2007258630A (en) Board processing device
JP2008053603A (en) Substrate treatment system
JP2012059724A (en) Substrate processing system
JP2013115189A (en) Substrate processing system
JP6906559B2 (en) Substrate processing equipment, semiconductor equipment manufacturing methods and programs
TW202339054A (en) A substrate processing device, a substrate processing method, a semiconductor device manufacturing method, a program, and a gas supply unit
JP2011204865A (en) Substrate processing device
JP2008072054A (en) Substrate processing apparatus
JP5885945B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
JP2013055239A (en) Substrate processing apparatus
JP2009253217A (en) Substrate processing apparatus
JP2010183068A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090305

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120131

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120217

R150 Certificate of patent or registration of utility model

Ref document number: 4933809

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150224

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250