JP2007243020A - Plasma treatment device - Google Patents

Plasma treatment device Download PDF

Info

Publication number
JP2007243020A
JP2007243020A JP2006065803A JP2006065803A JP2007243020A JP 2007243020 A JP2007243020 A JP 2007243020A JP 2006065803 A JP2006065803 A JP 2006065803A JP 2006065803 A JP2006065803 A JP 2006065803A JP 2007243020 A JP2007243020 A JP 2007243020A
Authority
JP
Japan
Prior art keywords
plasma
wall member
processing apparatus
side wall
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006065803A
Other languages
Japanese (ja)
Inventor
Tadayoshi Kawaguchi
忠義 川口
Muneo Furuse
宗雄 古瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2006065803A priority Critical patent/JP2007243020A/en
Publication of JP2007243020A publication Critical patent/JP2007243020A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a plasma treatment device which performs stable microfabrication for a long period of time by covering an inner wall face of a treatment chamber with a material similar to a reaction product. <P>SOLUTION: The plasma treatment device is provided with: a vacuum treatment container; a sample stage 209 arranged inside the vacuum treatment container; a gas introduction means for introducing a process gas into the vacuum treatment container; a magnetic-field generation means for generating a magnetic field in the treatment container; and an exhaust means arranged at the lower part of the vacuum treatment container so as to exhaust a gas in the container. The plasma treatment device generates plasma by an interaction with the magnetic field while supplying high-frequency power into the vacuum treatment container so as to apply plasma treatment to a sample placed on the sample stage by the generated plasma. The vacuum treatment container is provided with: a tubular sidewall member 216, a lid member for covering the upper part of the sidewall member; and a dielectric plate 208 arranged on the sidewall member side of the lid member. A coating film including yttrium (Y) is formed onto the inner face of the sidewall member and the outer peripheral part of a face on the sidewall member side of the dielectric plate. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、プラズマ処理装置に係り、特に、処理室内壁面の損傷を抑制して、長期にわたり安定した微細加工が可能なプラズマ処理装置に関する。   The present invention relates to a plasma processing apparatus, and more particularly to a plasma processing apparatus capable of suppressing damage to a wall surface of a processing chamber and performing stable fine processing over a long period of time.

シリコンウエハなどの被加工板材を加工して半導体デバイスを製作する半導体製造装置として、プラズマCVDやプラズマエッチング装置などのプラズマ処理装置が広く使用されている。   2. Description of the Related Art Plasma processing apparatuses such as plasma CVD and plasma etching apparatuses are widely used as semiconductor manufacturing apparatuses for manufacturing semiconductor devices by processing a workpiece such as a silicon wafer.

近年、デバイスの高集積化に伴い、回路パターンは微細化の一途をたどっており、これらのプラズマ処理装置に要求される加工寸法の精度はますます厳しくなっている。また、デバイスの構成材料の多様化に伴ってエッチングレシピも複雑となり、長期量産安定化が重要な課題となっている。   In recent years, with the high integration of devices, circuit patterns are continually miniaturized, and the precision of processing dimensions required for these plasma processing apparatuses is becoming increasingly severe. In addition, with the diversification of device constituent materials, the etching recipe becomes complicated, and long-term mass production stabilization is an important issue.

例えば、プラズマ処理装置では、フッ化物、塩化物、臭化物などの反応性ガスのプラズマを用いるために処理室内壁面が化学的及び物理的に侵食され、また、ウエハ処理枚数の増加に伴い、反応生成物が処理室内壁に付着することにより、長期的に安定した処理が不可能となる場合がある。   For example, in a plasma processing apparatus, a reactive gas plasma such as fluoride, chloride, bromide, etc. is used, so that the inner wall of the processing chamber is chemically and physically eroded, and as the number of wafers processed increases, reaction generation occurs. If an object adheres to the inner wall of the processing chamber, stable processing may not be possible for a long time.

また、前記侵食された処理室の壁面部材とプラズマ中の活性なラジカルとが化学反応を起こし、処理室内壁に異物として再付着する場合もある。内壁に再付着した異物は、エッチングを繰り返すことで次第に厚さを増し、最悪の場合は異物がウエハ上に剥がれ落ちて製品不良を引き起こすことがある。   Further, the eroded processing chamber wall member and active radicals in the plasma may cause a chemical reaction and reattach as foreign matter to the processing chamber wall. The foreign matter reattached to the inner wall gradually increases in thickness by repeating the etching, and in the worst case, the foreign matter may fall off on the wafer and cause a product defect.

このような問題に対処するために、プラズマ処理装置では、処理室内壁などの部材の表面に化学反応に安定な陽極酸化処理(いわゆるアルマイト処理、一般的な厚さは20μm)を施すことが行われてきた。また、前記ウエハを載置するステージには、高純度の焼結アルミナが用いられることが多い。   In order to cope with such a problem, in the plasma processing apparatus, the surface of a member such as a processing chamber wall is subjected to anodizing treatment (so-called alumite treatment, a general thickness of 20 μm) that is stable against chemical reaction. I have been. Further, high-purity sintered alumina is often used for the stage on which the wafer is placed.

しかしながら、近年ではアルミニウムの汚染低減も重要な課題となってきており、長期間に渡り安定して処理を続ける上で、アルマイト及びアルミナ以外の耐プラズマ性材料を使用することが考えられている。例えば、プラズマ処理装置の処理室壁面やステージに、Y,Tb,あるいはYFを主成分とした材料を被覆することにより、より長期的に安定な処理を可能とすることができることが知られている。このような技術が特許文献1、2に記載されている。
特開2005−243987号公報 特開2005−243988号公報
However, in recent years, the reduction of aluminum contamination has also become an important issue, and it is considered to use a plasma-resistant material other than alumite and alumina in order to continue the treatment stably over a long period of time. For example, by covering a processing chamber wall or stage of a plasma processing apparatus with a material mainly composed of Y 2 O 3 , Tb 2 O 3 , or YF 3 , it is possible to perform stable treatment for a longer period of time. It is known that Such techniques are described in Patent Documents 1 and 2.
JP 2005-243987 A Japanese Patent Laid-Open No. 2005-243988

上記従来技術において用いられているアルマイトは、長期にわたり安定した処理を保証する目的からすると、耐プラズマ性が不十分である。また、前記アルマイトが削れて生じたアルミニウムは被処理対象である半導体ウエハ表面を汚染することがある。   The alumite used in the above prior art has insufficient plasma resistance for the purpose of guaranteeing stable treatment over a long period of time. In addition, the aluminum produced by cutting the anodized aluminum may contaminate the surface of the semiconductor wafer to be processed.

また、前記特許文献1、2等に示される技術は、耐プラズマ性の観点からは有効である。しかし、被膜する個所には配慮がなされておらず、耐プラズマ性材料の効果を十分に引き出しているとは言いがたい。   The techniques disclosed in Patent Documents 1 and 2 are effective from the viewpoint of plasma resistance. However, no consideration is given to the place where the film is coated, and it cannot be said that the effect of the plasma-resistant material is sufficiently drawn out.

例えば、従来技術1には、処理室壁全面を耐プラズマ性被膜で被覆することが示されている。このように、処理室全面を耐プラズマ性被膜で被覆することは耐プラズマ性の観点からは有効である。しかし、処理室全面を耐プラズマ性膜で被覆した場合には、ウエハを処理する上でプラズマからの発光を採光できないために、ウエハ処理時に終点判定を行うことができない。一方、処理室を構成する石英部材に耐プラズマ性材料を被覆することなく使用すると、ウエハ処理枚数の増加に伴ってエッチングレートが変動するという経時変化が起きる。このため、長期的に安定した処理を継続することが困難となる。   For example, the prior art 1 shows that the entire processing chamber wall is coated with a plasma-resistant coating. Thus, it is effective from the viewpoint of plasma resistance to coat the entire processing chamber with a plasma-resistant coating. However, when the entire processing chamber is covered with a plasma-resistant film, it is impossible to determine the end point at the time of wafer processing because light emitted from plasma cannot be collected for processing the wafer. On the other hand, if the quartz member constituting the processing chamber is used without being coated with a plasma-resistant material, a change with time occurs in that the etching rate varies as the number of wafers processed increases. For this reason, it becomes difficult to continue stable processing for a long time.

本発明は、これらの問題点に鑑みてなされたもので、処理室内壁面の一部を付着する反応生成物と同等な材料で被覆して長期にわたり安定した微細加工が可能なプラズマ処理装置を提供するものである。   The present invention has been made in view of these problems, and provides a plasma processing apparatus capable of microfabrication stable over a long period of time by coating a part of a processing chamber wall surface with a material equivalent to a reaction product adhering thereto. To do.

本発明は上記課題を解決するため、次のような手段を採用した。   In order to solve the above problems, the present invention employs the following means.

真空処理容器、該真空処理容器内に配置した試料台、前記真空処理容器内にプロセスガスを導入するガス導入手段、前記処理容器内に磁場を生成する磁場発生手段、および前記真空処理容器の下部に配置され前記容器内のガスを排気する排気手段を備え、前記真空処理容器内に高周波電力を供給して前記磁場との相互作用によりプラズマを生成し、生成したプラズマにより前記試料台に載置した試料にプラズマ処理を施すプラズマ処理装置において、前記真空処理容器は、筒状の側壁部材と該側壁部材の上部を覆う蓋部材と該蓋部材の側壁部材側に配置した誘電体製板を備え、前記側壁部材の内面および前記誘電体製板の側壁部材側の面の外周部はイットリウム(Y)を含む被膜を形成した。   A vacuum processing container, a sample stage arranged in the vacuum processing container, a gas introducing means for introducing a process gas into the vacuum processing container, a magnetic field generating means for generating a magnetic field in the processing container, and a lower part of the vacuum processing container And an evacuation means for evacuating the gas in the container, supplying high-frequency power into the vacuum processing container to generate plasma by interaction with the magnetic field, and placing the plasma on the sample stage by the generated plasma In the plasma processing apparatus for performing plasma processing on the sample, the vacuum processing container includes a cylindrical side wall member, a lid member that covers an upper portion of the side wall member, and a dielectric plate disposed on the side wall member side of the lid member. The outer peripheral portion of the inner surface of the side wall member and the surface of the dielectric plate on the side wall member side formed a film containing yttrium (Y).

本発明は、以上のような構成を備えるため、長期にわたり安定した微細加工が可能なプラズマ処理装置を提供することができる。   Since the present invention has the above-described configuration, it is possible to provide a plasma processing apparatus capable of performing stable microfabrication over a long period of time.

以下、最良の実施形態を添付図面を参照しながら説明する。図1は、本実施形態で使用する真空処理装置の全体構成を説明する概略の平面図である。   Hereinafter, the best embodiment will be described with reference to the accompanying drawings. FIG. 1 is a schematic plan view for explaining the overall configuration of a vacuum processing apparatus used in the present embodiment.

図1において、真空処理装置本体100は2つのブロックに分けることができる。すなわち、装置本体100の前方(図の下方)には、大気側ブロック101が配置される。外部から装置本体100に供給されたウエハは、この大気側ブロック101を介して、処理ブロック102に搬送される。   In FIG. 1, the vacuum processing apparatus main body 100 can be divided into two blocks. That is, the atmosphere side block 101 is disposed in front of the apparatus main body 100 (downward in the drawing). A wafer supplied to the apparatus main body 100 from the outside is transferred to the processing block 102 via the atmosphere side block 101.

装置本体100の後方(図の上方)には、前記処理ブロック102を配置する。処理ブロック102には、減圧環境下でウエハを処理する真空処理室を有する処理ユニット103、103’、および104、104’と、これらの処理室にウエハを減圧下で搬送する搬送ユニット105、およびこの搬送ユニット105と大気側ブロック101とを接続する複数のロック室113、113’を備える。これらのユニットは、減圧されて高い真空度の圧力に維持可能なユニットである。すなわち、処理ブロック102は真空ブロックである。   The processing block 102 is disposed behind the apparatus main body 100 (upward in the drawing). The processing block 102 includes processing units 103, 103 ′ and 104, 104 ′ having vacuum processing chambers for processing wafers in a reduced pressure environment, a transfer unit 105 for transferring wafers to these processing chambers under reduced pressure, and A plurality of lock chambers 113, 113 ′ connecting the transfer unit 105 and the atmosphere side block 101 are provided. These units are units that can be decompressed and maintained at a high degree of vacuum. That is, the processing block 102 is a vacuum block.

一方、大気側ブロック101は、内部に搬送ロボット(図示せず)を備えた筐体108を有し、この筐体108にはウエハカセット109およびダミーカセット110が取り付けられる。ウエハカセット109には処理用またはクリーニング用のウエハが収納され、ダミーカセット110にはダミーウエハが収納される。   On the other hand, the atmosphere side block 101 has a casing 108 provided with a transfer robot (not shown) inside, and a wafer cassette 109 and a dummy cassette 110 are attached to the casing 108. Wafer cassette 109 stores processing or cleaning wafers, and dummy cassette 110 stores dummy wafers.

筐体内108内の搬送ロボットは、これらのカセット109、110とロック室ユニット113、113’との間でウエハを搬入あるいは搬出する。また、大気側ブロック101は、筐体108上に位置合わせ部111を備えている。搬送ロボットはこの位置合わせ部111を利用して、搬送されるウエハの姿勢を変更することができる。これにより、カセット109,110あるいはロック室ユニット113,113’内における搬送後のウエハの姿勢を所望の姿勢とすることができる。   The transfer robot in the housing 108 loads or unloads wafers between the cassettes 109 and 110 and the lock chamber units 113 and 113 '. In addition, the atmosphere side block 101 includes an alignment unit 111 on the housing 108. The transfer robot can change the posture of the transferred wafer by using the alignment unit 111. Thereby, the posture of the wafer after transfer in the cassettes 109 and 110 or the lock chamber units 113 and 113 'can be set to a desired posture.

なお、本実施形態における処理ブロック102を構成する処理ユニット103,103’および104,104’は、処理ユニット103,103’がエッチング処理ユニットであり、処理ユニット104,104’がアッシング処理ユニットとする。   In the processing units 103, 103 ′ and 104, 104 ′ constituting the processing block 102 in this embodiment, the processing units 103, 103 ′ are etching processing units, and the processing units 104, 104 ′ are ashing processing units. .

また、処理ブロック102には、処理ブロック102を構成するユニットあるいは処理室に必要なガスや液体等の流体の供給を調節する流量調節装置(Mass Flow Controller)を含む制御ユニット107,107’を備え、これらユニットは処理ユニット103,103’の下部に配置されている。   Further, the processing block 102 includes control units 107 and 107 ′ including a flow rate adjusting device (Mass Flow Controller) that adjusts the supply of a fluid such as a gas or a liquid necessary for the unit constituting the processing block 102 or the processing chamber. These units are arranged below the processing units 103 and 103 ′.

前述のように、処理ユニット103,103’および104,104’は、それぞれエッチング処理ユニットおよびアッシング処理ユニットであり、これらユニットは、平面が多角形型の搬送ユニット105の多角形の各辺に接続されている。更に搬送ユニット105の残る辺にはロック室113,113’が接続されている。   As described above, the processing units 103, 103 ′ and 104, 104 ′ are an etching processing unit and an ashing processing unit, respectively, and these units are connected to each side of the polygon of the transfer unit 105 having a polygonal plane. Has been. Further, lock chambers 113 and 113 ′ are connected to the remaining side of the transport unit 105.

また、本実施形態では、搬送ユニット105に接続された処理ユニット103,103’および104,104’は、この搬送ユニット105に対して着脱可能に接続されている。また、搬送ユニット105は、ロック室113,113’と着脱可能に接続されている。   In this embodiment, the processing units 103, 103 ′ and 104, 104 ′ connected to the transport unit 105 are detachably connected to the transport unit 105. Further, the transport unit 105 is detachably connected to the lock chambers 113 and 113 '.

次に、図1に示す処理ユニット(プラズマ処理装置)の構成について、図2を用いて説明する。図2は、図1に示すエッチング処理ユニットの構成を説明する縦断面図である。   Next, the configuration of the processing unit (plasma processing apparatus) shown in FIG. 1 will be described with reference to FIG. FIG. 2 is a longitudinal sectional view for explaining the configuration of the etching processing unit shown in FIG.

図2に示すように、処理ユニット200の上部には放電室部210が配置されており、この放電室部は、真空容器の蓋を構成する蓋部材201と、この蓋部材201の内側に配置されたアンテナ202と、このアンテナ202の側方と上方とに配置され放電室部を囲んで配置された磁場発生部203と、このアンテナ202の下方に配置された天井部材とを含んで構成されている。また、磁場発生部203上方にはアンテナ202が放出する300MHzから1GHzのUHF帯の周波数の電力を供給する高周波電源部205が配置されており、本実施形態では電源部205の周波数を450MHzとしている。アンテナ202はSUS等の導電性部材で構成された蓋部材201の内側に配置されており、このアンテナ202と蓋部材201との間には、これらの間を絶縁するとともにアンテナ202から放出される電磁波を下方の天井部材側に伝導する誘電体206を配置している。   As shown in FIG. 2, a discharge chamber 210 is disposed at the top of the processing unit 200, and the discharge chamber is disposed inside the lid member 201 that forms a lid of the vacuum vessel. Antenna 202, a magnetic field generator 203 disposed on the side and above the antenna 202 and surrounding the discharge chamber, and a ceiling member disposed below the antenna 202. ing. Further, a high frequency power supply unit 205 that supplies power of a UHF band frequency of 300 MHz to 1 GHz emitted from the antenna 202 is disposed above the magnetic field generation unit 203. In this embodiment, the frequency of the power supply unit 205 is set to 450 MHz. . The antenna 202 is disposed inside a lid member 201 made of a conductive member such as SUS. The antenna 202 and the lid member 201 are insulated from each other and emitted from the antenna 202. A dielectric 206 that conducts electromagnetic waves to the lower ceiling member side is disposed.

また、天井部材は、伝達されてきた電磁波を下方の処理室内側に伝導するため真空容器内の処理室上面を覆っている石英等の誘電体で構成された石英プレート207と、この石英プレート207の下方に配置され、供給された処理用のプロセスガスを処理室の内側に分散して導入するための複数の孔が形成されたシャワープレート208を有している。なお、シャワープレート208は絶縁性の材料で一体に形成され外周部を支持される円板である。    The ceiling member includes a quartz plate 207 made of a dielectric material such as quartz covering the upper surface of the processing chamber in the vacuum vessel in order to conduct the transmitted electromagnetic wave to the lower processing chamber side, and the quartz plate 207. And a shower plate 208 having a plurality of holes formed therein for dispersing and introducing the supplied processing process gas into the processing chamber. The shower plate 208 is a disc that is integrally formed of an insulating material and has an outer peripheral portion supported.

シャワープレート208の下方の試料台209の上方に形成された空間は、供給されたプロセスガスに、石英プレート208を通って導入されたアンテナ202からの電磁波と、磁場発生部203から供給され磁場とを印加し、これらの相互作用によりプラズマを形成する放電室210となっている。また、石英プレート207とシャワープレート208との間は微小な空間が形成されており、この空間にプロセスガスが先ず供給され、シャワープレートの孔を通って放電室210に流入する。この空間はプロセスガスが複数の孔から分散して放電室210に流入するよう設けられたバッファ室211となっている。このプロセスガスは、流量を調節する制御器214から供給され、プロセスガスライン212およびプロセスガス遮断バルブ213を経て処理ユニット103へ供給される。   The space formed above the sample stage 209 below the shower plate 208 is an electromagnetic wave from the antenna 202 introduced into the supplied process gas through the quartz plate 208, and the magnetic field supplied from the magnetic field generator 203. The discharge chamber 210 forms a plasma by the interaction of these. In addition, a minute space is formed between the quartz plate 207 and the shower plate 208, and the process gas is first supplied to this space and flows into the discharge chamber 210 through the hole of the shower plate. This space is a buffer chamber 211 provided so that the process gas is dispersed from the plurality of holes and flows into the discharge chamber 210. The process gas is supplied from a controller 214 that adjusts the flow rate, and is supplied to the processing unit 103 through a process gas line 212 and a process gas shut-off valve 213.

このようにして、複数の孔からプロセスガスを分散して放電室210に導入することができる。また、これらの孔は、主に試料台209上の試料が載置される位置に対向した位置に配置されており、ガスを均一となるように分散するバッファ室211と協働してプラズマの密度をより均一にすることができる。   In this manner, the process gas can be dispersed and introduced into the discharge chamber 210 from the plurality of holes. These holes are mainly arranged at positions facing the position on the sample stage 209 where the sample is placed, and cooperate with the buffer chamber 211 that disperses the gas so as to be uniform. The density can be made more uniform.

また、蓋部材201の下方で石英プレート207およびシャワープレート208の外周側には下部リング215が配置されており、この下部リング215の内部には、バッファ室211にプロセスガスを通流するガスライン212と連通したガス通路が設けられている。   A lower ring 215 is disposed below the lid member 201 on the outer peripheral side of the quartz plate 207 and the shower plate 208, and a gas line through which the process gas flows into the buffer chamber 211 is disposed inside the lower ring 215. A gas passage communicating with 212 is provided.

また、シャワープレート208の下方には、真空容器の内側でプラズマに面して放電室210を区画する放電室内側壁部材216が備えられている。この内側壁部材216の外周側には、これを取り囲んで配置された放電室外側壁部材217備えられており、この放電室の内側壁部材216の外側の壁面と外側壁部材217の内側の壁面とは対向して接触している。なお、本実施形態では、内側壁部材216、外側壁部材217は各々略円筒形状を有し、ほぼ同心となるように構成されている。外側壁部材217の外周面には、ヒータが巻き付けられて配置され、外側壁部材217の温度を調節することによりこれに接触した内側壁部材216の表面の温度を調節している。   Further, below the shower plate 208, a discharge chamber side wall member 216 that partitions the discharge chamber 210 facing the plasma inside the vacuum vessel is provided. On the outer peripheral side of the inner wall member 216, there is provided a discharge chamber outer wall member 217 disposed so as to surround the inner wall member 216. The outer wall surface of the inner wall member 216 and the inner wall surface of the outer wall member 217 of the discharge chamber are provided. Are in contact with each other. In the present embodiment, the inner wall member 216 and the outer wall member 217 each have a substantially cylindrical shape and are configured to be substantially concentric. A heater is wound around the outer peripheral surface of the outer wall member 217, and the temperature of the inner wall member 216 in contact with the outer wall member 217 is adjusted by adjusting the temperature of the outer wall member 217.

外側壁部材217の外周側には、その下面に接触する放電室ベースプレート218が配置されている。この放電室べースプレート218の下面はその下方に配置される真空室部と接続する。なお、内側壁部材216は、放電室210内部のプラズマおよび電極の役目を果たす試料台209に対する接地電極の作用をする部材でもあり、プラズマの電位を安定させるために必要な面積を有している。内側壁部材216は、この接地電極としての作用のため、接触して接続される外側壁部材217および蓋部材201との間での熱伝導性および導電性を十分確保する必要がある。   On the outer peripheral side of the outer wall member 217, a discharge chamber base plate 218 that is in contact with the lower surface thereof is disposed. The lower surface of the discharge chamber base plate 218 is connected to a vacuum chamber portion disposed below the discharge chamber base plate 218. The inner wall member 216 is also a member that acts as a ground electrode for the sample stage 209 serving as plasma and electrodes in the discharge chamber 210, and has an area necessary for stabilizing the plasma potential. . The inner wall member 216 needs to ensure sufficient thermal conductivity and conductivity between the outer wall member 217 and the lid member 201 that are connected in contact with each other because of the action as the ground electrode.

なお、内側壁部材216と外側壁部材217および蓋部材201とはともに、導電性を有する部材で構成され、処理ユニット200外側の大気側へ露出されており、接地のための配線の接続が容易となるように構成されている。   Note that the inner wall member 216, the outer wall member 217, and the lid member 201 are all made of a conductive member, and are exposed to the atmosphere side outside the processing unit 200, so that wiring for grounding can be easily connected. It is comprised so that.

処理ユニット200における真空処理室の外壁を構成する外側チャンバ219,220の内側には1つ以上のチャンバが配置されている。すなわち、一方が他方の内側に配置された多重チャンバとなっている。本実施形態では、内外2つのチャンバを有している。すなわち、上部外側チャンバ219の内側に内側チャンバ221が、下部外側チャンバ220の内側に内側チャンバ222が備えられている。つまり、上下2つの内側チャンバ221,222が備えられている。試料台209は内側チャンバ221,222の内側に配置されており、最も内側のチャンバの内部は、プラズマが形成され、ガス、反応生成物が流れて排気される真空処理室223を構成する。   One or more chambers are arranged inside the outer chambers 219 and 220 constituting the outer wall of the vacuum processing chamber in the processing unit 200. That is, one is a multiple chamber arranged inside the other. In this embodiment, it has two chambers inside and outside. That is, the inner chamber 221 is provided inside the upper outer chamber 219, and the inner chamber 222 is provided inside the lower outer chamber 220. That is, two upper and lower inner chambers 221 and 222 are provided. The sample stage 209 is disposed inside the inner chambers 221 and 222, and the inside of the innermost chamber constitutes a vacuum processing chamber 223 in which plasma is formed and gas and reaction products flow and are exhausted.

また、内側チャンバ221,222は導電性を備えて、外側チャンバ219,220に対して導通を有して、所定の電位とされる。内側チャンバ221,222は上記のようにその内側のプラズマと面しており、処理を安定させるため、あるいはプラズマ内の粒子との相互作用を安定にするために、特定の電位に設定される必要が有る。本実施形態では、内側チャンバ221,222を接地電位に設定している。これにより、上記放電室内側壁部材216と同様、プラズマの電位が安定するとともに相互作用が安定する。   In addition, the inner chambers 221 and 222 have conductivity, and are electrically connected to the outer chambers 219 and 220 so as to have a predetermined potential. The inner chambers 221 and 222 face the plasma inside as described above, and need to be set to a specific potential in order to stabilize the process or to stabilize the interaction with the particles in the plasma. There is. In the present embodiment, the inner chambers 221 and 222 are set to the ground potential. Thereby, like the discharge chamber side wall member 216, the potential of the plasma is stabilized and the interaction is stabilized.

本実施形態では、真空室を構成する壁の表面の温度を調節して、その表面とプラズマやこれに含まれる粒子、ガス、反応生成物との相互作用を調節している。このようにプラズマとこれに面する真空室の壁面との相互作用を適切に調節することで、プラズマの密度や組成等プラズマの特性を所望の状態にすることができる。また、本実施形態の構成では、真空室部を構成する内側チャンバ219、外側チャンバ220の間には排気手段により減圧されて高い真空度に維持される空間がある。このため真空室223を構成する内側チャンバ219の温度を調節するには工夫が必要となる。   In this embodiment, the temperature of the surface of the wall constituting the vacuum chamber is adjusted to adjust the interaction between the surface and the plasma, particles contained therein, gas, and reaction product. As described above, by appropriately adjusting the interaction between the plasma and the wall surface of the vacuum chamber facing the plasma, the plasma characteristics such as plasma density and composition can be brought into a desired state. Further, in the configuration of the present embodiment, there is a space between the inner chamber 219 and the outer chamber 220 constituting the vacuum chamber part that is decompressed by the exhaust means and maintained at a high degree of vacuum. For this reason, a device is required to adjust the temperature of the inner chamber 219 constituting the vacuum chamber 223.

試料台209は、一般に静電吸着電極と呼ばれているものである。静電吸着電極である試料台209は、アルミニウム製の静電吸着電極234、誘電体膜235、および電極カバー236で構成される。図示していないが、静電吸着電極234内には、冷媒が循環する流路が形成されており、温調ユニットにより所定の温度に調節された冷媒が供給されている。冷媒の温度は−10〜60℃程度である。   The sample stage 209 is generally called an electrostatic adsorption electrode. The sample stage 209 that is an electrostatic chucking electrode includes an electrostatic chucking electrode 234 made of aluminum, a dielectric film 235, and an electrode cover 236. Although not shown, a flow path through which the refrigerant circulates is formed in the electrostatic adsorption electrode 234, and the refrigerant adjusted to a predetermined temperature by the temperature control unit is supplied. The temperature of the refrigerant is about −10 to 60 ° C.

電極カバー236は、その上に半導体ウエハが載置される略円形の面である誘電体膜235を保護するためのカバーである。この電極カバー236はウエハ載置面である誘電体膜235の外周側壁を囲んで配置され、試料台209の上面の外周部に被せられている。その材質としては、アルミナ、石英等の絶縁体や、セラミクス、シリコン化合物等が用いられる。また、試料台209の大きさは、12インチ(直径300mm)の半導体ウエハを対象とした場合には、直径が340mmで、全体の厚さが40mmである。静電吸着電極234には、静電吸着用の高電圧電源237と、たとえば200kHzから13.56MHzの範囲のバイアス電力を供給するバイアス電源238がマッチング回路239を介してそれぞれ接続される。なお、本実施形態では、バイアス電源238の周波数を2MHzとしている。誘電体膜235には、放射状に伸びる直線状のスリットと、これに連通した複数条の同心円状のスリットとが設けてあり、これらに連通して開口されたガス導入孔からは伝熱用のHeガスが導入され、スリットによりウエハの裏面に均一な圧力のHeガス(通常1000Pa程度)が充填される。   The electrode cover 236 is a cover for protecting the dielectric film 235 which is a substantially circular surface on which the semiconductor wafer is placed. The electrode cover 236 is disposed so as to surround the outer peripheral side wall of the dielectric film 235 which is a wafer mounting surface, and is placed on the outer peripheral portion of the upper surface of the sample table 209. As the material, insulators such as alumina and quartz, ceramics, silicon compounds, and the like are used. In addition, the size of the sample stage 209 is 340 mm in diameter and 40 mm in total thickness when a 12-inch (300 mm diameter) semiconductor wafer is targeted. A high voltage power source 237 for electrostatic attraction and a bias power source 238 for supplying bias power in the range of 200 kHz to 13.56 MHz, for example, are connected to the electrostatic attraction electrode 234 via a matching circuit 239. In the present embodiment, the frequency of the bias power source 238 is 2 MHz. The dielectric film 235 is provided with linear slits extending radially and a plurality of concentric slits communicating with the slits, and heat transfer from the gas introduction holes opened in communication therewith. He gas is introduced, and the back surface of the wafer is filled with a uniform pressure of He gas (usually about 1000 Pa) through the slit.

本実施形態に示す誘電体膜は、厚さは0.1mmの溶射法で形成したアルミナセラミックスからなる。なお、誘電体膜235の材質や厚さは、この例に限られたものではなく、例えば合成樹脂の場合は、それに応じて0.1mmから数mmの厚さが選択できる。また、誘電体膜235内部には、前記した被処理対象である半導体ウエハを誘電体膜235(試料台209)上に吸着して保持するための電圧が印加される薄膜状の電極が設けられている。   The dielectric film shown in the present embodiment is made of alumina ceramics formed by a thermal spraying method having a thickness of 0.1 mm. The material and thickness of the dielectric film 235 are not limited to this example. For example, in the case of a synthetic resin, a thickness of 0.1 mm to several mm can be selected. In addition, a thin film electrode to which a voltage for adsorbing and holding the semiconductor wafer to be processed on the dielectric film 235 (sample stage 209) is provided is provided inside the dielectric film 235. ing.

本実施形態では、放電室ベースプレート218の内側に熱交換媒体が通流する媒体通路(図示せず)を配置し、この媒体通路内に水等の熱交換媒体を循環して通流することにより放電室ベースプレート218の温度を調節し、これにより放電室ベースプレート218と内側チャンバ221の部材との間に配置されてこれらを接続する部材を介して内側チャンバ221の温度を調節している。つまり、放電室ベースプレート218と内側チャンバ221の側壁部材とが熱的に接続されており、両者間で熱が伝導されて熱交換される。熱伝導して熱交換が可能であれば、これらの間に別部材を配置してもよい。   In the present embodiment, a medium passage (not shown) through which a heat exchange medium flows is arranged inside the discharge chamber base plate 218, and a heat exchange medium such as water is circulated through the medium passage. The temperature of the discharge chamber base plate 218 is adjusted, whereby the temperature of the inner chamber 221 is adjusted via a member that is disposed between the discharge chamber base plate 218 and the members of the inner chamber 221 and connects them. That is, the discharge chamber base plate 218 and the side wall member of the inner chamber 221 are thermally connected, and heat is conducted between the two to exchange heat. If heat exchange is possible through heat conduction, another member may be disposed between them.

処理対象である試料を内側チャンバ221,222内の試料台209上に載置するには、内側チャンバ221あるいは222内にウエハを搬送することのできるゲートが必要となる。更に、このゲートを開閉してこのチャンバの内側と外側の空間を遮断し連通するバルブが必要となる。   In order to place the sample to be processed on the sample stage 209 in the inner chambers 221 and 222, a gate capable of transporting the wafer into the inner chamber 221 or 222 is required. Further, a valve that opens and closes the gate to cut off and communicate the space inside and outside the chamber is required.

本実施形態では、処理ユニット200の内側と搬送ユニット105の内側との間に設けられたゲートを開放あるいは閉塞することにより両者を連通、遮断する大気ゲートバルブ224、および内側チャンバ221の内側と外側とを開放あるいは閉塞することにより両者を連通、遮断するプロセスゲートバルブ225とを備えている。   In this embodiment, the gate provided between the inside of the processing unit 200 and the inside of the transfer unit 105 is opened or closed to open or close them, and the atmosphere gate valve 224 that connects and blocks both, and the inside and outside of the inside chamber 221. And a process gate valve 225 for connecting and blocking the two by opening or closing.

大気ゲートバルブ224は、搬送ユニット105の内側の側壁上に配置されて駆動手段226によって上下および水平方向に移動可能に構成されており、内側側壁上でゲートを密封するよう閉塞し、あるいは開放する。また、真空容器を構成する外側チャンバ219には、搬送ユニット105が接続されたときに搬送ユニット105側のゲートと連通する位置に前記プロセスゲートが設けられている。   The atmospheric gate valve 224 is arranged on the inner side wall of the transport unit 105 and is configured to be movable in the vertical and horizontal directions by the driving means 226, and is closed or opened so as to seal the gate on the inner side wall. . The outer chamber 219 constituting the vacuum container is provided with the process gate at a position where it communicates with the gate on the transport unit 105 side when the transport unit 105 is connected.

プロセスゲートを開放、閉塞して密封するためのプロセスゲートバルブ225は、外チャンバ219と内チャンバ221との間の空間に配置される。プロセスゲートバルブ225は、その下方の駆動手段227によって上下および水平方向に移動可能に構成されており、閉塞時に内チャンバ221の側壁上に配置されて内側側壁上でゲートを密封するよう閉塞する。この状態では内側チャンバ221の内側面は凹凸のない略円筒形状となる。すなわち、プロセスゲートバルブ225の弁体中央部には、内側チャンバ221の内側面を凹凸のない略円筒形状とすることのできる凸部が設けられている。   A process gate valve 225 for opening, closing and sealing the process gate is disposed in a space between the outer chamber 219 and the inner chamber 221. The process gate valve 225 is configured to be movable in the vertical and horizontal directions by the driving means 227 below the process gate valve 225. The process gate valve 225 is disposed on the side wall of the inner chamber 221 when closed and closes the gate on the inner side wall. In this state, the inner surface of the inner chamber 221 has a substantially cylindrical shape with no irregularities. In other words, the central part of the valve body of the process gate valve 225 is provided with a convex part that allows the inner surface of the inner chamber 221 to have a substantially cylindrical shape with no irregularities.

プロセスゲートは、ウエハを搬送する搬送室内のロボットアームがウエハを搬送した状態でウエハおよびロボットアームと接触しない位置と形状に配置されている。   The process gate is arranged in a position and a shape that do not contact the wafer and the robot arm when the robot arm in the transfer chamber for transferring the wafer transfers the wafer.

本実施形態では、内側チャンバは上下2つ備えられており、試料台209のブロックの上下に221,222に分けて配置される。つまり、内側チャンバ221の下方に試料台209のブロックが配置されている。試料台209のブロックは、試料台(本体)209とこれを支持し試料台209を中心の軸にして軸周り配置した支持梁228を備える。本実施形態では、内側チャンバ221および外側チャンバ219と試料台本体209とは略円筒形をしており、内側チャンバ221内の試料台209上の空間のガスは、この支持梁同士の間の空間における内側チャンバ221内の空間を通って下方へ流れる。   In the present embodiment, two upper and lower inner chambers are provided, and are arranged separately at 221 and 222 above and below the block of the sample stage 209. That is, the block of the sample stage 209 is disposed below the inner chamber 221. The block of the sample table 209 includes a sample table (main body) 209 and support beams 228 that support the sample table 209 and are arranged around the axis with the sample table 209 as a central axis. In the present embodiment, the inner chamber 221 and the outer chamber 219 and the sample table main body 209 have a substantially cylindrical shape, and the gas in the space on the sample table 209 in the inner chamber 221 is a space between the support beams. Flows downward through the space in the inner chamber 221.

支持梁228は、試料台209の周囲に配置されたリング状の支持ベース部材229を介して試料台209を内側チャンバ221内に保持している。上記支持べース部材229と支持梁228およびつり下げ梁230内には、上記試料台209にガスや冷媒を供給する管や電力線が配置されている。なお、試料台209と支持梁228、支持ベース229とは一体のブロックとして持ち上げて外側チャンバ219外へ持ち上げて取出すことができる。試料台209の整備や交換等は内側チャンバ221の整備よりも頻度が少ない。このため、ブロックとして一体に移動可能な構成とすることで、装置の整備作業の効率を向上することができる。   The support beam 228 holds the sample table 209 in the inner chamber 221 via a ring-shaped support base member 229 arranged around the sample table 209. In the support base member 229, the support beam 228, and the suspension beam 230, a pipe and a power line for supplying gas and refrigerant to the sample table 209 are disposed. The sample table 209, the support beam 228, and the support base 229 can be lifted out of the outer chamber 219 by being lifted as an integral block. Maintenance or replacement of the sample stage 209 is less frequent than maintenance of the inner chamber 221. For this reason, it is possible to improve the efficiency of the maintenance work of the apparatus by adopting a structure that can move integrally as a block.

試料台209のブロックの下方に下側の内側チャンバ222が配置されており、この内側チャンバ222の中央側部分には開口が配置されている。この開口部は、内側チャンバ222の下方であって試料台209の下方に配置された排気バルブ231や排気ポンプ232を備えた排気手段と連通しており、試料台209の周囲を流れる内側チャンバ221内のガスが通流する部分である。つまり、試料台209周囲の支持梁228同士の間の空間と試料台209下方の内側チャンバ222内の空間が処理ユニット200内の処理ガス、プラズマ中の粒子や反応生成物の粒子が流れて排気される排気経路となっている。    A lower inner chamber 222 is disposed below the block of the sample table 209, and an opening is disposed in a central portion of the inner chamber 222. This opening communicates with an exhaust means having an exhaust valve 231 and an exhaust pump 232 disposed below the inner stage 222 and below the sample stage 209, and the inner chamber 221 flowing around the sample stage 209. This is the part through which the gas flows. That is, the space between the support beams 228 around the sample stage 209 and the space in the inner chamber 222 below the sample stage 209 are exhausted by the processing gas in the processing unit 200, particles in the plasma, and reaction product particles flowing. It is an exhaust route.

処理ユニット200の排気手段である排気バルブ231は、その下方に配置された排気ポンプ232と内側チャンバ222の内側の空間との間を連通あるいは遮断することのできる板状のシャッタを複数備える。このシャッタを回転させて開口している排気通路面積を調節して排気流量や速度を調節することができる。このように、本実施形態では、排気手段が試料台209の下方、特に直下方に配置されている。そして、内側チャンバ221内の試料台209の上方の空間内のプラズマや処理ガス、反応生成物は、試料台209の周囲とその下方の内側チャンバ222内の空間を介して排気バルブ231に至る排気経路を流れる。これにより、処理ユニット200は、排気ポンプ232により10000分の1Paの圧力の真空を達成することができる。    The exhaust valve 231 that is an exhaust means of the processing unit 200 includes a plurality of plate-shaped shutters that can communicate or block between the exhaust pump 232 disposed below and the space inside the inner chamber 222. The exhaust gas flow rate and speed can be adjusted by rotating the shutter and adjusting the open exhaust passage area. Thus, in the present embodiment, the exhaust means is disposed below the sample stage 209, particularly directly below. Plasma, processing gas, and reaction products in the space above the sample stage 209 in the inner chamber 221 are exhausted to the exhaust valve 231 through the space around the sample stage 209 and the space in the inner chamber 222 below the sample stage 209. Flowing the route. Thereby, the processing unit 200 can achieve a vacuum of a pressure of 1/10000 Pa by the exhaust pump 232.

また、図2に示すように、排気ゲートプレート233は、プラズマを形成して試料の処理を行う際にはプッシャ(図示せず)により、その上方の試料台209部および支持梁228の下面に近接あるいは接触するまで持ち上げられる。これにより、試料の処理に伴って排気される処理室内のプラズマやプロセスガスの残り、反応生成物等の流れが排気ゲートプレート233により阻害されることを抑制でき、排気の効率が向上する。また、支持梁228および試料台209下方の真空室223内の阻害され乱された排気の流れを安定させるために必要とされる空間を抑制して処理チャンバ部をより小型化して、排気時間の短縮、ひいては処理の効率を向上することができる。また、上記の構成では、粒子が排気ゲートプレート233に付着することを抑制することができる。これにより、排気ゲートプレート233の交換や付着物除去等のメンテナンス間隔を長くすることができる。    In addition, as shown in FIG. 2, the exhaust gate plate 233 is formed on the lower surface of the sample table 209 and the support beam 228 by a pusher (not shown) when plasma is generated to process the sample. Can be lifted until close or touching. Thereby, it is possible to suppress the flow of plasma, process gas remaining, reaction products, and the like in the processing chamber exhausted along with the processing of the sample from being inhibited by the exhaust gate plate 233, and exhaust efficiency is improved. In addition, the space required for stabilizing the disturbed and disturbed exhaust flow in the vacuum chamber 223 below the support beam 228 and the sample stage 209 is suppressed, and the processing chamber portion is further downsized to reduce the exhaust time. It is possible to shorten the process and to improve the processing efficiency. Further, in the above configuration, it is possible to suppress the particles from adhering to the exhaust gate plate 233. As a result, maintenance intervals such as replacement of the exhaust gate plate 233 and removal of deposits can be extended.

また、試料台の下方に、特に直下方に排気手段が配置され、プラズマ等の処理室内粒子の排気の経路が曲がることを抑制している。これにより排気速度が向上し、作業時間が短縮され装置本体の稼働効率が向上する。更には、試料台下方に複数枚のシャッタを有する排気バルブを備える。このため、試料台下方での排気のバッファ空間が低減され排気時間を更に低減することができる。    Further, an evacuation unit is disposed below the sample stage, particularly directly below, to suppress bending of the exhaust path of particles in the processing chamber such as plasma. As a result, the exhaust speed is improved, the working time is shortened, and the operating efficiency of the apparatus main body is improved. Furthermore, an exhaust valve having a plurality of shutters is provided below the sample stage. For this reason, the exhaust buffer space under the sample stage is reduced, and the exhaust time can be further reduced.

また、試料台の支持梁は、この試料台を中心として略軸対象に配置され、これにより試料台下方の排気手段に対して排気経路をより直行化できる。また、試料台の周囲を通る排気経路の長さに差異が生じることを抑制し、処理室内のプラズマ等の粒子の流れを均一化することができる。また、試料台上のウエハ上方にある粒子の密度をより均一化してウエハの処理を安定化することができる。    Further, the support beam of the sample table is arranged on a substantially axial object with the sample table as the center, so that the exhaust path can be made more perpendicular to the exhaust means below the sample table. Further, it is possible to suppress the occurrence of a difference in the length of the exhaust path passing through the periphery of the sample stage, and to make the flow of particles such as plasma in the processing chamber uniform. Also, the density of particles above the wafer on the sample stage can be made more uniform, and the wafer processing can be stabilized.

次に、本実施形態にかかるプラズマ処理装置を用いてシリコンにエッチング処理を施す際のプロセスを説明する。   Next, a process when performing an etching process on silicon using the plasma processing apparatus according to the present embodiment will be described.

図3は、図2に示すエッチング処理ユニット(プラズマ処理装置)の処理室近傍の拡大図である。まず、処理の対象物である半導体ウエハWは、搬送ユニット105により処理ユニット200内に搬入された後、試料台209の静電吸着電極234の上に載置され、吸着される。ついで、半導体ウエハWのエッチング処理に必要なガス、たとえばハロゲンを含む複数種類のガスがプロセスガスライン212から所定の流量比をもって処理ユニット200内に供給される。同時に、処理ユニット200は、排気ポンプ232および排気バルブ231により、所定の処理圧力に調整される。   FIG. 3 is an enlarged view of the vicinity of the processing chamber of the etching processing unit (plasma processing apparatus) shown in FIG. First, the semiconductor wafer W, which is an object to be processed, is loaded into the processing unit 200 by the transfer unit 105, and then placed on the electrostatic chucking electrode 234 of the sample stage 209 and sucked. Next, gases necessary for etching the semiconductor wafer W, such as a plurality of types of gases including halogen, are supplied from the process gas line 212 into the processing unit 200 with a predetermined flow rate ratio. At the same time, the processing unit 200 is adjusted to a predetermined processing pressure by the exhaust pump 232 and the exhaust valve 231.

次に、高周波電源部205から、450MHz等のUHF帯の高周波電力をアンテナ202に供給し、アンテナ202から電磁波を放射する。そして、この電磁波と、磁場発生部203により処理ユニット200の内部に形成される160ガウス(450MHzに対する電子サイクロトロン共鳴磁場強度)の概略水平な磁場との相互作用により、処理ユニット200内にプラズマPを生成する。これにより、プロセスガスが解離されてイオンやラジカルが発生する。また、静電吸着電極234にバイアス電力を供給するバイアス電源238を調整することにより、プラズマ中のイオン、ラジカルの組成比、あるいは入射エネルギーを制御し、さらには半導体ウエハWの温度を制御しながらエッチングを行う。   Next, high frequency power in the UHF band such as 450 MHz is supplied from the high frequency power supply unit 205 to the antenna 202, and electromagnetic waves are radiated from the antenna 202. Then, the interaction between the electromagnetic wave and a substantially horizontal magnetic field of 160 gauss (electron cyclotron resonance magnetic field intensity with respect to 450 MHz) formed inside the processing unit 200 by the magnetic field generation unit 203 generates plasma P in the processing unit 200. Generate. Thereby, the process gas is dissociated to generate ions and radicals. Further, by adjusting a bias power source 238 that supplies bias power to the electrostatic adsorption electrode 234, the composition ratio of ions and radicals in the plasma or incident energy is controlled, and further, the temperature of the semiconductor wafer W is controlled. Etching is performed.

エッチングの対象物であるウエハには、多層の膜が成膜されている。このため、エッチングに際しては、膜質に適した値にプロセスガス種、圧力、高周波電源部出力、磁場発生部電流、バイアス電力を調整する。このように、エッチング形状を最適にするために各膜毎に異なる処理条件を設定する。また、一つの膜に対してもいくつかの処理条件を組み合わせて処理することがある。本実施形態においては、一枚のウエハを処理するのに4〜8程度の処理条件を組み合わせて処理した。   A multilayer film is formed on a wafer which is an object to be etched. Therefore, during etching, the process gas type, pressure, high-frequency power source output, magnetic field generator current, and bias power are adjusted to values suitable for the film quality. Thus, different processing conditions are set for each film in order to optimize the etching shape. Further, a single film may be processed by combining several processing conditions. In the present embodiment, a single wafer is processed in combination with about 4 to 8 processing conditions.

このように、エッチング処理装置では、高周波の電界と磁場コイルの磁界との相互作用によって、処理室内に導入されたプロセスガスが効率良くプラズマ化される。また、エッチング処理にあたっては、ウエハに入射するプラズマ中のイオンの入射エネルギーを高周波バイアスによって制御することにより所望のエッチング形状を得ることができる。   As described above, in the etching processing apparatus, the process gas introduced into the processing chamber is efficiently turned into plasma by the interaction between the high-frequency electric field and the magnetic field of the magnetic field coil. In the etching process, a desired etching shape can be obtained by controlling the incident energy of ions in the plasma incident on the wafer by a high frequency bias.

また、所望の形状を得るためには、エッチング処理を所望の時点で停止する必要がある。エッチング処理に際しては、処理時間を固定することも可能であるが、前述のようにウエハには多層の膜が成膜されているため、終点検出システムによって処理の終了時点を検出して処理を停止するのが望ましい。    In order to obtain a desired shape, it is necessary to stop the etching process at a desired time. During the etching process, it is possible to fix the processing time, but as described above, since the multilayer film is formed on the wafer, the end point detection system detects the end point of the process and stops the process. It is desirable to do.

終点検出システムには様々な方法があるが、本実施形態においてはプラズマの特定の発光波長だけをモニタし、その特定の発光波長の変化によって終点を定める方法、あるいは石英プレートの上方に光ファイバ303を設置し、ウエハ表面から得られる干渉光によって終点を定める方法を採用した。   There are various methods for the end point detection system. In the present embodiment, only a specific light emission wavelength of the plasma is monitored and the end point is determined by a change in the specific light emission wavelength, or the optical fiber 303 above the quartz plate. And adopting a method of determining the end point by interference light obtained from the wafer surface.

なお、本実施形態に示したプラズマ処理装置では、磁場発生部203により、図3に示すような磁力線301が形成される。このため、アンテナ202より印加された高周波と磁力線301により、シャワープレート208の直下には密度の高いプラズマが生成される。また、生成されたプラズマPは磁力線301によって拘束される。このため、磁力線301の延長上にあるY溶射により被覆されている内側壁部材216表面のプラズマの密度が高くなる。特に高周波または磁力線301の強さが大きな、シャワープレート208および内壁部材216の外周面、並びに内壁部材の上端がシャワープレートに当接する近傍でプラズマ密度が高くなる。
なお、このとき、バイアス電力を供給するバイアス電源238、静電吸着電極234、プラズマP、内側壁部材216表面を介して電気回路が形成される。この回路は、プラズマ密度の高い内側壁部材216表面が接地面になる。このため、接地面である内側壁部材216の表面にプラズマ中の電子が高速で移動し、取り残されたイオンによりイオンシース(電場)が安定して生じる。特に、内側壁部材上側302では、イオンシースの厚さが大きいためによりプラズマ中のイオンが入射するので、著しく侵食される。また、イオンの入射のみならずプラズマ中の活性なラジカルによる腐食も生じる。
In the plasma processing apparatus shown in this embodiment, magnetic field lines 301 as shown in FIG. For this reason, high-density plasma is generated immediately below the shower plate 208 by the high frequency and the magnetic force lines 301 applied from the antenna 202. Further, the generated plasma P is constrained by the magnetic field lines 301. For this reason, the plasma density on the surface of the inner wall member 216 covered with Y 2 O 3 spraying on the extension of the magnetic field lines 301 is increased. In particular, the plasma density increases in the vicinity of the outer peripheral surfaces of the shower plate 208 and the inner wall member 216 and the upper end of the inner wall member being in contact with the shower plate where the strength of the high frequency or magnetic force lines 301 is large.
At this time, an electric circuit is formed through the bias power source 238 for supplying bias power, the electrostatic adsorption electrode 234, the plasma P, and the surface of the inner wall member 216. In this circuit, the surface of the inner wall member 216 having a high plasma density is a ground plane. For this reason, electrons in the plasma move at a high speed to the surface of the inner wall member 216 that is the ground plane, and an ion sheath (electric field) is stably generated by the remaining ions. In particular, since the ions in the plasma are incident on the inner wall member upper side 302 due to the large thickness of the ion sheath, the inner wall member upper side 302 is significantly eroded. In addition to ion incidence, corrosion by active radicals in the plasma also occurs.

次に、本実施形態におけるプラズマ処理装置の経時変化について説明する。本実施形態に示したプラズマ処理装置では、ウエハ処理枚数の増加に伴ってエッチングレートが徐々に高くなる現象や、エッチング形状が徐々に細くなる現象、あるいは異物が徐々に多くなる現象が発生する。前述のように、ウエハには多層の膜が成膜されているために、各膜に対して処理条件が異なる。更に、エッチング形状を最適にするためには、各膜に対してもいくつかの処理条件を組み合わせて処理を施す。これらの中で最も経時変化が大きい処理条件はPoly−Siを最初に処理する条件であった。なお、使用するガス(プロセスガス)は、炭素を含んだフッ素系のガスおよび硫黄を含んだフッ素系のガスである。   Next, changes with time of the plasma processing apparatus in the present embodiment will be described. In the plasma processing apparatus shown in the present embodiment, a phenomenon in which the etching rate gradually increases as the number of wafers processed increases, a phenomenon in which the etching shape gradually decreases, or a phenomenon in which foreign matters gradually increase occurs. As described above, since a multilayer film is formed on the wafer, the processing conditions differ for each film. Further, in order to optimize the etching shape, each film is processed by combining several processing conditions. Among these, the treatment conditions with the greatest change over time were the conditions for treating Poly-Si first. The gas (process gas) to be used is a fluorine-based gas containing carbon and a fluorine-based gas containing sulfur.

図4は、処理条件の経時変化を説明する図であり、図4(a)はエッチングレートの経時変化を示す図、図4(B)はウエハ表面の異物個数の変化を示す図である。図4(a)に示すように、ウエハ処理枚数の増加に伴い、エッチングレートは単調に増加している。また、図4(A)にはエッチング形状(完成寸法)も示してある。図に示すようにエッチングレートが高くなることにより、エッチング形状(完成寸法)が細くなっていることが判る。   4A and 4B are diagrams for explaining the change over time in the processing conditions. FIG. 4A is a view showing the change over time in the etching rate, and FIG. 4B is a view showing the change in the number of foreign matters on the wafer surface. As shown in FIG. 4A, the etching rate monotonously increases as the number of wafers processed increases. FIG. 4A also shows an etching shape (completed dimension). As shown in the figure, it can be seen that the etching shape (completed dimension) is narrowed by increasing the etching rate.

エッチング形状処理においてはエッチング条件の変化点をもとに終点判定を実施している。しかし、前記条件による終点判定時間は処理枚数の増加に伴い、次第に早くなる現象も発生している。このため、エッチング形状(完成寸法)とエッチングレートとは相関があると考えられる。   In the etching shape process, the end point is determined based on the change point of the etching conditions. However, there is also a phenomenon that the end point determination time based on the above conditions gradually becomes faster as the number of processed sheets increases. For this reason, it is considered that there is a correlation between the etching shape (complete dimension) and the etching rate.

また、図4(B)に示すように、ウエハ処理枚数に伴い異物個数は増加する。すなわち、エッチングにより生じた反応生成物は真空容器内壁に付着し、付着した生成物が順次堆積することで異物となっていると考えられる。   In addition, as shown in FIG. 4B, the number of foreign matters increases with the number of wafers processed. That is, it is considered that the reaction product generated by the etching adheres to the inner wall of the vacuum vessel, and the attached product is sequentially deposited to become a foreign substance.

図5は、反応生成物の付着状況を説明する図である。図5(A)はシャワープレート208における反応生成物の付着状況を示している。シャワープレート208の外周部501(最外周から約5〜10mmの範囲)には、特に反応生成物が厚く堆積していた。また、外周部から約10〜30mmの範囲の中間部502では、シャワープレート中心に近づくに従って薄く堆積していた。更に中心部503(30mm〜シャワープレート中心)になるとプラズマによりエッチングされているために反応生成物は付着していない。このように略円筒形の放電室部210上部外周端側に多くの付着が検出される。この放電室210の上隅部は電磁界の強度が大きくプラズマ密度が大きな領域となっている。   FIG. 5 is a diagram for explaining the adhesion state of the reaction product. FIG. 5A shows the state of adhesion of reaction products on the shower plate 208. The reaction product was particularly thickly deposited on the outer peripheral portion 501 of the shower plate 208 (in the range of about 5 to 10 mm from the outermost periphery). Moreover, in the intermediate part 502 of the range of about 10-30 mm from the outer peripheral part, it was deposited thinly as it approached the shower plate center. Further, in the central portion 503 (30 mm to the center of the shower plate), the reaction product is not attached because it is etched by plasma. Thus, a lot of adhesion is detected on the outer peripheral end side of the upper portion of the substantially cylindrical discharge chamber 210. The upper corner of the discharge chamber 210 is a region where the intensity of the electromagnetic field is large and the plasma density is large.

また、図5(B)は電極カバーにおける反応生成物の付着状況を説明する図である。図に示すように、電極カバー236においては、電極カバー上面504に反応生成物が薄く付着していた。なお、内側壁部材216には特に目立った付着は観測されなかった。   FIG. 5B is a diagram for explaining the adhesion state of the reaction product on the electrode cover. As shown in the figure, in the electrode cover 236, the reaction product was thinly attached to the electrode cover upper surface 504. Note that no particularly noticeable adhesion was observed on the inner wall member 216.

次に、前記反応生成物にどのような元素が含まれているかを知るために、これらの付着物の成分分析を実施した。   Next, in order to know what elements are contained in the reaction product, component analysis of these deposits was performed.

図6は成分分析結果を示す図であり、図6(A)はシャワープレート上の反応生成物、図6(B)は電極カバー上の反応生成物の分析結果を示す図である。図6(A),(B)に示すように、シャワープレート208および電極カバー236上に堆積した反応生成物から、Y、F、O、Cの各元素が検出された。但し、Cは測定時にテープを使用するため該テープに含まれるCを検出している可能性がある。以上を考慮するとY、YFあるいはやCF系の反応生成物が真空容器の内壁に付着していると考えることができる。 FIG. 6 is a diagram showing the result of component analysis, FIG. 6 (A) is a diagram showing the analysis result of the reaction product on the shower plate, and FIG. 6 (B) is a diagram showing the analysis result of the reaction product on the electrode cover. As shown in FIGS. 6A and 6B, elements Y, F, O, and C were detected from the reaction products deposited on the shower plate 208 and the electrode cover 236. However, since C uses a tape at the time of measurement, there is a possibility that C contained in the tape is detected. Considering the above, it can be considered that Y 2 O 3 , YF 3 or a CF-based reaction product adheres to the inner wall of the vacuum vessel.

また、ウエハ処理枚数を重ねた装置において、石英部材(シャワープレート208、電極カバー236)のみを洗浄済みのパーツに交換してエッチングレートを測定すると、処理枚数を重ねたパーツに比べてエッチングレートが4nm/min低下した。   Further, in an apparatus in which the number of wafers to be processed is overlapped, when only the quartz member (shower plate 208, electrode cover 236) is replaced with a cleaned part and the etching rate is measured, the etching rate is higher than that of parts having a number of processed wafers. It decreased by 4 nm / min.

逆に、石英部材はウエハ処理を重ねた状態にして、Y溶射膜で被覆された内側壁部材216、内側チャンバ221、プロセスゲートバルブ225を洗浄済みのパーツに交換してエッチングレートを測定すると、エッチングレートは2nm/min低下した。 On the other hand, the quartz member is overlaid with wafer processing, and the inner wall member 216, inner chamber 221 and process gate valve 225 covered with the Y 2 O 3 sprayed film are replaced with cleaned parts to change the etching rate. When measured, the etching rate decreased by 2 nm / min.

これらのことから、エッチングレートは、前記石英部品に対する反応生成物の堆積以外に、Y溶射膜で被覆された部品に対する反応生成物の堆積にも影響されることが判る。 From these facts, it is understood that the etching rate is influenced not only by the deposition of the reaction product on the quartz part but also by the deposition of the reaction product on the part coated with the Y 2 O 3 sprayed film.

同様にして、石英部品の切り分け試験も実施した。すなわち、ウエハ処理枚数を重ねた装置状態において、シャワープレート208のみを洗浄済みのパーツに交換してエッチングレートを測定すると、処理を重ねたパーツに比して6nm/min低下した。同様に電極カバー236のみを洗浄済みのパーツに交換するとエッチングレートが4nm/min低下した。すなわち、電極カバー236に比べてシャワープレート208の方がエッチングレートに対して大きな影響を与えていることが判明した。  Similarly, a separation test for quartz parts was also performed. That is, when the etching rate was measured by replacing only the shower plate 208 with the cleaned parts in the state of the apparatus in which the number of wafers to be processed was overlapped, it decreased by 6 nm / min as compared with the parts that had been processed. Similarly, when only the electrode cover 236 was replaced with a cleaned part, the etching rate decreased by 4 nm / min. That is, it has been found that the shower plate 208 has a greater influence on the etching rate than the electrode cover 236.

前記の測定結果を総合すると、シャワープレート:電極カバー:Y溶射膜で被覆されて部材は、6:4:5の比率で影響を与えていると考えられる。 Summarizing the above measurement results, it is considered that the member covered with the shower plate: electrode cover: Y 2 O 3 sprayed film has an influence at a ratio of 6: 4: 5.

なお、電極カバー236として、Y焼結体製の電極カバー236を用いた際のエッチングレートの変化を測定すると、ウエハ処理を重ねたパーツに比して電極カバーを洗浄済みのパーツに交換した場合のエッチングレートは0.7nm/min低下した。すなわち、Y焼結体製の電極カバー236を用いた際のエッチングレートの変動は低いことが判明した。 In addition, when the change of the etching rate at the time of using the electrode cover 236 made of a Y 2 O 3 sintered body as the electrode cover 236 is measured, the electrode cover is changed to a cleaned part as compared with the parts overlaid with the wafer processing. In the case of replacement, the etching rate decreased by 0.7 nm / min. That is, it was found that the variation in the etching rate when using the electrode cover 236 made of a Y 2 O 3 sintered body was low.

図7は、反応生成物が付着するメカニズムを説明する図である。図7に示す。UHF帯の電磁波を使用するプラズマ処理装置は、前述したように、内側壁部材上側302では、特にイオンシースが強いため、より多くのプラズマ中のイオンが入射する。このため著しく侵食され、物理的なスパッタリングや化学的なエッチングが内側壁部材周辺で生じていると考えられる。   FIG. 7 is a diagram for explaining a mechanism for attaching a reaction product. As shown in FIG. As described above, in the plasma processing apparatus using the UHF band electromagnetic wave, since the ion sheath is particularly strong on the inner wall member upper side 302, more ions in the plasma are incident. For this reason, it is considered that it is eroded remarkably, and physical sputtering and chemical etching occur around the inner wall member.

このため、イオンが最も入射する付近に近いシャワープレートの外側部に最も反応生成物が多く発生して堆積し、そこから離れるに伴って徐々に反応生成物の堆積量が減少することになる。なお、電極カバー236は、反応生成物の発生源から遠いが、電極カバー236表面は内側壁部材温度よりも低温であるために反応生成物は堆積し易い。 For this reason, the most reaction product is generated and deposited most on the outer portion of the shower plate near the vicinity where ions are incident most, and the deposition amount of the reaction product gradually decreases as the distance from the reaction plate increases. The electrode cover 236 is far from the reaction product generation source, but the reaction product is easily deposited because the surface of the electrode cover 236 is lower than the temperature of the inner wall member.

内側壁部材216は、Y溶射膜により被膜されているが、この溶射膜はフッ素雰囲気ではYFに変化しやすい。このため、内側壁部材216の下側の表面にはYFやCF系の反応生成物が堆積している。 The inner wall member 216 is coated with a Y 2 O 3 sprayed film, but this sprayed film easily changes to YF 3 in a fluorine atmosphere. For this reason, YF 3 or CF-based reaction products are deposited on the lower surface of the inner wall member 216.

前記Y、およびYFは不揮発性であり、それぞれの融点は2410℃、および1152℃である。このため、一旦、前記反応生成物が付着すると除去するのは困難であり、ウエハ処理を重ねることで徐々に堆積膜は厚くなる。 Y 2 O 3 and YF 3 are non-volatile, and their melting points are 2410 ° C. and 1152 ° C., respectively. For this reason, once the reaction product adheres, it is difficult to remove the reaction product, and the deposited film gradually increases in thickness as wafer processing is repeated.

次に、前記反応生成物の分析結果と、反応生成物の付着したパーツ毎のエッチングレートに与える影響の程度を元に、真空容器内壁に付着した反応生成物が真空容器内の雰囲気に与える影響や経時変化の起こるメカニズムを考える。   Next, based on the analysis result of the reaction product and the degree of influence on the etching rate of each part to which the reaction product adheres, the influence of the reaction product attached to the inner wall of the vacuum vessel on the atmosphere in the vacuum vessel Think about the mechanism of change over time.

特に経時変化が生じるフッ素系のプロセスは、エッチングレートの均一性の面から炭素を含んだデポ性のフッ素系ガスと、硫黄を含んだエッチング性のフッ素系ガスを使用している。このため、真空容器内に相対的にフッ素ラジカルおよびイオンが増加することでエッチングレートが増加すると考えらる。   In particular, a fluorine-based process that causes a change with time uses a deposition fluorine-containing gas containing carbon and an etching fluorine-containing gas containing sulfur in terms of the uniformity of the etching rate. For this reason, it is considered that the etching rate is increased by relatively increasing fluorine radicals and ions in the vacuum vessel.

図8は、供給されたプロセスガスが真空容器内でどのように消費されるかを示す図であり、図8(A)は処理室洗浄直後の状態においてプロセスガスの消費比率を示す図、図8(B)は反応生成物が雰囲気に影響を与える第1のメカニズム(プロセスガスの消費のメカニズム)を説明する図、図8(C)は反応生成物が雰囲気に影響を与える第2のメカニズムを説明する図、図8(D)は反応生成物が雰囲気に影響を与える第3のメカニズムを説明する図である。   FIG. 8 is a diagram showing how the supplied process gas is consumed in the vacuum vessel, and FIG. 8A is a diagram showing the consumption ratio of the process gas immediately after cleaning the processing chamber. FIG. 8B is a diagram for explaining a first mechanism (mechanism of process gas consumption) that the reaction product affects the atmosphere, and FIG. 8C is a second mechanism that the reaction product affects the atmosphere. FIG. 8D is a diagram for explaining a third mechanism in which the reaction product affects the atmosphere.

図8(A)に示す処理室の洗浄直後の状態において、801は供給されるプロセスガス量、802はウエハで消費されるガス量、803はシャワープレートで消費されるガス量、804は電極カバーで消費されるガス量、805はY部材(溶射膜)で消費されるガス量、806はその他(排気等)により消費されるガス量を示す。 In the state immediately after cleaning the processing chamber shown in FIG. 8A, 801 is the amount of process gas supplied, 802 is the amount of gas consumed by the wafer, 803 is the amount of gas consumed by the shower plate, and 804 is the electrode cover. 805 indicates the amount of gas consumed by the Y 2 O 3 member (sprayed film), and 806 indicates the amount of gas consumed by the other (exhaust etc.).

図に示すように、大部分のガスはウエハ上のPoly−Siと反応するが、一部はシャワープレート、電極カバー、Y部材、排気等により消費されると考えられる。 As shown in the figure, most of the gas reacts with Poly-Si on the wafer, but a part is considered to be consumed by the shower plate, the electrode cover, the Y 2 O 3 member, exhaust, and the like.

次に、これらの事項を前提に、反応生成物が堆積したときのメカニズムを説明する。なお、ウエハ処理を重ねることにより堆積した反応生成物が真空容器内の雰囲気に影響を与えるメカニズムは次のような3点の複合によるものと考えられる。   Next, based on these matters, the mechanism when the reaction product is deposited will be described. In addition, it is thought that the mechanism in which the reaction product deposited by repeated wafer processing influences the atmosphere in the vacuum vessel is due to the following three points.

まず、第一のメカニズムを図8(B)に示す。処理室の洗浄直後においては、ガスはシャワープレートや電極カバー等の石英部材と反応している。しかし、ウエハ処理を重ねることにより生成した反応生成物が石英部材を覆うようになると、石英部材とガスとの反応が減少する。このため、余分なガスが真空容器内に滞在することになり、より多くのガスがウエハと反応することになる。   First, the first mechanism is shown in FIG. Immediately after cleaning the processing chamber, the gas reacts with quartz members such as a shower plate and an electrode cover. However, when the reaction product generated by the repeated wafer processing covers the quartz member, the reaction between the quartz member and the gas decreases. For this reason, excess gas will stay in a vacuum vessel, and more gas will react with a wafer.

第二のメカニズムを図8(C)に示す。ウエハ処理を重ねるに伴い、フッ素を含んだ反応生成物807がシャワープレート、電極カバー、Y部材等に付着する。この場合、フッ素を含んだ反応生成物807は、プラズマからの物理的な衝突や化学的な反応によりフッ素を放出する。これにより、チャンバ内のフッ素雰囲気がより高くなり、より多くのフッ素がウエハと反応することになる。 The second mechanism is shown in FIG. As the wafer processing is repeated, the reaction product 807 containing fluorine adheres to the shower plate, the electrode cover, the Y 2 O 3 member, and the like. In this case, the reaction product 807 containing fluorine releases fluorine by physical collision from plasma or chemical reaction. As a result, the fluorine atmosphere in the chamber becomes higher, and more fluorine reacts with the wafer.

第三のメカニズムを図8(D)に示す。真空容器内壁に反応生成物が付着すると、プロセスガスが反応生成物に吸着されやすくなる。プロセスガスはデポ性のガスとエッチング性のガスが使用される。この場合、エッチング性のガス809よりもデポ性のガス808の方が吸着され易いために、チャンバ内のエッチング性のガスが相対的に多くなり、結果的により多くのエッチング性のガスがウエハと反応することになる。   A third mechanism is shown in FIG. When the reaction product adheres to the inner wall of the vacuum vessel, the process gas is easily adsorbed by the reaction product. As the process gas, a deposition gas and an etching gas are used. In this case, since the deposition gas 808 is more easily adsorbed than the etching gas 809, the etching gas in the chamber is relatively increased, and as a result, more etching gas is absorbed in the wafer. Will react.

次に、以上の知見を元に経時変化を抑制することのできるシャワープレート、電極カバー、および処理室内壁構造を備えるプラズマ処理装置を考えた。   Next, based on the above knowledge, a plasma processing apparatus including a shower plate, an electrode cover, and a processing chamber inner wall structure capable of suppressing changes with time was considered.

図9、10,11は、このような経時変化を抑制することのできるプラズマ処理装置を説明する図であり、図9は処理室内壁、シャワープレートおよび電極カバーの表面をYを含む膜(溶射膜))で被覆した例、図10は処理室内壁、シャワープレート(シャワープレートの中心部を除く)および電極カバーの表面をYを含む膜(溶射膜)で被覆した例、図11は処理室内壁、シャワープレート(シャワープレートの中心部を除く)および電極カバーの表面、並びに前記シャワープレートの中心部の内、プラズマ発光を検出する光ファイバ303の採光窓に対向する位置をYを含む膜(透明膜)で被覆した例を示す図である。   9, 10, and 11 are views for explaining a plasma processing apparatus capable of suppressing such a change with time. FIG. 9 shows a film (spraying) containing Y on the surface of the processing chamber inner wall, the shower plate, and the electrode cover. FIG. 10 shows an example in which the walls of the processing chamber, the shower plate (excluding the central portion of the shower plate) and the electrode cover are coated with a film containing Y (a sprayed coating), and FIG. 11 shows the processing chamber. A film containing Y is positioned on the surface of the wall, shower plate (except the center of the shower plate) and electrode cover, and the center of the shower plate, facing the daylighting window of the optical fiber 303 for detecting plasma emission ( It is a figure which shows the example coat | covered with the transparent film.

図9は、基本的に処理室全面を耐プラズマ性材料(Yを含む溶射膜)で覆う構造である。前述のように、ウエハ処理を重ねることにより処理室内壁に反応生成物が付着する。しかしながら、処理室内壁は前記耐プラズマ性材料により被覆されて、すでに反応生成物が被膜された状態と同等であるために、新たに付着した反応生成物が真空容器内の雰囲気に与える影響は少ない。このため、経時変化を低減することが可能となる。   FIG. 9 basically shows a structure in which the entire surface of the processing chamber is covered with a plasma resistant material (a sprayed film containing Y). As described above, the reaction product adheres to the inner wall of the processing chamber by overlapping the wafer processing. However, since the inner wall of the processing chamber is coated with the plasma-resistant material and is equivalent to the state in which the reaction product is already coated, the influence of the newly deposited reaction product on the atmosphere in the vacuum vessel is small. . For this reason, it becomes possible to reduce a change with time.

なお、Yを含む材料を被覆する方法としては溶射方法が広く使用されている。しかし、溶射により生成した膜(溶射膜)は不透明であり、光の干渉等を利用した終点判定装置を利用することは困難である。このような場合にはCVD法やAD(エアロゾルデポジション)法等を用いて透明に成膜することが望ましい。   A thermal spraying method is widely used as a method for coating a material containing Y. However, a film (sprayed film) generated by thermal spraying is opaque, and it is difficult to use an end point determination device using light interference or the like. In such a case, it is desirable to form a film transparently using a CVD method, an AD (aerosol deposition) method, or the like.

図10は、シャワープレートの中心部に、Yを含む膜を形成しない例を示している。前述のようにシャワープレートの中心部は、エッチングにより削られるため、反応生成物の付着量は少ない。このため、Yを含む材質を被膜する必要はなく、図10に示すように中央側部分だけシャワープレート(石英部材)を露出させることができる。この場合は前記中央側部分のシャワープレートおよび石英プレートを通して終点を判定する。   FIG. 10 shows an example in which a film containing Y is not formed at the center of the shower plate. As described above, the center portion of the shower plate is shaved by etching, so that the amount of the reaction product attached is small. For this reason, it is not necessary to coat the material containing Y, and the shower plate (quartz member) can be exposed only at the central portion as shown in FIG. In this case, the end point is determined through the shower plate and the quartz plate in the central portion.

なお、シャワープレート上方の終点検出システムの受光部に影響を与えない位置まで被覆するのであればどのようなタイプの被膜方法を用いてもよい。しかし、影響を与えるのであれば、その部分をCVD法またはAD法等で透明に成膜するのが望ましい。なお、影響を受けない位置まで検出システムの受光部をずらすことでも対応可能である。   Note that any type of coating method may be used as long as it covers up to a position that does not affect the light receiving portion of the end point detection system above the shower plate. However, if there is an influence, it is desirable to form a transparent film on the portion by the CVD method or the AD method. It is also possible to cope by shifting the light receiving part of the detection system to a position where it is not affected.

図11は、シャワープレートの終点検出システムの受光部に対応する面に、透明の耐プラズマ性材料を使用する例を示している。   FIG. 11 shows an example in which a transparent plasma-resistant material is used on the surface corresponding to the light receiving portion of the end point detection system of the shower plate.

前述のようにシャワープレートを露出して配置した場合には終点検出は可能となる。しかし、シャワープレートが削られることにより受光量が低下する。このため、図11に示すように受光部に対応する面だけでも透明の耐プラズマ性材料で被覆するのが好ましい。   As described above, when the shower plate is disposed so as to be exposed, the end point can be detected. However, the amount of light received decreases when the shower plate is scraped. For this reason, as shown in FIG. 11, it is preferable to coat only the surface corresponding to the light receiving portion with a transparent plasma-resistant material.

不透明の物質で被覆した場合、終点判定のために採光する穴をあけることも可能である。この場合は、前記穴の周辺で異常放電が発生しない大きさ大きさとする必要がある。   When covered with an opaque substance, it is also possible to make a hole for daylighting to determine the end point. In this case, it is necessary to set the size so that abnormal discharge does not occur around the hole.

また、シャワープレートの反応生成物が付着するところ(外周側)と削られるところ(内周側)の間で、部品を分割して2つ以上の部品の組み合わせとすることも可能である。   It is also possible to divide the parts between the place where the reaction product of the shower plate adheres (outer peripheral side) and the part where the reaction product is scraped (inner peripheral side) to make a combination of two or more parts.

電極カバー表面に耐プラズマ成膜を被膜する際には、前記溶射以外に、CVD法、AD法を使用することができる。また、焼結体として構成することも可能である。焼結体の場合は再生することが困難であるが、部品自体の寿命を向上することができる。   When coating the surface of the electrode cover with a plasma-resistant film, a CVD method or an AD method can be used in addition to the thermal spraying. It can also be configured as a sintered body. In the case of a sintered body, it is difficult to regenerate, but the life of the part itself can be improved.

なお、内側壁部材216、内側チャンバ221、プロセスゲートバルブ225等を被覆する耐プラズマ性被膜は、フッ素雰囲気において、YFに変化しやすい。このため前記耐プラズマ性被膜としてはYFにを用いるのが望ましい。 Note that the plasma-resistant film that covers the inner wall member 216, the inner chamber 221, the process gate valve 225, and the like is easily changed to YF 3 in a fluorine atmosphere. For this reason, it is desirable to use YF 3 as the plasma-resistant film.

以上説明したように、本実施形態によれば、プラズマ処理装置を構成する真空処理容器を筒状の側壁部材と該側壁部材の上部を覆う蓋部材と該蓋部材の側壁部材側に配置した誘電体製板で構成し、前記側壁部材の内壁面および誘電体製板の側壁部材側面の外周部、すなわち反応生成物が堆積する領域をイットリウム(Y)を含む耐プラズマ性材料により被覆する。   As described above, according to the present embodiment, the vacuum processing container constituting the plasma processing apparatus includes the cylindrical side wall member, the lid member that covers the upper portion of the side wall member, and the dielectric disposed on the side wall member side of the lid member. The outer peripheral portion of the inner wall surface of the side wall member and the side wall member side surface of the dielectric plate, that is, the region where the reaction product is deposited is covered with a plasma resistant material containing yttrium (Y).

このため、ウエハ処理を重ねることにより処理室内壁に反応生成物が付着しても、処理室内壁面の一部は付着する反応生成物と同等な耐プラズマ性材料により被覆されて、すでに反応生成物が被膜された状態と同等であるため、新たに付着した反応生成物が真空容器内の雰囲気に与える影響は少ない。また、終点検出システムの受光部に対応する面に透明の耐プラズマ性材料を被覆することによりシャワープレート等の石英板が削られることによる受光量の低下を抑制することができる。また、処理室壁面の損傷が少なく 長期にわたり安定した微細加工が可能であるプラズマ処理装置を提供することができる。   For this reason, even if the reaction product adheres to the inner wall of the processing chamber due to repeated wafer processing, a part of the wall surface of the processing chamber is covered with a plasma-resistant material equivalent to the adhering reaction product, and the reaction product has already been coated. Is equivalent to the state of being coated, so that the newly attached reaction product has little influence on the atmosphere in the vacuum vessel. Further, by covering the surface corresponding to the light receiving portion of the end point detection system with a transparent plasma resistant material, it is possible to suppress a decrease in the amount of received light due to a quartz plate such as a shower plate being shaved. In addition, it is possible to provide a plasma processing apparatus that can cause stable microfabrication over a long period of time with little damage to the wall surface of the processing chamber.

本発明の実施形態で使用する真空処理装置の全体構成を説明する概略の平面図である。1 is a schematic plan view illustrating an overall configuration of a vacuum processing apparatus used in an embodiment of the present invention. 図1に示すエッチング処理ユニットの構成を説明する縦断面図である。It is a longitudinal cross-sectional view explaining the structure of the etching process unit shown in FIG. 図2に示すエッチング処理ユニット(プラズマ処理装置)の処理室近傍の拡大図である。FIG. 3 is an enlarged view of the vicinity of a processing chamber of the etching processing unit (plasma processing apparatus) shown in FIG. 2. 処理条件の経時変化を説明する図であ。It is a figure explaining the temporal change of processing conditions. 反応生成物の付着状況を説明する図である。It is a figure explaining the adhesion situation of a reaction product. 反応生成物の成分分析結果を示す図である。It is a figure which shows the component-analysis result of a reaction product. 反応生成物が付着するメカニズムを説明する図である。It is a figure explaining the mechanism in which a reaction product adheres. 供給されたプロセスガスが真空容器内でどのように消費されるかを示す図である。It is a figure which shows how the supplied process gas is consumed within a vacuum vessel. 経時変化を抑制することのできるプラズマ処理装置を説明する図である。It is a figure explaining the plasma processing apparatus which can suppress a time-dependent change. 経時変化を抑制することのできるプラズマ処理装置を説明する図である。It is a figure explaining the plasma processing apparatus which can suppress a time-dependent change. 経時変化を抑制することのできるプラズマ処理装置を説明する図である。It is a figure explaining the plasma processing apparatus which can suppress a time-dependent change.

符号の説明Explanation of symbols

100 真空処理装置
101 大気側ブロック
102 処理ブロック
103,104 処理ユニット
105,106 搬送ユニット
107 制御ユニット
108 筐体
109 ウエハカセット
110 ダミーカセット
111 位置合わせ部
113 ロック室
200 処理ユニット
201 蓋部材
202 アンテナ
203 磁場発生部(コイル)
205 高周波電源部
206 誘電体
207 石英プレート
208 シャワープレート
209 試料台
210 放電室部
211 バッファ室
212 プロセスガスライン
213 プロセスガス遮断バルブ
214 制御器(MFC)
215 下部リング
216 内側壁部材
217 外側壁部材
218 ベースプレート
219,220 外側チャンバ
221,222 内側チャンバ
223 真空室
224 大気ゲートバルブ
225 プロセスゲートバルブ
226,227 駆動手段
228 支持梁
229 支持ベース部材
230 吊り下げ梁
231 排気バルブ
232 排気ポンプ
233 排気ゲートプレート
234 静電吸着電極
235 誘電体膜
236 電極カバー
237 高電圧電源
238 バイアス電源
239 マッチング回路
301 磁力線
302 内側壁部材上側
303 光ファイバ(受光部)
501 シャワープレート外周部
502 シャワープレート中間部
503 シャワープレート中心部
504 電極カバー上面
901 耐プラズマ性材料
P プラズマ
W ウエハ
DESCRIPTION OF SYMBOLS 100 Vacuum processing apparatus 101 Atmosphere side block 102 Processing block 103,104 Processing unit 105,106 Transfer unit 107 Control unit 108 Case 109 Wafer cassette 110 Dummy cassette 111 Positioning part 113 Lock chamber 200 Processing unit 201 Cover member 202 Antenna 203 Magnetic field Generator (coil)
205 High-frequency power source 206 Dielectric 207 Quartz plate 208 Shower plate 209 Sample stage 210 Discharge chamber 211 Buffer chamber 212 Process gas line 213 Process gas shut-off valve 214 Controller (MFC)
215 Lower ring 216 Inner wall member 217 Outer wall member 218 Base plate 219, 220 Outer chamber 221, 222 Inner chamber 223 Vacuum chamber 224 Atmospheric gate valve 225 Process gate valve 226, 227 Driving means 228 Support beam 229 Support base member 230 Hanging beam 231 Exhaust valve 232 Exhaust pump 233 Exhaust gate plate 234 Electrostatic adsorption electrode 235 Dielectric film 236 Electrode cover 237 High voltage power supply 238 Bias power supply 239 Matching circuit 301 Magnetic field line 302 Upper side of inner wall member 303 Optical fiber (light receiving part)
501 Shower plate outer peripheral part 502 Shower plate middle part 503 Shower plate center part 504 Electrode cover upper surface 901 Plasma resistant material P Plasma
W wafer

Claims (6)

真空処理容器、該真空処理容器内に配置した試料台、前記真空処理容器内にプロセスガスを導入するガス導入手段、前記処理容器内に磁場を生成する磁場発生手段、および前記真空処理容器の下部に配置され前記容器内のガスを排気する排気手段を備え、前記真空処理容器内に高周波電力を供給して前記磁場との相互作用によりプラズマを生成し、生成したプラズマにより前記試料台に載置した試料にプラズマ処理を施すプラズマ処理装置において、
前記真空処理容器は、筒状の側壁部材と該側壁部材の上部を覆う蓋部材と該蓋部材の側壁部材側に配置した誘電体製板を備え、
前記側壁部材の内面および前記誘電体製板の側壁部材側の面の外周部はイットリウム(Y)を含む被膜を形成したことを特徴とするプラズマ処理装置。
A vacuum processing container, a sample stage arranged in the vacuum processing container, a gas introducing means for introducing a process gas into the vacuum processing container, a magnetic field generating means for generating a magnetic field in the processing container, and a lower part of the vacuum processing container And an evacuation means for evacuating the gas in the container, supplying high-frequency power into the vacuum processing container to generate plasma by interaction with the magnetic field, and placing the plasma on the sample stage by the generated plasma In a plasma processing apparatus for performing plasma processing on a sample,
The vacuum processing container includes a cylindrical side wall member, a lid member that covers an upper portion of the side wall member, and a dielectric plate disposed on the side wall member side of the lid member,
A plasma processing apparatus, wherein a coating film containing yttrium (Y) is formed on an inner surface of the side wall member and an outer peripheral portion of a surface of the dielectric plate on the side wall member side.
真空処理容器、該真空処理容器内に配置した試料台、前記真空処理容器内にプロセスガスを分散して導入する誘電体製のシャワープレート、前記処理容器内に磁場を生成する磁場発生手段、および前記真空処理容器の下部に配置され前記容器内のガスを排気する排気手段を備え、前記真空処理容器内に高周波電力を供給して前記磁場との相互作用によりプラズマを生成し、生成したプラズマにより前記試料台に載置した試料にプラズマ処理を施すプラズマ処理装置において、
前記真空処理容器は、筒状の側壁部材と該側壁部材の上部を覆う蓋部材と該蓋部材の側壁部材側に配置した前記シャワープレートを備え、
前記側壁部材の内面および前記シャワープレートの側壁部材側の面の外周部はイットリウム(Y)を含む被膜を形成したことを特徴とするプラズマ処理装置。
A vacuum processing container, a sample stage disposed in the vacuum processing container, a dielectric shower plate that disperses and introduces a process gas into the vacuum processing container, a magnetic field generating means for generating a magnetic field in the processing container, and An exhaust means is provided in the lower part of the vacuum processing container and exhausts the gas in the container. The high-frequency power is supplied into the vacuum processing container to generate plasma by interaction with the magnetic field. In the plasma processing apparatus for performing plasma processing on the sample placed on the sample stage,
The vacuum processing container includes a cylindrical side wall member, a lid member covering an upper portion of the side wall member, and the shower plate disposed on the side wall member side of the lid member,
A plasma processing apparatus, wherein a coating film containing yttrium (Y) is formed on an outer peripheral portion of an inner surface of the side wall member and a surface of the shower plate on the side wall member side.
請求項1または2記載のプラズマ処理装置において、
前記シャワープレートの側壁部材側の面の内周側には前記被膜を形成しない領域を備え、該領域を介してプラズマ発光を検出するように光検出器を配置したことを特徴とするプラズマ処理装置。
The plasma processing apparatus according to claim 1 or 2,
A plasma processing apparatus comprising a region where the coating film is not formed on an inner peripheral side of the side wall member side surface of the shower plate, and a photodetector arranged so as to detect plasma emission through the region. .
請求項1または2記載のプラズマ処理装置において、
イットリウム(Y)を含む被膜はCVD法またはAD法により透明に形成したことを特徴とするプラズマ処理装置。
The plasma processing apparatus according to claim 1 or 2,
A plasma processing apparatus, wherein a film containing yttrium (Y) is formed transparently by a CVD method or an AD method.
請求項1または2記載のプラズマ処理装置において、
前記試料台の外周には電極カバーを備え、該電極カバー表面にはイットリウム(Y)を含む被膜を形成したことを特徴とするプラズマ処理装置。
The plasma processing apparatus according to claim 1 or 2,
An electrode cover is provided on the outer periphery of the sample stage, and a film containing yttrium (Y) is formed on the surface of the electrode cover.
請求項1または2記載のプラズマ処理装置において、
イットリウム(Y)を含む被膜は、YまたはYFであることを特徴とするプラズマ処理装置。
The plasma processing apparatus according to claim 1 or 2,
The plasma processing apparatus, wherein the film containing yttrium (Y) is Y 2 O 3 or YF 3 .
JP2006065803A 2006-03-10 2006-03-10 Plasma treatment device Pending JP2007243020A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006065803A JP2007243020A (en) 2006-03-10 2006-03-10 Plasma treatment device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006065803A JP2007243020A (en) 2006-03-10 2006-03-10 Plasma treatment device

Publications (1)

Publication Number Publication Date
JP2007243020A true JP2007243020A (en) 2007-09-20

Family

ID=38588247

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006065803A Pending JP2007243020A (en) 2006-03-10 2006-03-10 Plasma treatment device

Country Status (1)

Country Link
JP (1) JP2007243020A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012060101A (en) * 2010-08-12 2012-03-22 Toshiba Corp Gas supply member, plasma processing device, and yttria containing film formation method
JP2013084997A (en) * 2010-08-12 2013-05-09 Toshiba Corp Gas supply member, plasma processing apparatus, and formation method of yttria containing film
JP2014022517A (en) * 2012-07-17 2014-02-03 Tokyo Electron Ltd Upper electrode and plasma processing apparatus
JP2016178331A (en) * 2010-09-28 2016-10-06 ケーエルエー−テンカー コーポレイション Sensor wafer and method for manufacturing sensor wafer
KR20210057676A (en) 2019-11-12 2021-05-21 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003115477A (en) * 2000-02-21 2003-04-18 Hitachi Ltd Plasma processor and sample processing method
JP2003264169A (en) * 2002-03-11 2003-09-19 Tokyo Electron Ltd Plasma treatment device
JP2004207533A (en) * 2002-12-25 2004-07-22 Shin Etsu Chem Co Ltd Silicon plate for plasma treatment
JP2004260159A (en) * 2003-02-07 2004-09-16 Tokyo Electron Ltd Plasma treatment apparatus, ring member, and plasma treatment method
JP2005072175A (en) * 2003-08-22 2005-03-17 Tokyo Electron Ltd Particle stripping, particle-removing method, and plasma processing apparatus
JP2005243987A (en) * 2004-02-27 2005-09-08 Hitachi High-Technologies Corp Plasma processing apparatus
JP2005340758A (en) * 2004-04-30 2005-12-08 Toto Ltd Transparent body for plasma treatment apparatus, and plasma treatment apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003115477A (en) * 2000-02-21 2003-04-18 Hitachi Ltd Plasma processor and sample processing method
JP2003264169A (en) * 2002-03-11 2003-09-19 Tokyo Electron Ltd Plasma treatment device
JP2004207533A (en) * 2002-12-25 2004-07-22 Shin Etsu Chem Co Ltd Silicon plate for plasma treatment
JP2004260159A (en) * 2003-02-07 2004-09-16 Tokyo Electron Ltd Plasma treatment apparatus, ring member, and plasma treatment method
JP2005072175A (en) * 2003-08-22 2005-03-17 Tokyo Electron Ltd Particle stripping, particle-removing method, and plasma processing apparatus
JP2005243987A (en) * 2004-02-27 2005-09-08 Hitachi High-Technologies Corp Plasma processing apparatus
JP2005340758A (en) * 2004-04-30 2005-12-08 Toto Ltd Transparent body for plasma treatment apparatus, and plasma treatment apparatus

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012060101A (en) * 2010-08-12 2012-03-22 Toshiba Corp Gas supply member, plasma processing device, and yttria containing film formation method
JP2013084997A (en) * 2010-08-12 2013-05-09 Toshiba Corp Gas supply member, plasma processing apparatus, and formation method of yttria containing film
JP2016178331A (en) * 2010-09-28 2016-10-06 ケーエルエー−テンカー コーポレイション Sensor wafer and method for manufacturing sensor wafer
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
JP2014022517A (en) * 2012-07-17 2014-02-03 Tokyo Electron Ltd Upper electrode and plasma processing apparatus
US11515125B2 (en) 2012-07-17 2022-11-29 Tokyo Electron Limited Upper electrode and plasma processing apparatus
KR20210057676A (en) 2019-11-12 2021-05-21 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus

Similar Documents

Publication Publication Date Title
US10804072B2 (en) Plasma processing apparatus
US7651586B2 (en) Particle removal apparatus and method and plasma processing apparatus
JP4992389B2 (en) Mounting apparatus, plasma processing apparatus, and plasma processing method
US9039909B2 (en) Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
JP7224096B2 (en) Thermal spraying method for parts for plasma processing apparatus and parts for plasma processing apparatus
KR20160059976A (en) Measurement system and measurement method
EP2879166B1 (en) Plasma processing method
JP2007243020A (en) Plasma treatment device
JP2006165093A (en) Plasma processing device
US20180122620A1 (en) Plasma processing apparatus
JP2004356430A (en) Plasma treatment apparatus
JP2007324186A (en) Plasma processing apparatus
JP4181069B2 (en) Plasma processing equipment
JP4098259B2 (en) Plasma processing equipment
JP2024037895A (en) Process chamber process kit with protective coating
KR102229990B1 (en) Member for plasma processing apparatus and plasma processing apparatus
JP5704192B2 (en) Plasma etching method, plasma etching apparatus, and storage medium
JP6156850B2 (en) Plasma processing apparatus and member replacement judgment method for plasma processing apparatus
JP2005150606A (en) Plasma treatment apparatus
JP2008098660A (en) Plasma processing apparatus
JP2008251857A (en) Plasma processor
JP2015128110A (en) Substrate processing device, shutter mechanism, and plasma processing device
WO2023275958A1 (en) Method for regenerating inner wall member
TW202242999A (en) Processing container, plasma processing apparatus and processing container manufacturing method which can suppress particle generation and realize discharge stabilization
JP2004335637A (en) Etching method and etching device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090119

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101005

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110118