JP2004260159A - Plasma treatment apparatus, ring member, and plasma treatment method - Google Patents

Plasma treatment apparatus, ring member, and plasma treatment method Download PDF

Info

Publication number
JP2004260159A
JP2004260159A JP2004032218A JP2004032218A JP2004260159A JP 2004260159 A JP2004260159 A JP 2004260159A JP 2004032218 A JP2004032218 A JP 2004032218A JP 2004032218 A JP2004032218 A JP 2004032218A JP 2004260159 A JP2004260159 A JP 2004260159A
Authority
JP
Japan
Prior art keywords
ring member
coating
ceramic
member according
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004032218A
Other languages
Japanese (ja)
Other versions
JP4486372B2 (en
JP2004260159A5 (en
Inventor
Yasuharu Sasaki
康晴 佐々木
Takeshi Moriya
剛 守屋
Hiroshi Nagaike
宏史 長池
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2004032218A priority Critical patent/JP4486372B2/en
Publication of JP2004260159A publication Critical patent/JP2004260159A/en
Publication of JP2004260159A5 publication Critical patent/JP2004260159A5/ja
Application granted granted Critical
Publication of JP4486372B2 publication Critical patent/JP4486372B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To share the use of plasma treatment apparatuses when performing a plurality of processes that are different from one another, in the plasma treatment apparatuses that use plasma, and to provide the apparatuses, ring members and a plasma treatment method that can easily align states of plasma between the apparatuses when performing the same processes by using a plurality of the apparatuses. <P>SOLUTION: A substrate to be treated in a treatment container is surrounded by a ring member made of an insulating material. In this ring member, electrodes are provided to adjust a plasma sheath region. When performing a first process on the substrate to be treated, for example, a first direct-current voltage is applied to the electrodes. When performing a second process on the substrate, a second direct-current voltage is applied to the electrodes. This method is applicable to a suitable direct-current voltage for each process or for each of the apparatuses performing the same processes so that the apparatuses have the same plasma conditions, enabling the shared use of the apparatuses and the easy adjustment of the plasma condition. <P>COPYRIGHT: (C)2004,JPO&NCIPI

Description

本発明は、例えば半導体ウエハなどの基板に対してプラズマにより所定の処理例えばエッチング処理を行うプラズマ処理装置に関する。   The present invention relates to a plasma processing apparatus that performs a predetermined process, for example, an etching process on a substrate such as a semiconductor wafer by using plasma.

従来、半導体デバイスの製造工程においては、例えばキャパシタや素子の分離、あるいはコンタクトホールの形成をするために基板例えば半導体ウエハ(以下、ウエハと呼ぶ)に対してドライエッチングが行われている。この処理を行う装置の一つに枚葉式の平行平板型プラズマ処理装置が知られている(例えば、特許文献1、特許文献2参照。)。   2. Description of the Related Art Conventionally, in a manufacturing process of a semiconductor device, dry etching is performed on a substrate, for example, a semiconductor wafer (hereinafter, referred to as a wafer) in order to separate a capacitor or an element or form a contact hole. As one of apparatuses for performing this processing, a single-wafer parallel plate type plasma processing apparatus is known (for example, see Patent Documents 1 and 2).

上述の装置について図28を用いて簡単に述べておくと、このプラズマ装置は、気密容器1の上下に夫々ガスシャワーヘッドを兼ねた上部電極11と載置台を兼ねた下部電極12が設けられ、更に載置台12上のウエハWの周囲を取り囲むように内側にシリコンリング13が、また外側に石英リング14が設けられて構成されている。そして高周波電源15により上部電極11及び下部電極12の間に高周波電圧を印加して、ガスシャワーヘッド(上部電極)11からの処理ガスをプラズマ化すると共に、高周波電源16から下部電極12にバイアス用の電圧を印加し、排気口17から真空排気して所定の圧力に維持することにより、載置台(下部電極)上のウエハWに対してエッチングを行う。   Briefly describing the above-described apparatus with reference to FIG. 28, this plasma apparatus is provided with an upper electrode 11 also serving as a gas shower head and a lower electrode 12 also serving as a mounting table on the upper and lower sides of the hermetic container 1, respectively. Further, a silicon ring 13 is provided on the inside to surround the periphery of the wafer W on the mounting table 12, and a quartz ring 14 is provided on the outside. Then, a high-frequency voltage is applied between the upper electrode 11 and the lower electrode 12 by the high-frequency power supply 15 to turn the processing gas from the gas shower head (upper electrode) 11 into plasma, and the high-frequency power supply 16 applies a bias to the lower electrode 12. Is applied, and the wafer W on the mounting table (lower electrode) is etched by evacuating from the exhaust port 17 and maintaining a predetermined pressure.

ここでシリコンリング13及び石英リング14の役割について触れておく。ウエハWの表面付近に到達した処理ガスはウエハWの周縁に向かって広がり、その外側から下に向かって排気されるので、ウエハWの周縁部(周縁付近)と中央寄りの領域との間では処理ガスのガスの流れが異なり、ウエハWの周縁部では処理ガスにおける予定の成分比のバランスが崩れてしまうし、またウエハWが置かれている領域とその外側とではプラズマと下部電極との間のインピーダンス成分やコンダクタンス成分などの値が異なる。このためウエハの周縁近傍上方とその内側上方とではプラズマの状態が異なってくる。   Here, the roles of the silicon ring 13 and the quartz ring 14 will be described. Since the processing gas that has reached the vicinity of the surface of the wafer W spreads toward the periphery of the wafer W and is exhausted downward from the outside, the processing gas is located between the periphery of the wafer W (near the periphery) and the region near the center. The gas flow of the processing gas is different, and the balance of a predetermined component ratio in the processing gas is lost at the peripheral portion of the wafer W, and between the region where the wafer W is placed and the outside thereof, the plasma and the lower electrode The values of the impedance component and the conductance component between them are different. For this reason, the state of the plasma is different between the upper portion near the peripheral edge of the wafer and the upper portion inside thereof.

一方、ウエハの利用率を高めるため、できるだけウエハの周縁に近い領域までデバイスを形成するという要請が強いことから、ウエハWの周縁近傍に至るまでエッチングレートについて高い面内均一性を確保する必要がある。このためウエハWの外側に導電体、半導体あるいは誘電体からなるフォーカスリングなどと呼ばれるリング部材を配置し、ウエハWの周縁部上方のプラズマ密度を調整している。具体的にはエッチングすべき膜の材質や供給電力の大きさなどに応じてフォーカスリングの材質を選定し、またリング幅や高さなどを調整し、その処理に見合ったフォーカスリングを設置するようにしている(例えば、特許文献3参照。)。   On the other hand, since there is a strong demand to form devices as close to the periphery of the wafer as possible in order to increase the utilization rate of the wafer, it is necessary to ensure high in-plane uniformity in the etching rate up to the vicinity of the periphery of the wafer W. is there. For this reason, a ring member called a focus ring made of a conductor, a semiconductor, or a dielectric is arranged outside the wafer W to adjust the plasma density above the peripheral portion of the wafer W. Specifically, select the material of the focus ring according to the material of the film to be etched and the magnitude of the supplied power, adjust the ring width and height, etc., and install a focus ring suitable for the processing (For example, see Patent Document 3).

上述の特許文献では一例としてシリコン酸化膜をエッチングする場合にシリコンリングを用いているが、例えばポリシリコンをエッチングする場合には、石英などの絶縁体を用いている。   In the above-mentioned patent document, a silicon ring is used as an example when etching a silicon oxide film, but an insulator such as quartz is used when etching polysilicon, for example.

特開平8−335568号公報(第3−4頁、第2図)JP-A-8-335568 (page 3-4, FIG. 2) 特開2000−36490号公報(第5頁、第3図)JP-A-2000-36490 (page 5, FIG. 3) 特開平8−162444号公報(第5頁、第2図)JP-A-8-162444 (page 5, FIG. 2)

このようなことから、ウエハW上に形成された多層膜をエッチングする場合には、各層毎にあるいは各層のうちの一部の層の間で処理ガスや供給電力の大きさなどが異なるので、各層毎にあるいは各層のうちの一部の層の間で、必要とされるフォーカスリングが異なってしまい、フォーカスリングが異なる分だけチャンバを用意しなければならない。実際には例えば5層の膜をエッチングするために2つの膜についてはチャンバを共用し、残りの膜については夫々のチャンバで処理を行うといったことが行われている。エッチングを行う装置の基本的な構成部分は膜の種類が異なっても同じであるため、チャンバを共通化することが得策であるが、こうした理由からチャンバの共通化が阻まれている。   For this reason, in the case of etching a multilayer film formed on the wafer W, the processing gas and the amount of supplied power are different for each layer or between some of the layers. The required focus ring is different for each layer or between some of the layers, and a different number of chambers must be prepared for the different focus rings. In practice, for example, in order to etch five layers of films, two films share a chamber, and the remaining films are processed in the respective chambers. Since the basic components of the etching apparatus are the same even if the type of the film is different, it is advisable to use a common chamber. However, for such a reason, the common chamber is prevented.

このためフットプリント(装置の占有面積)の縮小化の困難性の要因の一つになり、また装置のバリエーションが増えるため、装置の量産性及び装置管理の点から装置の制作、運転コストを上昇させる一因になっている。   This is one of the factors that make it difficult to reduce the footprint (the occupied area of the device), and increases the variation of the device, which increases the production and operation costs of the device in terms of mass productivity and device management. It is one of the causes.

本発明はこのような事情の下になされたものであり、その目的は、互いに異なる複数のプロセスを行うにあたり装置の共用化を図ることのできるプラズマ処理装置及びその方法を提供することにある。他の目的は、同じプロセスを行う装置間において、プラズマの状態を揃えるための調整が容易なプラズマ処理装置を提供することにある。   The present invention has been made in view of such circumstances, and an object of the present invention is to provide a plasma processing apparatus and a method thereof that can share an apparatus when performing a plurality of different processes. Another object of the present invention is to provide a plasma processing apparatus that can easily be adjusted to make the state of plasma uniform between apparatuses performing the same process.

本発明のプラズマ処理装置は、処理容器内の載置台に載置された被処理基板に対して、処理ガスのプラズマにより処理を行うプラズマ処理装置において、
前記載置台上の被処理基板を取り囲むように設けられた絶縁材からなるリング部材と、
このリング部材内に周方向に沿って設けられた電極と、
前記リング部材上方のプラズマのシース領域を調整するために前記電極に直流電圧を印加する直流電源と、を備えたことを特徴とする。
A plasma processing apparatus of the present invention is a plasma processing apparatus that performs processing on a substrate to be processed mounted on a mounting table in a processing container using plasma of a processing gas.
A ring member made of an insulating material provided so as to surround the substrate to be processed on the mounting table,
An electrode provided in the ring member along the circumferential direction;
A DC power supply for applying a DC voltage to the electrode to adjust a plasma sheath region above the ring member.

本発明のプラズマ処理装置によれば、絶縁体からなるリング部材内の電極に所定の直流電圧を印加することにより、このリング部材の表面とプラズマとの境界にあるイオンシース領域の厚みを調整することができ、各プロセス処理毎にプラズマの状態を揃えることができる。そのため互いに異なる複数のプロセス処理に対して共通のリング部材を用いて処理することができるので、装置の共用化を図ることができる。   According to the plasma processing apparatus of the present invention, the thickness of the ion sheath region at the boundary between the surface of the ring member and the plasma is adjusted by applying a predetermined DC voltage to the electrode in the ring member made of an insulator. And the state of plasma can be made uniform for each process. Therefore, a plurality of different process processes can be performed using a common ring member, so that the apparatus can be shared.

また本発明は、例えば第1のプロセス時にはリング部材内の電極に第1の直流電圧を印加し、第2のプロセス時にはリング部材内の電極に第2の直流電圧を印加するように印加電圧を切り替えるための手段と、を備えたようにしてもよい。この場合、前記手段は、例えば第1のプロセスを行うためのプロセス条件と被処理基板に対して第2のプロセスをおこなうためのプロセス条件とを記憶する記憶部とを備え、この記憶部内のデータを参照して印加電圧を切り替える構成であってもよい。更に第1のプロセスは例えば薄膜をエッチングする処理であり、第2のプロセスは例えば前記薄膜とは異なる種類の薄膜をエッチングする処理であってもよい。またリング部材内の電極は例えば径方向に複数設けられ、これら複数の電極に印加する直流電圧を各々独立して調整できるようにしてもよい。   In addition, the present invention applies an applied voltage such that, for example, a first DC voltage is applied to an electrode in a ring member during a first process, and a second DC voltage is applied to an electrode in a ring member during a second process. And means for switching. In this case, the means includes, for example, a storage unit that stores a process condition for performing the first process and a process condition for performing the second process on the substrate to be processed, and stores data in the storage unit. , The applied voltage may be switched. Further, the first process may be, for example, a process of etching a thin film, and the second process may be, for example, a process of etching a thin film of a type different from the thin film. Also, a plurality of electrodes in the ring member may be provided, for example, in the radial direction, and the DC voltage applied to the plurality of electrodes may be adjusted independently of each other.

また他の発明のリング部材は、処理容器内の載置台に載置された被処理基板に対して、処理ガスのプラズマにより処理を行うプラズマ処理装置の当該載置台上の被処理基板を取り囲むように設けられる絶縁材からなるリング部材において、
このリング部材の上方のプラズマのシース領域を調整するために直流電圧が印加される電極を内部に備えたことを特徴とする。
A ring member according to another aspect of the present invention is configured to surround a substrate to be processed on a mounting table of a plasma processing apparatus that performs processing by a plasma of a processing gas on a substrate to be processed mounted on a mounting table in a processing container. In a ring member made of an insulating material provided in,
An electrode to which a DC voltage is applied for adjusting a plasma sheath region above the ring member is provided inside.

このリング部材は、例えば被処理基板に対して第1のプロセスを行うときには前記電極に第1の直流電圧が印加され、被処理基板に対して第2のプロセスを行うときには前記電極に第2の直流電圧が印加されてもよい。この場合、第1のプロセスは薄膜をエッチングする処理であり、第2のプロセスは前記薄膜とは異なる種類の薄膜をエッチングする処理であってもよい。更にリング部材内の電極は例えば径方向に複数設けられ、これら複数の電極に印加する直流電圧を各々独立して調整できるようにしてもよい。   For example, the ring member is configured such that a first DC voltage is applied to the electrode when a first process is performed on a substrate to be processed, and a second DC voltage is applied to the electrode when a second process is performed on the substrate to be processed. A DC voltage may be applied. In this case, the first process may be a process of etching a thin film, and the second process may be a process of etching a different type of thin film. Further, a plurality of electrodes in the ring member may be provided, for example, in the radial direction, and the DC voltage applied to the plurality of electrodes may be independently adjustable.

本発明のプラズマ処理方法は、処理容器内の載置台に被処理基板を載置する工程と、
前記載置台上の被処理基板を取り囲むように設けられた絶縁材からなるリング部材内に周方向に沿って設けられたプラズマシース領域調整用の電極に第1の直流電圧を印加した状態で、処理容器内にプラズマを発生させて被処理基板に第1のプロセスを行う工程と、
次いで前記プラズマシース領域調整用の電極に第2の直流電圧を印加した状態で、処理容器内にプラズマを発生させて被処理基板に第2のプロセスを行う工程と、を含むことを特徴とする。
The plasma processing method of the present invention is a step of mounting a substrate to be processed on a mounting table in a processing container,
In a state where a first DC voltage is applied to a plasma sheath region adjusting electrode provided along a circumferential direction in a ring member made of an insulating material provided so as to surround a substrate to be processed on the mounting table, Performing a first process on a substrate to be processed by generating plasma in the processing container;
And generating a plasma in the processing vessel and performing a second process on the substrate to be processed in a state in which a second DC voltage is applied to the electrode for adjusting the plasma sheath region. .

また上記のリング部材において、本発明の第1の観点では、基材と、その表面にセラミックスの溶射によって形成された被膜とを有し、前記被膜を構成するセラミックスは、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含み、その少なくとも一部分が樹脂によって封孔処理されていることを特徴とするリング部材を提供する。   In the above-described ring member, according to a first aspect of the present invention, the substrate has a base material and a coating formed on the surface thereof by thermal spraying of ceramics, and the ceramics forming the coating include B, Mg, Al, A ring member comprising at least one element selected from the group consisting of Si, Ca, Cr, Y, Zr, Ta, Ce and Nd, at least a portion of which is sealed with a resin; provide.

本発明の第2の観点では、基材と、その表面にセラミックスの溶射によって形成された被膜とを有し、前記被膜は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分が樹脂によって封孔処理されていることを特徴とするリング部材を提供する。   According to a second aspect of the present invention, there is provided a base material and a coating formed on the surface thereof by spraying ceramics, wherein the coating is made of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta. , A first ceramic layer made of a ceramic containing at least one element selected from the group consisting of Ce and Nd, and B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. A second ceramics layer made of ceramics containing at least one element selected from the group, wherein at least a part of at least one of the first and second ceramics layers is sealed with a resin. A ring member is provided.

上記本発明の第1および第2の観点において、前記樹脂としては、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択されたものが好適である。   In the first and second aspects of the present invention, the resin is preferably selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA.

本発明の第3の観点では、基材と、その表面にセラミックスの溶射によって形成された被膜とを有し、前記被膜を構成するセラミックスは、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含み、その少なくとも一部分がゾルゲル法によって封孔処理されていることを特徴とするリング部材を提供する。   According to a third aspect of the present invention, there is provided a base material and a coating formed on the surface thereof by spraying ceramics, and the ceramics constituting the coating are B, Mg, Al, Si, Ca, Cr, Y , Zr, Ta, Ce, and Nd. A ring member comprising at least one element selected from the group consisting of, and at least a part of which is subjected to a sealing treatment by a sol-gel method.

本発明の第4の観点では、基材と、その表面にセラミックスの溶射によって形成された被膜とを有し、前記被膜は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分がゾルゲル法によって封孔処理されていることを特徴とするリング部材を提供する。   According to a fourth aspect of the present invention, there is provided a base material and a coating formed on the surface thereof by spraying ceramics, wherein the coating is made of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta. , A first ceramic layer made of a ceramic containing at least one element selected from the group consisting of Ce and Nd, and B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. A second ceramics layer made of ceramics containing at least one element selected from the group, wherein at least a part of at least one of the first and second ceramics layers is sealed by a sol-gel method. A ring member characterized by the following.

上記本発明の第3および第4の観点において、前記封孔処理は、周期律表第3a族に属する元素から選択されたものを用いて行うことが好ましい。   In the third and fourth aspects of the present invention, it is preferable that the sealing treatment is performed using an element selected from elements belonging to Group 3a of the periodic table.

上記本発明の第1から第4の観点において、前記セラミックスとしては、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種を好適に用いることができる。   In the first to fourth aspects of the present invention, the ceramics include B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3, and Nd2O3. At least one selected from the group consisting of:

本発明の第5の観点では、基材と、その表面に形成された被膜とを有し、前記被膜は、セラミックスの溶射によって形成された主層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された元素を含むセラミックスからなるバリアコート層とを有することを特徴とするリング部材を提供する。   According to a fifth aspect of the present invention, there is provided a base material and a coating formed on the surface thereof, wherein the coating includes a main layer formed by spraying ceramics, B, Mg, Al, Si, Ca, A ring member comprising: a barrier coat layer made of a ceramic containing an element selected from the group consisting of Cr, Y, Zr, Ta, Ce, and Nd.

上記本発明の第5の観点において、前記バリアコート層として、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスを好適に用いることができる。また、前記バリアコート層としては、少なくともその一部が樹脂によって封孔処理された溶射被膜を用いることができ、前記樹脂としては、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択されたものが好適である。あるいは、前記バリアコート層としては、少なくともその一部がゾルゲル法によって封孔処理された溶射被膜を用いることもでき、前記封孔処理は、周期律表第3a族に属する元素から選択されたものを用いて行うことが好ましい。   In the fifth aspect of the present invention, the barrier coat layer includes a group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3, and Nd2O3. At least one kind of ceramics selected from the following can be suitably used. Further, as the barrier coat layer, at least a part thereof can be used as a thermal spray coating coated with a resin, and the resin includes SI, PTFE, PI, PAI, PEI, PBI, and PFA. Those selected from the group are preferred. Alternatively, as the barrier coat layer, a thermal spray coating, at least a part of which is subjected to a sealing treatment by a sol-gel method, may be used, wherein the sealing treatment is selected from elements belonging to Group 3a of the periodic table. It is preferable to carry out using.

本発明の第6の観点では、基材と、その表面に形成された被膜とを有し、前記被膜は、セラミックスの溶射によって形成された主層と、前記基材と前記主層との間に形成されたエンジニアリングプラスチックからなるバリアコート層とを有することを特徴とするリング部材を提供する。   According to a sixth aspect of the present invention, there is provided a base material and a coating formed on the surface thereof, wherein the coating is formed between a main layer formed by spraying ceramics and the base material and the main layer. And a barrier coat layer formed of an engineering plastic formed on the ring member.

上記本発明の第6の観点において、前記エンジニアリングプラスチックとして、PTFE、PI、PAI、PEI、PBI、PFA、PPS、POMの群から選択されるプラスチックを好適に用いることができる。   In the sixth aspect of the present invention, a plastic selected from the group consisting of PTFE, PI, PAI, PEI, PBI, PFA, PPS, and POM can be suitably used as the engineering plastic.

上記本発明の第5および第6の観点において、前記主層は、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスを好適に用いることができる。   In the fifth and sixth aspects of the present invention, the main layer is formed of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3, and Nd2O3. At least one kind of ceramics selected from the group described above can be suitably used.

本発明の第7の観点では、基材と、その表面に形成された被膜とを有し、前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなり、前記被膜の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とするリング部材を提供する。   According to a seventh aspect of the present invention, there is provided a base material and a film formed on the surface thereof, wherein the film is made of a ceramic containing at least one element belonging to Group 3a of the periodic table. Characterized in that at least a part of the ring member is hydrated with steam or high-temperature water.

本発明の第8の観点では、基材と、その表面に形成された被膜とを有し、前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とするリング部材を提供する。   According to an eighth aspect of the present invention, a first ceramic comprising a substrate and a coating formed on the surface thereof, wherein the coating is made of a ceramic containing at least one element belonging to Group 3a of the periodic table. And a second ceramics layer made of ceramics containing at least one element belonging to Group 3a of the periodic table, wherein at least a part of at least one of the first and second ceramics layers is steam or high-temperature water. A ring member characterized by being subjected to hydration treatment.

上記本発明の第7および第8の観点において、前記被膜としては、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜を用いることができる。また、前記被膜を構成するセラミックスとしては、Y2O3、CeO2、Ce2O3、Nd2O3から選択されたものであることが好適である。   In the seventh and eighth aspects of the present invention, a thermal sprayed coating formed by thermal spraying or a thin film formed by a thin film forming technique can be used as the coating. Further, it is preferable that the ceramic constituting the coating is selected from Y2O3, CeO2, Ce2O3, and Nd2O3.

本発明の第9の観点では、基材と、その表面に形成された被膜とを有し、前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、セラミックスの溶射で形成された第2セラミックス層とを有し、前記第1セラミックス層の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とするリング部材を提供する。   According to a ninth aspect of the present invention, there is provided a first ceramic comprising a base material and a coating formed on a surface thereof, wherein the coating is made of a ceramic containing at least one element belonging to Group 3a of the periodic table. A ring member having a layer and a second ceramic layer formed by spraying ceramics, wherein at least a part of the first ceramic layer is hydrated with steam or high-temperature water.

上記本発明の第9の観点において、前記第1セラミックス層としては、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜を用いることができる。また、前記第1セラミックス層を構成するセラミックスとしては、Y2O3、CeO2、Ce2O3、Nd2O3から選択されたものであることが好適である。さらに、前記第2セラミックス層を構成するセラミックスとしては、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種が好適である。   In the ninth aspect of the present invention, as the first ceramics layer, a thermal sprayed coating formed by thermal spraying or a thin film formed by a thin film forming technique can be used. Further, it is preferable that the ceramics constituting the first ceramics layer is selected from Y2O3, CeO2, Ce2O3, and Nd2O3. Further, as the ceramic constituting the second ceramic layer, selected from the group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3 and Nd2O3. At least one of the above is preferred.

本発明の第10の観点では、基材と、その表面に形成された被膜とを有し、前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含む水酸化物からなる水酸化物層を有することを特徴とするリング部材を提供する。   According to a tenth aspect of the present invention, there is provided a base material and a coating formed on a surface thereof, wherein the coating is formed of a hydroxide comprising a hydroxide containing at least one element belonging to Group 3a of the periodic table. A ring member having an oxide layer is provided.

上記本発明の第10の観点において、前記水酸化物層としては、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜を用いることができる。また、前記水酸化物層を構成する水酸化物としては、Y(OH)3、Ce(OH)3、Nd(OH)3から選択されたものであることが好適である。さらに、前記水酸化物層は少なくともその一部が封孔処理されていてもよい。   In the tenth aspect of the present invention, as the hydroxide layer, a thermal spray coating formed by thermal spraying or a thin film formed by a thin film forming technique can be used. The hydroxide constituting the hydroxide layer is preferably selected from Y (OH) 3, Ce (OH) 3 and Nd (OH) 3. Further, at least a part of the hydroxide layer may be subjected to a sealing treatment.

上記本発明の第1〜第10の観点において、前記基材と前記被膜との間に、陽極酸化被膜を有していてもよく、この場合には前記陽極酸化被膜は、金属塩水溶液により封孔処理されていることが好ましい。また、前記陽極酸化被膜は、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択された樹脂により封孔処理されたものであってもよい。   In the first to tenth aspects of the present invention, an anodic oxide coating may be provided between the base material and the coating. In this case, the anodic oxide coating is sealed with a metal salt aqueous solution. It is preferable that holes have been treated. Further, the anodic oxide film may be one subjected to a sealing treatment with a resin selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA.

本発明の第11の観点では、周期律表第3a族に属する少なくとも1種の元素を含むセラミックス焼結体からなり、その少なくとも一部が蒸気または高温水によって水化処理されていることを特徴とするリング部材を提供する。この場合に、前記セラミックス焼結体は、Y2O3、CeO2、Ce2O3、Nd2O3から選択されたセラミックスを水化処理したものが好ましい。   An eleventh aspect of the present invention is characterized in that the ceramic sintered body includes at least one element belonging to Group 3a of the periodic table, and at least a part of the ceramic sintered body has been hydrated with steam or high-temperature water. A ring member is provided. In this case, it is preferable that the ceramic sintered body is obtained by subjecting a ceramic selected from Y2O3, CeO2, Ce2O3, and Nd2O3 to a hydration treatment.

本発明の第12の観点では、周期律表第3a族に属する少なくとも1種の元素を含む水酸化物を含むセラミックス焼結体からなることを特徴とするリング部材を提供する。この場合に、前記セラミックス焼結体に含まれる水酸化物は、Y(OH)3、Ce(OH)3、Nd(OH)3から選択されたものであることが好ましい。   According to a twelfth aspect of the present invention, there is provided a ring member comprising a ceramic sintered body containing a hydroxide containing at least one element belonging to Group 3a of the periodic table. In this case, the hydroxide contained in the ceramic sintered body is preferably selected from Y (OH) 3, Ce (OH) 3, and Nd (OH) 3.

本発明によれば、絶縁体からなるリング部材内の電極に所定の直流電圧を印加することにより、プロセス処理毎にプラズマの状態を調整できるので、互いに異なる複数のプロセス処理に対して装置の共用化を図ることができる。また、前記電極に印加する直流電圧を種々設定することにより、例えば複数の処理容器を用いて同じプロセスを行う場合に、それらの装置間においてプラズマの状態を揃えるための調整を容易に行うことができる。   According to the present invention, by applying a predetermined DC voltage to the electrode in the ring member made of an insulator, the state of the plasma can be adjusted for each process, so that the apparatus can be shared for a plurality of different process processes. Can be achieved. Further, by setting various DC voltages to be applied to the electrodes, for example, when performing the same process using a plurality of processing vessels, it is possible to easily perform adjustment for aligning the state of plasma between the apparatuses. it can.

また本発明によれば、基材と、溶射によって形成された被膜とを有する構造のリング部材において、バリアとして機能する種々の層を設けたので、基材表面が、プロセスガスまたは洗浄液に曝されないようにすることができ、溶射によって形成した被膜の剥がれを抑制することができる。   Further, according to the present invention, in the ring member having the structure having the base material and the coating formed by thermal spraying, since various layers functioning as barriers are provided, the base material surface is not exposed to the process gas or the cleaning liquid. And the peeling of the coating formed by thermal spraying can be suppressed.

更に、本発明によれば、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスを水化処理することにより、または周期律表第3a族に属する少なくとも1種の元素を含む水酸化物を含む層または焼結体を構成することにより、水分を吸着しにくく、脱離しにくい構造とすることができるので、プラズマ処理時における水分の離脱が生じ難いリング部材を得ることができる。   Further, according to the present invention, a ceramic containing at least one element belonging to Group 3a of the periodic table is subjected to hydration treatment or a hydroxide containing at least one element belonging to Group 3a of the periodic table is provided. By forming a layer containing a substance or a sintered body, it is possible to obtain a structure in which moisture is hardly adsorbed and hardly desorbed, so that a ring member in which desorption of water hardly occurs during plasma processing can be obtained.

本発明に係るプラズマ処理装置の実施の形態について図1を参照しながら説明する。図中2は例えばアルミニウムなどの導電性部材からなる気密に形成された処理容器であり、この処理容器2は接地されている。処理容器2には、所定の処理ガス例えばエッチングガスを導入するためのガス供給部であるガスシャワーヘッドを兼ねた上部電極3と、被処理基板例えばウエハWを載置するための基板載置台を兼ねた下部電極4とが互いに対向するようにして設けられている。また処理容器2の底部には排気口21が設けられており、この排気口21には排気路21aを介して真空排気手段例えばターボ分子ポンプやドライポンプなどの真空ポンプ22が接続されている。更に処理容器2の側壁には、開閉自在なゲートバルブ23を備えた、ウエハWの搬入又は搬出するための開口部24が設けられている。   An embodiment of a plasma processing apparatus according to the present invention will be described with reference to FIG. In the drawing, reference numeral 2 denotes an airtightly formed processing container made of a conductive material such as aluminum, and the processing container 2 is grounded. The processing container 2 includes an upper electrode 3 also serving as a gas shower head which is a gas supply unit for introducing a predetermined processing gas, for example, an etching gas, and a substrate mounting table for mounting a substrate to be processed, for example, a wafer W. The lower electrode 4 also serving as the second electrode is provided so as to face each other. An exhaust port 21 is provided at the bottom of the processing container 2, and a vacuum exhaust unit, for example, a vacuum pump 22 such as a turbo-molecular pump or a dry pump is connected to the exhaust port 21 via an exhaust path 21 a. Further, an opening 24 for loading or unloading the wafer W is provided on the side wall of the processing container 2 and has a gate valve 23 that can be opened and closed.

前記上部電極3の下面側には、前記下部電極4上に載置されたウエハWに対向して多数のガス拡散孔31が穿設されており、上部のガス供給路32からの処理ガスを、ガス拡散孔31を介してウエハWの表面へ均一に供給するように構成されている。更にガス供給路32は、基端側が第1の処理ガスを供給するための第1のガス供給系33と、第1の処理ガスと種類の異なる第2の処理ガスを供給するための第2のガス供給系34に接続され、これら各供給系33(34)は例えば図示しないバルブの開閉動作により第1のガス供給系33又は第2のガス供給系34のいずれか選択した方の処理ガスを供給可能なように構成されている。ここに示した第1のガス供給系33及び第2のガス供給系34とは、夫々第1の処理を行うための第1の処理ガス及び第2の処理を行うための第2の処理ガスを供給するためのものであり、第1の処理ガス(第2の処理ガス)は、1種類のガスを意味するものではなく、複数種類のガスである場合もある。またガス供給路32は便宜上1本だけ図示したものであり、実際には必要な数だけ設けられる。   A large number of gas diffusion holes 31 are formed on the lower surface side of the upper electrode 3 so as to face the wafer W mounted on the lower electrode 4, and the processing gas from the upper gas supply path 32 is supplied to the gas diffusion holes 31. , And is uniformly supplied to the surface of the wafer W via the gas diffusion holes 31. Further, the gas supply path 32 has a first gas supply system 33 for supplying the first processing gas at the base end side, and a second gas supply system 33 for supplying the second processing gas different in type from the first processing gas. The respective supply systems 33 (34) are connected to a processing gas selected from either the first gas supply system 33 or the second gas supply system 34 by, for example, opening and closing a valve (not shown). Is configured to be supplied. The first gas supply system 33 and the second gas supply system 34 shown here are a first processing gas for performing the first processing and a second processing gas for performing the second processing, respectively. The first processing gas (second processing gas) does not mean one kind of gas, but may be a plurality of kinds of gases. In addition, only one gas supply path 32 is shown for convenience, and a required number of gas supply paths are provided in practice.

また上部電極3には、ローパスフィルタ35を介して、例えば60MHzの周波数を有する電力を供給するための高周波電源部36に接続されている。更にまた、上部電極3の周囲には、環状の石英からなるシールドリング37が上部電極3の外周部に嵌合されて設けられている。   The upper electrode 3 is connected via a low-pass filter 35 to a high-frequency power supply 36 for supplying power having a frequency of, for example, 60 MHz. Further, around the upper electrode 3, an annular shield ring 37 made of quartz is provided so as to be fitted to the outer peripheral portion of the upper electrode 3.

前記下部電極4には、ハイパスフィルタ40を介して例えば2MHzの周波数を有するバイアス用の電圧を印加する高周波電源部41に接続されている。また下部電極4は処理容器2の下部に設けられた昇降機構42の上に配設されており、これにより昇降自在に構成されている。なお43はプラズマが下部電極4の下に入り込まないようにするためのベローズである。また下部電極4の上面には、ウエハWの裏面を電気的な吸着作用により吸着保持するための静電チャック44が設けられている。この静電チャック44は、シート状のチャック電極45と、このチャック電極45の表面を覆う例えばポリイミドからなる絶縁層46と、当該チャック電極45にチャック電圧を印加する直流電源47とにより構成されている。更にまた、下部電極4の周囲には、プラズマから当該電極を保護するための図示しない例えば石英などの絶縁部材からなる環状のベースプレートが設けられている。   The lower electrode 4 is connected via a high-pass filter 40 to a high-frequency power supply 41 for applying a bias voltage having a frequency of, for example, 2 MHz. Further, the lower electrode 4 is disposed on an elevating mechanism 42 provided at a lower portion of the processing container 2, thereby being configured to be able to move up and down. Reference numeral 43 denotes a bellows for preventing plasma from entering under the lower electrode 4. Further, on the upper surface of the lower electrode 4, an electrostatic chuck 44 for suction-holding the back surface of the wafer W by an electric suction action is provided. The electrostatic chuck 44 includes a sheet-like chuck electrode 45, an insulating layer 46 made of, for example, polyimide, covering the surface of the chuck electrode 45, and a DC power supply 47 for applying a chuck voltage to the chuck electrode 45. I have. Furthermore, an annular base plate (not shown) made of an insulating material such as quartz is provided around the lower electrode 4 to protect the electrode from plasma.

更に下部電極4には、ウエハWの温度を所定の温度に調整するため温度調整手段が設けられている。この温度調整手段は、冷媒通流室48、冷媒、ガス供給手段及び伝熱用のガスなどによってウエハWの温度を裏面側から調整するためのものである。具体的に述べると、下部電極4に冷媒通流室48が設けられており、冷媒通流室48と図示しない外部の冷媒温調ユニットとの間を冷媒が循環するように構成されている。更に、真空雰囲気において静電チャック44の表面とウエハWの裏面との間の極めて僅かな隙間(表面の処理精度の限界から生じる凸凹により形成される空間)に、バックサイドガスなどと呼ばれている伝熱用のガス例えばヘリウムガスをパージするためのガス供給孔(図示せず)が静電チャック44の表面に穿設されており、このガス供給孔は例えば図示しないガス供給手段と接続されている。   Further, the lower electrode 4 is provided with a temperature adjusting means for adjusting the temperature of the wafer W to a predetermined temperature. This temperature adjusting means is for adjusting the temperature of the wafer W from the back side by the refrigerant flow chamber 48, the refrigerant, the gas supply means, the heat transfer gas and the like. Specifically, the lower electrode 4 is provided with a refrigerant flow chamber 48, and the refrigerant is circulated between the refrigerant flow chamber 48 and an external refrigerant temperature control unit (not shown). Further, in a very small gap between the front surface of the electrostatic chuck 44 and the back surface of the wafer W in a vacuum atmosphere (a space formed by unevenness generated due to the limit of processing accuracy of the front surface), it is called a backside gas or the like. A gas supply hole (not shown) for purging a heat transfer gas, for example, a helium gas, is formed in the surface of the electrostatic chuck 44. The gas supply hole is connected to, for example, gas supply means (not shown). ing.

また静電チャック44の周囲には、当該静電チャック44に吸着保持されたウエハWの周囲を囲むようにして絶縁体例えばアルミナ、石英、酸化イットリウムなどから選択される材質からなるリング部材であるフォーカスリング5が設けられている。このフォーカスリング5は、幅が例えば50mmに設定され、またウエハWの外周縁にできるだけ接近して設けるのが好ましく、例えばウエハWの外周縁から2mm以内、好ましくは1mm以内になるように設けられている。またフォーカスリング5の内部には、周方向に亘って例えばリング状に電極51例えばモリブデン(Mo)、アルミニウム(Al)などの金属箔、あるいはタングステン膜が設けられている。更に電極51には、プロセス処理毎に所定の直流電圧、例えば第1の処理時には電極51に第1の直流電圧を印加し、第2の処理時には電極51に第2の直流電圧を印加するように印加電圧を切り換えるためのアクチュエータ52aを備えた直流電源52が接続されている。当該フォーカスリング5は、例えばウエハWの周縁及びその近傍に濃縮しがちなプラズマを拡散させ、ウエハWに向うプラズマの均一性を高める役割を有している。   A focus ring, which is a ring member made of a material selected from an insulator, for example, alumina, quartz, yttrium oxide, etc., is provided around the electrostatic chuck 44 so as to surround the periphery of the wafer W sucked and held by the electrostatic chuck 44. 5 are provided. The focus ring 5 is set to have a width of, for example, 50 mm, and is preferably provided as close as possible to the outer peripheral edge of the wafer W. For example, the focus ring 5 is provided so as to be within 2 mm, preferably 1 mm from the outer peripheral edge of the wafer W. ing. Further, inside the focus ring 5, an electrode 51, for example, a metal foil such as molybdenum (Mo) or aluminum (Al), or a tungsten film is provided in a ring shape over the circumferential direction. Further, a predetermined DC voltage is applied to the electrode 51 for each process, for example, a first DC voltage is applied to the electrode 51 during the first process, and a second DC voltage is applied to the electrode 51 during the second process. Is connected to a DC power supply 52 having an actuator 52a for switching the applied voltage. The focus ring 5 has a role of diffusing plasma, which tends to be concentrated, for example, on the periphery of the wafer W and its vicinity, thereby improving the uniformity of the plasma toward the wafer W.

ここで上述のフォーカスリング5を製造する手法について簡単に説明しておくが、製造する手法によって発明が限定されるものではない。まず例えばリング状の石英の表面に、スクリーン印刷、成膜などによりその表面に金属箔を形成するか、あるいは金属のメッシュ体を置くなどして電極51とし、更にその上に石英の薄板を置いて接着あるいは溶着するか、あるいは酸化イットリウムなどを溶射することでフォーカスリング5を得る。また他の手法としては、例えばリング状のアルミナの表面に金属粉を載せ、例えばプレスして金属粉を固めて電極51とし、その上にアルミナ粉を載せて焼結することでフォーカスリング5を得る。   Here, a method of manufacturing the focus ring 5 described above will be briefly described, but the invention is not limited by the method of manufacturing. First, for example, a metal foil is formed on the surface of a ring-shaped quartz by screen printing, film formation, or the like, or a metal mesh body is placed to form an electrode 51, and a thin quartz plate is placed thereon. The focus ring 5 is obtained by bonding or welding, or by spraying yttrium oxide or the like. Further, as another method, for example, a metal powder is placed on the surface of a ring-shaped alumina, and the metal powder is solidified by pressing, for example, to form an electrode 51, and the alumina powder is placed thereon and sintered to form the focus ring 5. obtain.

また第1図中6は制御部である。この制御部6は、前記した高周波電源部36、高周波電源部41、アクチュエータ52a、第1のガス供給系33および第2のガス供給系34の動作を制御する機能を有している。制御部6の制御機能について図2を用いて更に説明すると、制御部6はコンピュータ60を備えており、このコンピュータ60の記憶領域61には複数のプロセスレシピが格納されている。このプロセスレシピには例えばウエハWの表面の処理すべき膜の種類に対応づけて処理条件、例えば電極51の印加電圧、プロセス圧力、ウエハW温度、処理ガスの種類および処理ガスの供給流量などの設定値の情報が記憶されている。また62は処理すべき膜の種類に対応するプロセスレシピを、例えばオペレータが選択するためのレシピ選択手段である。例えば処理条件の異なる膜がウエハWの表面にある場合には、それらの膜種および組み合わせに基づいて第1の処理および第2の処理が決められ、更にこの第1の処理および第2の処理に対応するプロセスレシピがレシピ選択手段62により選択される。なお、便宜上第1の処理および第2の処理を示したが、プロセスレシピは必要に応じて第3の処理、第4の処理、…、に対応して用意するようにしてもよく、この場合各処理毎に電極51の印加電圧などの条件が決められる。そして選択されたプロセスレシピの情報に基づいて電極51に所定の直流電圧が印加されるようにアクチュエータ52aが制御され、また所定の処理ガスが所定の流量で処理容器2内に導入されるように、第1のガス供給系33および第2のガス供給系34の供給動作が制御されるように構成されている。なお63はCPUであり、Bはバスである。   In FIG. 1, reference numeral 6 denotes a control unit. The control unit 6 has a function of controlling the operations of the high-frequency power supply unit 36, the high-frequency power supply unit 41, the actuator 52a, the first gas supply system 33, and the second gas supply system 34. The control function of the control unit 6 will be further described with reference to FIG. 2. The control unit 6 includes a computer 60, and a storage area 61 of the computer 60 stores a plurality of process recipes. In this process recipe, for example, processing conditions such as the applied voltage of the electrode 51, the process pressure, the wafer W temperature, the type of the processing gas, and the supply flow rate of the processing gas are associated with the type of the film to be processed on the surface of the wafer W. The setting value information is stored. Reference numeral 62 denotes a recipe selection unit for an operator to select a process recipe corresponding to the type of film to be processed, for example. For example, when films having different processing conditions are present on the surface of the wafer W, the first processing and the second processing are determined based on the types and combinations of the films, and the first processing and the second processing are further performed. Is selected by the recipe selection means 62. Although the first processing and the second processing are shown for convenience, the process recipe may be prepared corresponding to the third processing, the fourth processing,... As necessary. Conditions such as the voltage applied to the electrode 51 are determined for each process. The actuator 52a is controlled so that a predetermined DC voltage is applied to the electrode 51 based on the information of the selected process recipe, and a predetermined processing gas is introduced into the processing vessel 2 at a predetermined flow rate. , The supply operation of the first gas supply system 33 and the second gas supply system 34 is controlled. 63 is a CPU, and B is a bus.

ここでフォーカスリング5の電極51に直流電圧を印加することによりプラズマの状態が調整される様子について図3に示す模式図を参照しながら述べておく。先ず図3(a)に示すように、電極51に直流電圧が印加されていない場合には、処理容器2内の処理ガスがプラズマ化されると、ウエハWの表面とプラズマPとの境界には、正イオン種に比して電子の移動速度が大きいことに起因して高密度な正イオン種200を含むイオンシース領域(プラズマシース領域)が形成される。またフォーカスリング5の表面とプラズマPとの境界にも同様にイオンシース領域が形成されるが、フォーカスリング5の材質に絶縁体が選択されていることからウエハW側よりも厚みのあるイオンシース領域が形成される。このイオンシース領域はフォーカスリング5の形状、材質などによってその形状が種々異なって形成される。このようにイオンシース領域の厚さが異なると、ウエハWの面内において特に中心部と周縁部との間でプラズマPの密度がばらついてしまうが、フォーカスリング5内に設けられた電極51に例えば正の直流電圧が印加された場合には、当該正イオン種200と電極51との間で印加電圧の大きさに見合う強さの斥力が作用し、イオンシース領域の正イオン種200がプラズマP内に戻されて当該イオンシース領域の形状、特に厚みが変ることにより、結果としてプラズマPの密度が変ることとなる。   Here, how the state of the plasma is adjusted by applying a DC voltage to the electrode 51 of the focus ring 5 will be described with reference to the schematic diagram shown in FIG. First, as shown in FIG. 3A, when no DC voltage is applied to the electrode 51, when the processing gas in the processing chamber 2 is turned into plasma, a boundary between the surface of the wafer W and the plasma P is formed. In the case, an ion sheath region (plasma sheath region) including the high-density positive ion species 200 is formed due to a higher electron moving speed than the positive ion species. Similarly, an ion sheath region is formed at the boundary between the surface of the focus ring 5 and the plasma P. However, since an insulator is selected as the material of the focus ring 5, the ion sheath region is thicker than the wafer W side. An area is formed. The ion sheath region is formed in various shapes depending on the shape, material and the like of the focus ring 5. If the thickness of the ion sheath region is different as described above, the density of the plasma P varies in the plane of the wafer W, particularly between the central portion and the peripheral portion. For example, when a positive DC voltage is applied, a repulsive force having a strength corresponding to the magnitude of the applied voltage acts between the positive ion species 200 and the electrode 51, and the positive ion species 200 in the ion sheath region is turned into plasma. The density of the plasma P changes as a result of being returned to the P and changing the shape, particularly the thickness, of the ion sheath region.

より具体的に述べると、例えば図3(b)に示すように、電極51に印加する直流電圧が小さいと、プラズマPに戻される正イオン種200が少ないのでイオンシース領域は厚くなり、そのためウエハWの周縁部近傍のプラズマPが中央部に比して高密度になる。一方、図3(c)に示すように、電極51に印加する直流電圧が大きいと、正イオン種200がプラズマPに戻されてイオンシース領域は薄くなり、そのためウエハWの周縁部近傍のプラズマPが図3(b)のウエハW周縁部近傍のプラズマ密度に比して低密度になる。更に図3(d)に示すように、直流電圧を更に大きくすると、ウエハW側よりも薄いイオンシース領域となる。従って電極51に所定の直流電圧を印加すれば、結果としてプラズマPの状態が調整されることとなるが、実際に印加する直流電圧をどのように設定すればウエハWの面内均一な処理ができるかはエッチングすべき膜の種類、各電極3、4への供給電力などによって異なるので、予め実験を行って処理毎の設定値を決めておくのが望ましい。なお、電極51には負の電圧を印加するようにしてもよい。   More specifically, for example, as shown in FIG. 3B, when the DC voltage applied to the electrode 51 is small, the amount of the positive ion species 200 returned to the plasma P is small, so that the ion sheath region becomes thick, and therefore, the wafer becomes thick. The density of the plasma P near the periphery of W becomes higher than that in the center. On the other hand, as shown in FIG. 3C, when the DC voltage applied to the electrode 51 is large, the positive ion species 200 is returned to the plasma P, and the ion sheath region becomes thin, so that the plasma near the periphery of the wafer W is reduced. P becomes lower in density than the plasma density near the periphery of the wafer W in FIG. Further, as shown in FIG. 3D, when the DC voltage is further increased, the ion sheath region becomes thinner than the wafer W side. Therefore, when a predetermined DC voltage is applied to the electrode 51, the state of the plasma P is adjusted as a result. However, how the DC voltage to be actually applied is set can achieve uniform in-plane processing of the wafer W. It depends on the type of film to be etched, the power supplied to each of the electrodes 3 and 4, and the like, so it is desirable to conduct an experiment in advance to determine the set value for each process. Note that a negative voltage may be applied to the electrode 51.

続いて上述のプラズマ処理装置を用いて被処理基板であるウエハWを処理する手法について説明するが、ここでは互いに異なるプロセス処理の一例として、図4(a)に示すように、下地膜であるシリコン膜64の上に、シリコン膜とは処理条件の異なるシリコンナイトライド膜65が積層されたウエハWをエッチングする例を挙げて説明する。この例では、図4(b)に示すように、上層のシリコンナイトライド膜65をエッチングする処理が第1の処理となり、また図4(c)に示すように、第1の処理の後に行われる下層のシリコン膜64をエッチングする処理が第2の処理となり、これらの処理に対応するプロセスレシピがレシピ選択手段62により選択され、選択されたプロセスレシピの情報に基づいて処理条件が設定されることとなる。   Next, a method for processing a wafer W as a substrate to be processed by using the above-described plasma processing apparatus will be described. Here, as an example of different process processing, as shown in FIG. An example will be described in which a wafer W on which a silicon nitride film 65 having different processing conditions from a silicon film is stacked on a silicon film 64 is etched. In this example, as shown in FIG. 4B, a process of etching the upper silicon nitride film 65 is a first process, and as shown in FIG. 4C, the process is performed after the first process. The processing for etching the lower silicon film 64 is a second processing, a process recipe corresponding to these processings is selected by the recipe selecting means 62, and processing conditions are set based on information of the selected process recipe. It will be.

そして先ずゲートバルブ23を開放し、ウエハWを図示しないロードロック室から処理容器2内に搬入して、図示しない基板昇降ピンを介してこのウエハWを下部電極4の静電チャック44上に載置し、この後ゲートバルブ23を閉じて処理容器2を気密な状態にする。次いで昇降機構42が上昇し、上部電極3に対してウエハWの表面が所定の高さ位置になるように設定される。一方、下部電極4の表面は通流室50に冷媒が循環しているため所定の温度に設定されており、この表面にウエハWが吸着されるとガス供給孔51からウエハWの裏面と下部電極4の表面との極めて小さい隙間に伝熱用のガスが供給され、後述のようにプラズマが発生したときに、プラズマからウエハWに伝熱される熱と、下部電極4から伝熱用のガスを介してウエハWに伝熱される熱とのバランスによってウエハWが所定のプロセス温度に調整される。   Then, first, the gate valve 23 is opened, the wafer W is carried into the processing chamber 2 from the load lock chamber (not shown), and the wafer W is placed on the electrostatic chuck 44 of the lower electrode 4 via the substrate elevating pins (not shown). After that, the gate valve 23 is closed to make the processing container 2 airtight. Next, the elevating mechanism 42 is moved up so that the surface of the wafer W is set at a predetermined height position with respect to the upper electrode 3. On the other hand, the surface of the lower electrode 4 is set at a predetermined temperature because the refrigerant circulates in the flow chamber 50. When a gas for heat transfer is supplied to an extremely small gap with the surface of the electrode 4, when plasma is generated as described later, heat transferred from the plasma to the wafer W and gas for heat transfer from the lower electrode 4 are generated. The wafer W is adjusted to a predetermined process temperature by a balance with the heat transferred to the wafer W through the substrate.

更に真空ポンプ22により処理容器2内を真空排気する一方で、第1のガス供給系33からの第1のエッチングガス例えばCHF等をガス供給路32を介して所定の流量で導入し、ガス拡散孔31を介してウエハWの表面に向けて均一に噴射させ、処理容器2内を例えば30mTorr〜100mTorr(約4〜13.3Pa)の真空度に維持する。この第1のエッチングガスは、ウエハWの表面に沿って径方向外方に向かって流れる気流を形成し、下部電極4の周囲から均一に排気される。 Further, while the inside of the processing chamber 2 is evacuated by the vacuum pump 22, a first etching gas such as CHF 3 from the first gas supply system 33 is introduced at a predetermined flow rate through the gas supply path 32. The liquid is uniformly jetted toward the surface of the wafer W through the diffusion holes 31, and the inside of the processing container 2 is maintained at a vacuum degree of, for example, 30 mTorr to 100 mTorr (about 4-13.3 Pa). The first etching gas forms an airflow that flows radially outward along the surface of the wafer W, and is uniformly exhausted from around the lower electrode 4.

また電極51には、第1の直流電圧、例えば1000Vの電圧が印加されると共に、上部電極3に高周波電源部34から例えば60MHzの高周波電圧を例えば1800Wで印加し、更に例えば1秒以下のタイミングをあけて下部電極4に高周波電源41から例えば2MHzのバイアス用の電圧を例えば1800〜2250Wで印加する。これにより第1のエッチングガスがプラズマ化すると共に、ウエハWおよびフォーカスリング5の表面とプラズマとの境界にイオンシース領域が形成される。フォーカスリング5の上方のイオンシース領域は、既述のように電極51に印加されている直流電圧の大きさに応じた厚さに形成され、これによりウエハW周縁部の上方のプラズマが所望の形状になる。ここでプラズマの活性種はイオンシース領域に移動し、そして高周波バイアスのかかっているウエハWの表面に向かって高い垂直性をもって入射してシリコンナイトライド膜65がエッチングされる。   A first DC voltage, for example, a voltage of 1000 V is applied to the electrode 51, and a high-frequency voltage of, for example, 60 MHz is applied to the upper electrode 3 from the high-frequency power supply unit 34 at, for example, 1800 W. A bias voltage of, for example, 2 MHz is applied to the lower electrode 4 from the high frequency power supply 41 at, for example, 1800 to 2250 W. Thereby, the first etching gas is turned into plasma, and an ion sheath region is formed at the boundary between the plasma and the surface of the wafer W and the focus ring 5. The ion sheath region above the focus ring 5 is formed to have a thickness corresponding to the magnitude of the DC voltage applied to the electrode 51 as described above. Shape. Here, the active species of the plasma move to the ion sheath region, enter the surface of the wafer W to which a high frequency bias is applied with high perpendicularity, and etch the silicon nitride film 65.

こうして第1の処理であるシリコンナイトライド膜65のエッチングが終了すると、記憶領域61内の第2の処理のプロセスレシピを読み出してプロセス条件が設定され、第2の処理が開始される。先ず処理容器2内を引き切りにして第1のエッチングガスを排気し、第2の直流電圧、例えば100Vの電圧が電極51にかかるようにアクチュエーター52aにより印加電圧が切り換えられる。更に高周波電源部36、高周波電源41により上部電極3と下部電極4にかかる高周波電圧をプロセスレシピに従って調整し、第2のガス供給系34から処理容器2内に第2のエッチングガス例えばCl等が導入されるとすると、第2のエッチングガスがプラズマ化する。このとき電極51に印加される第2の直流電圧に応じてフォーカスリング5の上方のイオンシース領域の厚さが調整され、シリコン膜64のエッチングに適切な形状のプラズマが生成されてシリコン膜64がエッチングされることとなる。   When the etching of the silicon nitride film 65 as the first process is completed, the process recipe of the second process in the storage area 61 is read out, the process conditions are set, and the second process is started. First, the inside of the processing container 2 is cut off, the first etching gas is exhausted, and the applied voltage is switched by the actuator 52 a so that a second DC voltage, for example, a voltage of 100 V is applied to the electrode 51. Further, the high-frequency power supply unit 36 and the high-frequency power supply 41 adjust a high-frequency voltage applied to the upper electrode 3 and the lower electrode 4 according to a process recipe, and a second etching gas such as Cl is supplied from the second gas supply system 34 into the processing chamber 2. If introduced, the second etching gas is turned into plasma. At this time, the thickness of the ion sheath region above the focus ring 5 is adjusted according to the second DC voltage applied to the electrode 51, and a plasma having a shape suitable for etching the silicon film 64 is generated. Will be etched.

上述の実施の形態によれば、フォーカスリング5の電極51に所定の直流電圧を印加することにより、プロセス処理毎例えば処理条件の異なる膜の種類毎にフォーカスリング5の表面とプラズマとの境界にあるイオンシース領域の形状を調整することができ、ウエハWが面内均一に処理できる適切なプラズマの状態を形成することができる。従って、互いに異なる複数のプロセス処理を共通のフォーカスリング5を用いて処理することができるので、装置の共用化を図ることができる。このように複数のプロセス処理に対して装置の共用ができれば、装置のフットプリントの縮小化を図ることができ、また装置の制作、運転コストの低下を図れるので得策である。   According to the above-described embodiment, by applying a predetermined DC voltage to the electrode 51 of the focus ring 5, the boundary between the surface of the focus ring 5 and the plasma for each process, for example, for each type of film having different processing conditions. The shape of a certain ion sheath region can be adjusted, and an appropriate plasma state in which the wafer W can be uniformly processed in a plane can be formed. Therefore, a plurality of different process processes can be performed using the common focus ring 5, so that the apparatus can be shared. If the apparatus can be shared for a plurality of process processes as described above, the footprint of the apparatus can be reduced, and the production and operation costs of the apparatus can be reduced.

更に上述の実施の形態によれば、例えば複数の処理容器2を用いて同じプロセスを行う場合に、それらの装置間においてプラズマの状態を揃えるための調整を容易に行うことができる。例えば既述のプラズマ処理装置がクリーンルーム内に複数台配置されていて、これらの装置間で同じ処理を行う場合、装置のアセンブリなどが微妙に異なるため、ウエハWの処理結果に微妙な差異が生じることがあるが、この場合において電極51の印加電圧を調整することにより装置間の特性つまり処理結果を揃えることができ、装置間の調整が容易である。例えば処理後のウエハWの状態を検査し、その結果に基づいて装置毎に印加電圧を微調整すればよい。なお、本発明は、装置の共有化を図ることに限られるものではなく、ある種の処理例えば特定の膜をエッチングするための専用の装置であってもよい。   Further, according to the above-described embodiment, for example, when the same process is performed using a plurality of processing vessels 2, adjustment for making the plasma state uniform among the apparatuses can be easily performed. For example, when a plurality of the above-described plasma processing apparatuses are arranged in a clean room and the same processing is performed between these apparatuses, a slight difference occurs in the processing result of the wafer W because the assembly of the apparatuses is slightly different. However, in this case, by adjusting the voltage applied to the electrode 51, characteristics between devices, that is, processing results can be made uniform, and adjustment between devices is easy. For example, the state of the processed wafer W may be inspected, and the applied voltage may be finely adjusted for each apparatus based on the inspection result. Note that the present invention is not limited to sharing the apparatus, and may be a dedicated apparatus for performing a certain kind of processing, for example, etching a specific film.

本発明のプラズマ処理装置においては、第1の処理および第2の処理のように2種類のプロセス処理を共用する構成に限られず、例えばウエハWの表面に5つの積層膜がある場合に、それらのなかで処理条件の異なる膜の種類に応じて3つ、4つあるいは5つの異なるプロセスを共用できるようにしてもよい。更には6つ以上の異なる種類のプロセスを共用してもよい。このような構成であっても上述の場合と同様の効果を得ることができる。   In the plasma processing apparatus of the present invention, the present invention is not limited to the configuration in which two types of process processes are shared as in the first process and the second process. Among them, three, four, or five different processes may be shared depending on the types of films having different processing conditions. Further, six or more different types of processes may be shared. Even with such a configuration, the same effects as those described above can be obtained.

本発明のプラズマ処理装置においては、絶縁体からなるフォーカスリング5をウエハWの周縁に接近して配置する構成に限られず、図5に示すように、ウエハWの周縁とフォーカスリング5の内縁との間に、周方向に亘って導電体例えばシリコンリング8を設けた構成としてもよい。このような構成であっても上述の場合と同様の効果を得ることができる。   In the plasma processing apparatus of the present invention, the focus ring 5 made of an insulator is not limited to the configuration arranged close to the periphery of the wafer W. As shown in FIG. Between them, a conductor such as a silicon ring 8 may be provided in the circumferential direction. Even with such a configuration, the same effects as those described above can be obtained.

本発明のプラズマ処理装置においては、フォーカスリング5に設けられる電極51は一つに限られず、図6に示すように、例えばフォーカスリング5内に2つのリング状の電極51a、51bが径方向に並べて設けられ、各電極51a、51bに各々アクチュエータを備えた直流電源52A、52Bが夫々接続され、独立して直流電圧を調整できる構成としてもよい。このような構成であっても上述の場合と同様の効果を得ることができる。更にこの場合には、例えば内側にある電極51aよりも小さい直流電圧を外側の電極52bに印加するようにするなど、フォーカスリング5の面内において細かく直流電圧を設定できるので、イオンシース領域の厚みをより高精度に調整することができる。そしてまた処理容器2は平面的に見ると例えば一部には搬送口が設けられるなど中心に対して対称ではなく、そのためプラズマが周方向に亘って均一でない場合もあり、中心から見た特定の方向においては処理の面内均一性が悪い場合がある。その場合フォーカスリング5内の電極51について周方向の特定の部位の電極だけ他の部位の電極と分離し、両者の電極の印加電圧を変えて周方向のプラズマの均一性を図るようにしてもよい。   In the plasma processing apparatus of the present invention, the number of the electrodes 51 provided on the focus ring 5 is not limited to one, and for example, two ring-shaped electrodes 51a and 51b are provided in the focus ring 5 in the radial direction as shown in FIG. DC power supplies 52A, 52B provided side by side and each provided with an actuator to each of the electrodes 51a, 51b may be connected to each other so that the DC voltage can be adjusted independently. Even with such a configuration, the same effects as those described above can be obtained. Further, in this case, the DC voltage can be set finely in the plane of the focus ring 5 by, for example, applying a DC voltage smaller than the inner electrode 51a to the outer electrode 52b. Can be adjusted with higher precision. The processing container 2 is not symmetrical with respect to the center when viewed in plan view, for example, a transfer port is partially provided. Therefore, the plasma may not be uniform in the circumferential direction. In some cases, in-plane uniformity of processing may be poor in the direction. In this case, the electrode 51 in the focus ring 5 is separated from the electrode in the other part only at a specific part in the circumferential direction, and the uniformity of the plasma in the circumferential direction is achieved by changing the voltage applied to both electrodes. Good.

本発明においては、アクチュエータ52aにより印加電圧を切り換える構成に限られず、例えば第1の処理用の直流電源52と、第2の処理用の直流電源52を設け、スイッチで切り換えるようにしてもよい。この場合でも上述の場合と同様の効果を得ることができる。更に上述の例ではプラズマ処理としてエッチングをする例を挙げているが、他のプラズマ処理としては、例えばCVD、アッシング、などの種々のプラズマ処理に適用できる。   In the present invention, the present invention is not limited to the configuration in which the applied voltage is switched by the actuator 52a. For example, a DC power supply 52 for the first processing and a DC power supply 52 for the second processing may be provided and switched by a switch. In this case, the same effect as in the above case can be obtained. Further, in the above-described example, an example is described in which etching is performed as plasma processing. However, other plasma processing can be applied to various plasma processing such as CVD and ashing.

最後に上述のプラズマ処理装置を組み込んだシステムの一例について図7を用いて説明する。図中90は第1の移載室であり、この移載室90の両側には多数枚のウエハWを収納可能なカセット91を外部から搬入可能なカセット室92A、92BがゲートバルブG1、G2を介して夫々接続されている。更に第1の移載室90の後方には予備真空室93A、93BがゲートバルブG3、G4を介して夫々接続されている。また第1の移載室90内には、例えば多関節アームよりなる第1の移載手段94が配設されている。予備真空室93A、93Bの後方側には、ゲートバルブG5、G6を介して第2の移載室95が接続され、更に当該第2の移載室92には、左右及び後方の三方に夫々ゲートバルブG7〜G9(ゲートバルブ23に相当)を介して既述のプラズマ処理装置の処理容器2(2A、2B、2C)が夫々接続されている。また第2の移載室95内には、例えば多関節アームよりなる第2の移載手段96が配設されている。   Finally, an example of a system incorporating the above-described plasma processing apparatus will be described with reference to FIG. In the drawing, reference numeral 90 denotes a first transfer chamber. On both sides of the transfer chamber 90, cassette chambers 92A and 92B into which a cassette 91 capable of storing a large number of wafers W can be loaded from outside are gate valves G1 and G2. Are connected to each other. Further, behind the first transfer chamber 90, preliminary vacuum chambers 93A and 93B are connected via gate valves G3 and G4, respectively. In the first transfer chamber 90, a first transfer means 94 composed of, for example, an articulated arm is provided. A second transfer chamber 95 is connected to the rear side of the preliminary vacuum chambers 93A and 93B via gate valves G5 and G6, and the second transfer chamber 92 is further connected to the left, right, and rear sides, respectively. The processing vessels 2 (2A, 2B, 2C) of the above-described plasma processing apparatus are respectively connected via gate valves G7 to G9 (corresponding to the gate valve 23). Further, in the second transfer chamber 95, a second transfer means 96 composed of, for example, an articulated arm is provided.

このシステムにおいてカセット91内のウエハWは、第1の移載室90→予備真空室93A→第2の移載室95の経路で搬送される。ここで各処理容器2A〜2Cでは、いずれも例えば3種類の膜をエッチングできるようになっており、エッチングすべき前記3種類の膜が形成されたウエハWは、処理容器2A〜2Cのうちの空きになっている処理容器2A(2B、2C)に搬入され、3種類の膜がその処理容器2A(2B、2C)内でエッチングされる。その後ウエハWは処理容器2A(2B、2C)から搬出され、先述した搬入動作の逆の流れでウエハWがカセット91内に戻される。   In this system, the wafer W in the cassette 91 is transported along the route of the first transfer chamber 90 → the preliminary vacuum chamber 93A → the second transfer chamber 95. Here, in each of the processing vessels 2A to 2C, for example, three types of films can be etched, and the wafer W on which the three types of films to be etched are formed is a wafer W among the processing vessels 2A to 2C. It is carried into the empty processing container 2A (2B, 2C), and three types of films are etched in the processing container 2A (2B, 2C). Thereafter, the wafer W is unloaded from the processing container 2A (2B, 2C), and the wafer W is returned into the cassette 91 in a flow reverse to the above-described loading operation.

図8は、本発明の対象となるリング部材を有するプラズマ処理装置であるプラズマエッチング処理装置の一例を示す縦断面図である。図中20は処理容器をなす真空チャンバであり、アルミニウムなどの導電性材料により気密構造をなすように形成されており、真空チャンバ20は保安接地されている。また、真空チャンバ20の内面には、円筒形状のデポシールド20aが配置され、内面がプラズマにより損傷されるのを防止する。そして、真空チャンバ20内には、上部電極を兼用するガスシャワーヘッド30と、下部電極を兼用する載置台210とが対向して設けられており、底面には、たとえばターボ分子ポンプやドライポンプなどからなる真空排気手段25と連通する真空排気路としての排気管26が接続される。また、真空チャンバ20の側壁部には、被処理体たとえば半導体ウエハWを搬入出するための開口部27が形成され、ゲートバルブGにより開閉自在とされている。この側壁部の外方には、開口部27を上下に挟む位置に、たとえば夫々リング状をなす永久磁石28,29が設けられている。   FIG. 8 is a longitudinal sectional view showing an example of a plasma etching apparatus which is a plasma processing apparatus having a ring member to which the present invention is applied. In the figure, reference numeral 20 denotes a vacuum chamber forming a processing vessel, which is formed of a conductive material such as aluminum so as to form an airtight structure, and the vacuum chamber 20 is grounded for safety. Further, a cylindrical deposition shield 20a is disposed on the inner surface of the vacuum chamber 20 to prevent the inner surface from being damaged by the plasma. In the vacuum chamber 20, a gas shower head 30 also serving as an upper electrode and a mounting table 210 also serving as a lower electrode are provided to face each other. An exhaust pipe 26 is connected as a vacuum exhaust path communicating with the vacuum exhaust means 25 composed of. Further, an opening 27 for carrying in / out an object to be processed, for example, a semiconductor wafer W, is formed in the side wall of the vacuum chamber 20, and can be opened and closed by a gate valve G. Outside the side wall portion, for example, ring-shaped permanent magnets 28 and 29 are provided at positions vertically sandwiching the opening 27, respectively.

ガスシャワーヘッド30は、載置台210上の被処理体Wに対向する位置に多数の孔部38が形成され、上部のガス供給管39から送られる流量制御または圧力制御されたプロセスガスを、当該孔部38を介して被処理体Wの表面へ均一に供給するように構成されている。   The gas shower head 30 has a large number of holes 38 formed at positions on the mounting table 210 that face the workpiece W, and processes the flow-controlled or pressure-controlled process gas sent from the upper gas supply pipe 39. It is configured to uniformly supply the surface of the workpiece W through the hole 38.

ガスシャワーヘッド30の下方に約5mm〜150mmの間隔で離間して設けられる載置台210は、たとえば表面がアルマイト処理されたアルミニウムなどからなり、真空チャンバ20に対して絶縁部材211aにより絶縁された円柱状の本体部211と、この本体部211の上面に設けられた静電チャック212と、この静電チャック212の周囲を囲む環状のリング部材であるフォーカスリング213と、このフォーカスリング213と本体部211との間に設けられた環状の絶縁部材である絶縁リング213aとを備えた構成とされている。前記静電チャック212は、シート状のチャック電極216と、このチャック電極216の表面を覆う例えばポリイミドからなる絶縁層215とで構成されている。なお、フォーカスリング213は、プロセスに応じて絶縁性または導電性の材料が選択され、上述したように反応性イオンを閉じ込めるまたは拡散させるように作用する。フォーカスリング213の内部には、図示しないが図1の実施の形態と同様に例えばリング状に電極が設けられる。また図1に示した直流電源52、アクチュエータ52a及び制御部6が設けられ、フォーカスリング213内の電極に第1の直流電圧及び第2の直流電圧が印加されるようになっている。また絶縁リング213a内にも同様に電極を設け、同様に他の直流電源或いは前記直流電源に接続して印加電圧を切り替えるようにしてもよい。   The mounting table 210 provided below the gas shower head 30 at an interval of about 5 mm to 150 mm is made of, for example, aluminum whose surface is anodized, and is insulated from the vacuum chamber 20 by the insulating member 211a. A pillar-shaped main body portion 211, an electrostatic chuck 212 provided on the upper surface of the main body portion 211, a focus ring 213 as an annular ring member surrounding the periphery of the electrostatic chuck 212, and the focus ring 213 and the main body portion And an insulating ring 213a, which is an annular insulating member provided between the first and second components 211 and 211. The electrostatic chuck 212 includes a sheet-like chuck electrode 216 and an insulating layer 215 made of, for example, polyimide and covering the surface of the chuck electrode 216. The focus ring 213 is made of an insulating or conductive material depending on the process, and acts to confine or diffuse reactive ions as described above. Although not shown, electrodes are provided inside the focus ring 213 in a ring shape, for example, as in the embodiment of FIG. Further, the DC power supply 52, the actuator 52a, and the control unit 6 shown in FIG. 1 are provided, and the first DC voltage and the second DC voltage are applied to the electrodes in the focus ring 213. Similarly, electrodes may be provided in the insulating ring 213a and connected to another DC power supply or the DC power supply to switch the applied voltage.

載置台210のたとえば本体部211には、コンデンサC1およびコイルL1を介して高周波電源200が接続され、たとえば13.56MHz〜100MHzの高周波電力が印加される。   A high frequency power supply 200 is connected to, for example, the main body 211 of the mounting table 210 via a capacitor C1 and a coil L1, and high frequency power of, for example, 13.56 MHz to 100 MHz is applied.

また、載置台210の内部には、冷却ジャケット等の温度調整手段314aと、たとえばHeガスを被処理体Wの裏面に供給する熱伝達ガス供給手段314bと、がそれぞれ設けられ、これら温度調整手段314aと熱伝達ガス供給手段314bとを能動化することによって、載置台210上に保持された被処理体Wの処理面温度を所望の値に設定することができる。温度調整手段314aは、冷媒を冷却ジャケットを介して循環させるための導入管315および排出管316を有し、適当な温度に調整された冷媒が、導入管315によって冷却ジャケット内に供給され、熱交換後の冷媒が、排出管316によって外部に排出される。   Further, inside the mounting table 210, a temperature adjusting means 314a such as a cooling jacket and a heat transfer gas supplying means 314b for supplying, for example, He gas to the back surface of the processing object W are provided. By activating the 314a and the heat transfer gas supply means 314b, the processing surface temperature of the processing target W held on the mounting table 210 can be set to a desired value. The temperature adjusting means 314a has an inlet pipe 315 and a discharge pipe 316 for circulating the refrigerant through the cooling jacket, and the refrigerant adjusted to an appropriate temperature is supplied into the cooling jacket by the inlet pipe 315, The refrigerant after the exchange is discharged outside through the discharge pipe 316.

載置台210と真空チャンバ20との間であり、載置台210表面よりも下側には、複数の排気孔が穿設されたリング状の排気プレート214が、載置台210を囲むように配置される。この排気プレート214により、排気流の流れが整えられるとともに、載置台210とガスシャワーヘッド30との間にプラズマが最適に閉じ込められる。さらに、載置台210の内部には、外部の図示しない搬送アームとの間で被処理体Wの受け渡しを行うための昇降部材である昇降ピン310が複数たとえば3本(2本のみ図示)突没自在に設けられ、この昇降ピン310は連結部材311を介して駆動機構312により昇降できるように構成されている。313は昇降ピン310の貫通孔と大気側との間の気密を保持するベローズである。   A ring-shaped exhaust plate 214 having a plurality of exhaust holes is provided between the mounting table 210 and the vacuum chamber 20 and below the surface of the mounting table 210 so as to surround the mounting table 210. You. The exhaust plate 214 regulates the flow of the exhaust flow and optimally confines the plasma between the mounting table 210 and the gas shower head 30. Further, in the inside of the mounting table 210, a plurality of, for example, three lifting pins 310 (only two are shown) are lifted and lowered, which are lifting members for transferring the workpiece W to and from a transfer arm (not shown) outside. The lifting pin 310 is provided freely, and is configured to be able to be raised and lowered by a driving mechanism 312 via a connecting member 311. Reference numeral 313 denotes a bellows for maintaining airtightness between the through hole of the elevating pin 310 and the air side.

このようなプラズマエッチング処理装置においては、まず、ゲートバルブGおよび開口部27を介して被処理体Wを真空チャンバ20内に搬入し、静電チャック212上に載置し、ゲートバルブGを閉じた後、真空排気手段25により排気管26を介して真空チャンバ20内を所定の真空度に排気する。そして、真空チャンバ20内にプロセスガスを供給するとともに、直流電源217からチャック電極216に直流電圧を印加して、被処理体Wを静電チャック212によって静電吸着させ、この状態で高周波電源200から載置台210の本体部211に所定周波数の高周波電力を印加し、これにより、ガスシャワーヘッド30と載置台210との間に高周波電界を発生させ、プロセスガスをプラズマ化して、静電チャック212上の被処理体Wにエッチング処理を施す。直流電源217のオン/オフは、制御部80の指令に基づいてスイッチSW1を切り替えることで行われる。   In such a plasma etching apparatus, first, the object W is loaded into the vacuum chamber 20 via the gate valve G and the opening 27, placed on the electrostatic chuck 212, and the gate valve G is closed. After that, the inside of the vacuum chamber 20 is evacuated to a predetermined degree of vacuum through the exhaust pipe 26 by the vacuum exhaust means 25. Then, a process gas is supplied into the vacuum chamber 20, and a DC voltage is applied from the DC power supply 217 to the chuck electrode 216 to cause the workpiece W to be electrostatically attracted by the electrostatic chuck 212. A high-frequency electric power of a predetermined frequency is applied to the main body 211 of the mounting table 210 from this, thereby generating a high-frequency electric field between the gas shower head 30 and the mounting table 210, turning the process gas into plasma, and The upper workpiece W is subjected to an etching process. The DC power supply 217 is turned on / off by switching the switch SW1 based on a command from the control unit 80.

プロセスガスとしてはC4F8やNF3のような弗化物、BCl3やSnCl4などの塩化物、HBrの如き臭化物をはじめとするハロゲン元素を含むガスを使用する。このため、真空チャンバ20内は極めて強い腐食環境となり、例えば、デポシールド20a、排気プレート214、フォーカスリング213、シャワーヘッド30、載置台210、静電チャック212、さらには真空チャンバ20の内壁材などの真空チャンバ20内の部材すなわちプラズマ処理容器内部材には、耐プラズマ性が強く要求される。   As the process gas, a gas containing a halogen element such as a fluoride such as C4F8 or NF3, a chloride such as BCl3 or SnCl4, or a bromide such as HBr is used. For this reason, the inside of the vacuum chamber 20 becomes an extremely strong corrosive environment. For example, the deposition shield 20a, the exhaust plate 214, the focus ring 213, the shower head 30, the mounting table 210, the electrostatic chuck 212, and the inner wall material of the vacuum chamber 20 The members inside the vacuum chamber 20, that is, the members inside the plasma processing vessel, are required to have high plasma resistance.

以下、上述したリング部材について詳細に説明する。本発明の構造が適用されるリング部材は、ここではフォーカスリング213及び絶縁リング213aに相当する。前記絶縁リング213aは、フォーカスリング213の下部に積層されているが、内縁部は処理ガスや洗浄液と接触するため絶縁リング213aについても以下の処理を施すことが好ましい。なお本発明ではフォーカスリング213についてのみ以下の処理を行ってもよい。   Hereinafter, the above-mentioned ring member will be described in detail. Here, the ring member to which the structure of the present invention is applied corresponds to the focus ring 213 and the insulating ring 213a. Although the insulating ring 213a is laminated below the focus ring 213, the following processing is also preferably performed on the insulating ring 213a because the inner edge thereof comes into contact with a processing gas or a cleaning liquid. In the present invention, the following processing may be performed only on the focus ring 213.

リング部材として基材の上に溶射被膜を形成したものを用いた場合、従来、溶射被膜の剥がれが生じていたが、本発明者らの検討結果によれば、リング部材の溶射被膜の剥がれは、溶射被膜の貫通気孔(微細孔)、溶射被膜との境界部、あるいは、プラズマやガス等により損傷した部位などから、プロセスガスや洗浄液が侵入し基材に到達して、基材表面が腐食することにより発生することに想到した。   Conventionally, when a sprayed coating was formed on a base material as a ring member, peeling of the sprayed coating had occurred.According to the results of studies by the present inventors, peeling of the sprayed coating of the ring member was difficult. The process gas or cleaning liquid enters from the through-holes (micropores) of the thermal spray coating, the boundary with the thermal spray coating, or the part damaged by plasma, gas, etc., and reaches the substrate, and the substrate surface is corroded. It is thought that it occurs by doing.

すなわち、フッ化物を含むプロセスガスを用いてプラズマ処理を施したリング部材を準備し、溶射被膜との境界面(基材表面)を分析すると、その部分においてF(フッ素)を確認することができ、このことから、このFが水分(OH)と反応してHF化することによって、基材表面が腐食変化(腐食生成物が発生)して、溶射被膜の剥離に至ったものと推測される。   That is, when a ring member that has been subjected to plasma processing using a process gas containing fluoride is prepared, and an interface (substrate surface) with the sprayed coating is analyzed, F (fluorine) can be confirmed at that portion. From this, it is presumed that this F reacts with water (OH) to form HF, so that the surface of the base material undergoes a corrosion change (corrosion products are generated), leading to peeling of the thermal spray coating. .

したがって、溶射被膜との境界面すなわち基材表面が、プロセスガスまたは洗浄液に曝されないことが重要である。   Therefore, it is important that the interface with the thermal spray coating, that is, the substrate surface, is not exposed to the process gas or the cleaning liquid.

このような知見に基づき、図8におけるリング部材において、溶射被膜の表面から基材までのいずれかの位置に、プロセスガスまたは洗浄液に曝されても腐食され難く、ガスまたは洗浄液が基材表面に到達することを防止することができる、バリア機能を有する部分を形成するようにした。   Based on such knowledge, in the ring member in FIG. 8, at any position from the surface of the thermal spray coating to the substrate, it is hardly corroded even when exposed to a process gas or a cleaning solution. A portion having a barrier function that can be prevented from reaching is formed.

このような耐腐食性に優れる材料によりバリア機能を有する部分を形成することによって、溶射被膜の貫通気孔(微細孔)を通って侵入するガスまたは洗浄液に対して、基材の表面を保護することが可能である。また、バリア機能を有する部分を基材と接するようにすれば、その材料として高い密着性を有するものを選択することによって、バリア機能を有する部分と基材の表面との境界面からのプロセスガスまたは洗浄液の侵入に対して基材表面を保護することが可能である。   By forming a portion having a barrier function with such a material having excellent corrosion resistance, the surface of the base material is protected against a gas or a cleaning liquid that enters through through pores (micropores) of the thermal spray coating. Is possible. Further, if the portion having the barrier function is brought into contact with the base material, by selecting a material having high adhesion as the material, the process gas from the interface between the portion having the barrier function and the surface of the base material is selected. Alternatively, it is possible to protect the surface of the base material from entering the cleaning liquid.

以下、リング部材の具体的な構成について詳述する。先ず、リング部材の第1の例は、図9に示すように、基本的に、基材71と、その表面に形成された被膜72とからなる。被膜72は、溶射によって形成された主層73と、基材71と主層との間のプロセスガスまたは洗浄液に曝されても腐食し難いバリア機能を有するバリアコート層74とを有している。   Hereinafter, a specific configuration of the ring member will be described in detail. First, as shown in FIG. 9, the first example of the ring member basically includes a substrate 71 and a coating 72 formed on the surface thereof. The coating 72 has a main layer 73 formed by thermal spraying, and a barrier coat layer 74 having a barrier function that is hardly corroded even when exposed to a process gas or a cleaning liquid between the base 71 and the main layer. .

上記被膜72の施工対象となる基材71としては、ステンレス鋼(SUS)を含む各種の鋼、AlおよびAl合金、WおよびW合金、TiおよびTi合金、MoおよびMo合金、炭素ならびに酸化物系、非酸化物系セラミックス焼結体、および炭素質材料などが好適に用いられる。   The base material 71 on which the coating film 72 is applied includes various steels including stainless steel (SUS), Al and Al alloys, W and W alloys, Ti and Ti alloys, Mo and Mo alloys, carbon and oxide-based materials. , A non-oxide ceramic sintered body, and a carbonaceous material are preferably used.

バリアコート層74の材質としては、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスであることが好ましく、より具体的には、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスが好適である。例えばトーカロ株式会社製の「CDC−ZAC」、「スーパーZAC」などを適用することができる。「CDC−ZAC」は、Cr2O3を主成分とする複合セラミックスであり、無気孔、高硬度、高密着力などの性質を有している。   The material of the barrier coat layer 74 is preferably a ceramic containing at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. More specifically, at least one ceramic selected from the group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3 and Nd2O3. Is preferred. For example, “CDC-ZAC” or “Super ZAC” manufactured by Tokaro Corporation can be applied. "CDC-ZAC" is a composite ceramic containing Cr2O3 as a main component, and has properties such as porelessness, high hardness, and high adhesion.

一方、「スーパーZAC」は、SiO2とCr2O3を主成分とする複合セラミックスであり、無気孔、高硬度、高密着力に加え、耐熱性と耐摩耗性に優れる。このバリアコート層74は溶射法により形成することが好ましい。溶射法は、燃焼ガス、電気等の熱源により溶融した原料を母材に吹き付け皮膜を形成する方法である。また、バリアコート層74は、PVD法やCVD法等の薄膜形成技術、浸漬法、あるいは塗布法などの方法で形成することもできる。PVD法とは、イオンプレーティング法により、各種セラミック膜を低温でコーティングする方法であり、一方、CVD法は、熱化学的蒸着法により、高温度で単層または多層コーティングする方法である。また、浸漬法は、各種材料を樹脂溶液に浸漬した後、熱処理を施す方法であり、塗布法は、各種材料に樹脂溶液を塗布後、所定温度で熱処理する方法である。バリアコート層74の厚さは50〜100μmであることが好ましい。   On the other hand, “Super ZAC” is a composite ceramic containing SiO 2 and Cr 2 O 3 as main components, and is excellent in heat resistance and abrasion resistance in addition to non-porosity, high hardness and high adhesion. This barrier coat layer 74 is preferably formed by a thermal spraying method. The thermal spraying method is a method in which a raw material melted by a heat source such as combustion gas or electricity is sprayed on a base material to form a coating. Further, the barrier coat layer 74 can also be formed by a thin film forming technique such as a PVD method or a CVD method, a dipping method, or a coating method. The PVD method is a method of coating various ceramic films at a low temperature by an ion plating method, while the CVD method is a method of coating a single layer or a multilayer at a high temperature by a thermochemical vapor deposition method. The immersion method is a method in which various materials are immersed in a resin solution and then heat-treated. The coating method is a method in which a resin solution is applied to various materials and heat-treated at a predetermined temperature. The thickness of the barrier coat layer 74 is preferably 50 to 100 μm.

この場合に、バリアコート層74の少なくとも一部分、例えば基材71との接合面側または全体に、樹脂を用いた封孔処理を施すのがよい。その際の樹脂としては、SI、PTFE、PI、PAI、PEI、PBI、PFAの群から選択されたものが好ましい。すなわち、セラミックスからなるバリアコート層74を上述した溶射法等で形成する場合、貫通気孔(微細孔)を有する多孔質で構成されるが、その多孔質層の少なくとも一部分の微細孔を、樹脂で封孔することにより、溶射被膜である主層73の微細孔を通って侵入するガスまたは洗浄液を阻止する効果が高まり、基材71を有効に保護することができる。   In this case, at least a part of the barrier coat layer 74, for example, a bonding surface side with the base material 71 or the entirety thereof is preferably subjected to a sealing treatment using a resin. As the resin at that time, a resin selected from the group of SI, PTFE, PI, PAI, PEI, PBI, and PFA is preferable. That is, when the barrier coat layer 74 made of ceramic is formed by the above-described thermal spraying method or the like, the barrier coat layer 74 is formed of a porous material having through-holes (micropores), and at least a part of the micropores of the porous layer is formed of resin. By sealing, the effect of blocking gas or cleaning liquid entering through the fine holes of the main layer 73, which is a thermal spray coating, is enhanced, and the base material 71 can be effectively protected.

なお、SIはシリコーン、PTFEはポリテトラフルオロエチレン、PIはポリイミド、PAIはポリアミドイミド、PEIはポリエーテルイミド、PBIはポリベンゾイミダゾール、PFAはパーフルオロアルコキシアルカンを意味する。   SI is silicone, PTFE is polytetrafluoroethylene, PI is polyimide, PAI is polyamideimide, PEI is polyetherimide, PBI is polybenzimidazole, and PFA is perfluoroalkoxyalkane.

封孔処理は、ゾルゲル法で行うこともできる。ゾルゲル法による封孔処理は、セラミックスを有機溶剤に分散させたゾル(コロイド溶液)で封孔した後、加熱によるゲル化させることにより行う。これにより、セラミックスによる封孔が実現され、バリア効果を向上させることができる。この場合の封孔処理は、周期律表第3a族に属する元素から選択されたものを用いることが好ましい。その中でも、耐食性の高いY2O3が好ましい。   The sealing treatment can be performed by a sol-gel method. The sealing treatment by the sol-gel method is performed by sealing with a sol (colloid solution) in which ceramics is dispersed in an organic solvent and then gelling by heating. Thereby, sealing with ceramics is realized, and the barrier effect can be improved. In this case, it is preferable to use a material selected from elements belonging to Group 3a of the periodic table for the sealing treatment. Among them, Y2O3 having high corrosion resistance is preferable.

また、バリアコート層74の他の材質としては、エンジニアリングプラスチックを好適に用いることができる。具体的には、PTFE、PI、PAI、PEI、PBI、PFA、PPS、POMの群から選択された樹脂であることが好ましく、たとえばデュポン株式会社製の「テフロン(登録商標)」(PTFE)などを適用することができる。これらの樹脂は、密着性に優れるうえ、耐薬品性に優れ、クリーニング時の洗浄液にも充分に耐え得る。   Further, as another material of the barrier coat layer 74, engineering plastic can be suitably used. Specifically, it is preferably a resin selected from the group of PTFE, PI, PAI, PEI, PBI, PFA, PPS, and POM, such as "Teflon (registered trademark)" (PTFE) manufactured by DuPont. Can be applied. These resins have excellent adhesiveness, are excellent in chemical resistance, and can sufficiently withstand a cleaning liquid at the time of cleaning.

なお、PTFEはポリテトラフルオロエチレン、PIはポリイミド、PAIはポリアミドイミド、PEIはポリエーテルイミド、PBIはポリベンゾイミダゾール、PFAはパーフルオロアルコキシアルカン、PPSはポリフェニレンサルファイド、POMはポリアセタールを意味する。   In addition, PTFE means polytetrafluoroethylene, PI means polyimide, PAI means polyamideimide, PEI means polyetherimide, PBI means polybenzimidazole, PFA means perfluoroalkoxyalkane, PPS means polyphenylene sulfide, and POM means polyacetal.

さらに、基材71とバリアコート層74との間に図10に示すように陽極酸化被膜75を形成するようにしてもよい。この場合において、蓚酸、クロム酸、リン酸、硝酸、ギ酸、またはスルホン酸などの有機酸による陽極酸化被膜を形成することによって、硫酸による陽極酸化処理の場合と比べ耐腐食性に優れる酸化被膜を形成して、プロセスガスや洗浄液による腐食をより一層抑制することができ、好ましい。陽極酸化被膜75の膜厚は、10〜200μmであることが好ましい。   Further, an anodic oxide film 75 may be formed between the base material 71 and the barrier coat layer 74 as shown in FIG. In this case, by forming an anodic oxide film with an organic acid such as oxalic acid, chromic acid, phosphoric acid, nitric acid, formic acid, or sulfonic acid, an oxide film having excellent corrosion resistance compared to the case of anodizing treatment with sulfuric acid is formed. The formation is preferable because corrosion by a process gas or a cleaning liquid can be further suppressed. The thickness of the anodic oxide coating 75 is preferably 10 to 200 μm.

このように、基材71とバリアコート層74との間に陽極酸化被膜75を形成する場合、陽極酸化被膜75の微細孔を封孔することによって、耐食性を格段に向上させることができる。この場合に、Niなどの金属塩を含む熱水に材料を浸漬し、酸化被膜の微細孔において、金属塩水溶液が加水分解して、水酸化物が沈殿することによって封孔する、金属塩封孔などを適用することができる。   As described above, when the anodic oxide film 75 is formed between the base material 71 and the barrier coat layer 74, the corrosion resistance can be remarkably improved by sealing the fine holes of the anodic oxide film 75. In this case, the material is immersed in hot water containing a metal salt such as Ni, and the metal salt aqueous solution is hydrolyzed in the fine pores of the oxide film and the hydroxide is precipitated, whereby the metal salt is sealed. Holes and the like can be applied.

また、陽極酸化被膜75の微細孔を樹脂により封孔処理しても同様の効果を期待することができる。この場合の樹脂としては、上述したSI、PTFE、PI、PAI、PEI、PBI、PFAの群から選択されたものが好ましい。   Similar effects can be expected even if the micropores of the anodic oxide coating 75 are sealed with a resin. As the resin in this case, a resin selected from the above-mentioned group of SI, PTFE, PI, PAI, PEI, PBI, and PFA is preferable.

また、基材71の表面に形成する陽極酸化被膜75として、多孔質セラミックス層を有する陽極酸化被膜(KEPLA−COAT:登録商標)を用いてもよい。   Further, as the anodic oxide film 75 formed on the surface of the base material 71, an anodic oxide film having a porous ceramic layer (KEPLA-COAT: registered trademark) may be used.

なお、この陽極酸化被膜(KEPLA−COAT)は、陽極として基材をアルカリ系有機電解液に浸漬し、酸素プラズマをこのアルカリ系有機電解液の中で放電することにより形成するものである。   The anodic oxide film (KEPLA-COAT) is formed by immersing the base material as an anode in an alkaline organic electrolyte and discharging oxygen plasma in the alkaline organic electrolyte.

溶射被膜である主層73は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むことが好ましく、具体的には、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3から選択された少なくとも1種のセラミックスが好適である。この場合において、主層73の膜厚は、10μm〜500μmであることが好ましい。   The main layer 73, which is a thermal spray coating, preferably contains at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. Preferably, at least one ceramic selected from B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3 and Nd2O3. In this case, the main layer 73 preferably has a thickness of 10 μm to 500 μm.

このような構造のリング部材を製造するに際しては、まず、基材71の表面に、Al2O3、SiCまたは砂等の粒子を吹き付けるブラスト処理を施し、微視的に表面が凹凸形状となるようにして、その上に形成するバリアコート層74や陽極酸化被膜75との密着性を高めるのがよい。また、表面を凹凸にする手法として、上記のブラスト処理に限定されず、たとえば、所定の薬液に浸すことによって表面をエッチングするようにしてもよい。   When manufacturing a ring member having such a structure, first, the surface of the base material 71 is subjected to a blast treatment of spraying particles of Al2O3, SiC, sand, or the like, so that the surface becomes microscopically uneven. It is preferable to improve the adhesion to the barrier coat layer 74 and the anodic oxide film 75 formed thereon. Further, the method for making the surface uneven is not limited to the above blast treatment, and for example, the surface may be etched by being immersed in a predetermined chemical solution.

次に、基材71に直接または陽極酸化被膜75を介して、上述のバリアコート層74を、溶射法等、上記適宜の方法で形成する。必要に応じて上述したような封孔処理を行う。   Next, the above-described barrier coat layer 74 is formed on the substrate 71 directly or via the anodic oxide film 75 by an appropriate method such as a thermal spraying method. If necessary, the above-described sealing treatment is performed.

封孔処理に際しては、上記の樹脂やセラミックスのゾルをバリアコート層74の表面に塗布するか、あるいは、バリアコート層74をともなった基材71を樹脂封孔剤またはセラミックスのゾル中に浸漬させる。セラミックスのゾルで封孔した場合には、その後加熱してゲル化させる。   In the sealing treatment, the above resin or ceramic sol is applied to the surface of the barrier coat layer 74, or the base material 71 with the barrier coat layer 74 is immersed in a resin sealing agent or ceramic sol. . When the pores are sealed with a ceramic sol, they are then heated and gelled.

バリアコート層74を形成した後、引き続き、その上に、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスからなる溶射被膜である主層73を形成する。また、バリアコート層74は密着性に優れるものが選択されるが、主層73との密着性をより一層良くするため、バリアコート層74の表面にブラスト処理などを施すようにしてもよい。   After the formation of the barrier coat layer 74, subsequently, from the group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3 and Nd2O3. The main layer 73, which is a thermal spray coating made of at least one selected ceramic, is formed. The barrier coat layer 74 is selected from those having excellent adhesion. However, in order to further improve the adhesion with the main layer 73, the surface of the barrier coat layer 74 may be subjected to blast treatment or the like.

以上のように、この例では、ハロゲン元素を含むプロセスガスまたは洗浄液に対して耐腐食性に優れる材料からなるバリアコート層74を、溶射被膜である主層73と基材71との間に形成し、基材71の表面が、プロセスガス(ハロゲン元素)または洗浄液に曝されないように構成したので、基材71の表面に腐食生成物が発生することによって、基材71上の溶射被膜72が剥がれるといった問題を解消することができる。   As described above, in this example, the barrier coat layer 74 made of a material having excellent corrosion resistance to a process gas or a cleaning liquid containing a halogen element is formed between the main layer 73 which is a thermal spray coating and the base material 71. Since the surface of the substrate 71 is configured not to be exposed to the process gas (halogen element) or the cleaning solution, corrosion products are generated on the surface of the substrate 71, so that the thermal spray coating 72 on the substrate 71 is formed. The problem of peeling can be solved.

次に、リング部材の第2の例について説明する。第2の例では、図11の(a)、(b)、(c)に示すように、基材71の表面に、セラミックスの溶射により被膜76を形成し、被膜76の少なくとも一部分に封孔処理部76aを形成するようにしている。図11の(a)の例では、被膜76の基材71側に封孔処理部76aを形成しており、図11の(b)の例では、被膜76の表面側に封孔処理部76aを形成しており、図11(c)の例では、被膜76の全体を封孔処理部76aとしている。   Next, a second example of the ring member will be described. In the second example, as shown in FIGS. 11A, 11B, and 11C, a coating 76 is formed on the surface of the base material 71 by spraying ceramics, and at least a portion of the coating 76 is sealed. The processing section 76a is formed. In the example of FIG. 11A, the sealing portion 76a is formed on the base material 71 side of the coating 76. In the example of FIG. 11B, the sealing portion 76a is formed on the surface side of the coating 76. In the example shown in FIG. 11C, the entire coating 76 is used as the sealing portion 76a.

被膜76は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むものであり、具体的には、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスが好適である。この場合において、被膜76の膜厚は、50〜300μmであることが好ましい。なお、基材71としては、第1の例と全く同じものを使用することができる。   The coating 76 contains at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce, and Nd. At least one ceramic selected from the group consisting of MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3 and Nd2O3 is preferred. In this case, the thickness of the coating 76 is preferably 50 to 300 μm. Note that the same material as in the first example can be used as the base material 71.

封孔処理部76aは、上述した第1の例のバリア層74に施したものと全く同様の樹脂封孔またはゾルゲル法による封孔により形成することができる。このように、封孔処理部76aを設けることにより、溶射被膜である被膜76の微細孔を通って侵入するガスまたは洗浄液を有効に阻止することができ、基材71を十分に保護することができる。この封孔処理部76aは、このように基材71へのガスまたは洗浄液の到達を阻止するためのものであるから、上記図11の(a)〜(c)のいずれでもその効果を発揮することができる。ただし、図11の(a)に示すように、被膜76の基材71側に封孔処理部76aを形成するのが望ましい。すなわち、溶射被膜に封孔処理を施したリング部材を、高真空領域(例えば、13.3Pa)で高周波電力を印加してなるプラズマ雰囲気で用いると、封孔剤中の希釈有機溶媒(例えば、酢酸エチル)が蒸発したり、プラズマやプロセスガスなどによって封孔剤が腐食するなどして、溶射被膜中に再び気孔(微細孔)が形成されることがある。この気孔によって、リング部材の表面状態(温度や生成物の付着状態など)が経時的に変化して、処理容器内のプロセスに悪影響を及ぼす可能性がある。したがって、図11の(a)のように、被膜76の表面側に封孔処理を施さないようにすれば、被膜76の表面改質を抑制してプロセスを安定的に実施することができる。なお、封孔処理部76aは、上記図11の(a)〜(c)に示した位置に限らず、例えば、被膜76の中間位置に形成してもよい。封孔処理部76aの厚さは、50〜100μmであることが好ましい。   The sealing portion 76a can be formed by completely the same resin sealing or sol-gel sealing as that performed on the barrier layer 74 of the first example described above. By providing the sealing portion 76a in this manner, gas or a cleaning liquid that enters through the fine holes of the coating 76 that is a thermal spray coating can be effectively prevented, and the base material 71 can be sufficiently protected. it can. Since the sealing processing section 76a is for preventing the gas or the cleaning liquid from reaching the base material 71 as described above, the effect is exhibited in any of (a) to (c) of FIG. be able to. However, as shown in FIG. 11A, it is desirable to form a sealing portion 76a on the base material 71 side of the coating film 76. That is, when a ring member obtained by performing a sealing process on a thermal spray coating is used in a plasma atmosphere in which high-frequency power is applied in a high vacuum region (eg, 13.3 Pa), a diluted organic solvent (eg, The pores (micropores) may be formed again in the sprayed coating due to evaporation of the ethyl acetate), corrosion of the sealing agent by plasma, process gas, or the like. Due to the pores, the surface state of the ring member (such as the temperature and the state of adhesion of products) changes over time, which may adversely affect the process in the processing container. Therefore, if the sealing treatment is not performed on the surface side of the coating 76 as shown in FIG. 11A, the surface modification of the coating 76 can be suppressed and the process can be stably performed. The sealing section 76a is not limited to the positions shown in FIGS. 11A to 11C, but may be formed at an intermediate position of the coating 76, for example. The thickness of the sealing portion 76a is preferably 50 to 100 μm.

この例においても、図12に示すように、基材71と被膜76との間に、上述した第1の例と全く同様の陽極酸化被膜75を形成するようにしてもよい。また、この場合にも、この陽極酸化被膜75を封孔処理することが好ましく、この封孔処理としては上述したのと同様の金属塩封孔などを適用することができる。   Also in this example, as shown in FIG. 12, an anodic oxide film 75 exactly the same as in the first example described above may be formed between the base material 71 and the film 76. Also in this case, it is preferable that the anodic oxide film 75 is sealed. As the sealing process, the same metal salt sealing as described above can be applied.

次に、リング部材の第3の例について説明する。第3の例では、図13の(a)、(b)に示すように、基材71の表面に、セラミックスの溶射により被膜77を形成し、被膜77を、第1のセラミックス層78と第2のセラミックス層79の2層構造とし、その少なくとも一方の少なくとも一部分に封孔処理部を形成するようにしている。図13の(a)の例では、表面側の第1のセラミックス層78に封孔処理部78aを形成しており、図13の(b)では、基材71側の第2のセラミックス層79に封孔処理部79aを形成している。   Next, a third example of the ring member will be described. In the third example, as shown in FIGS. 13A and 13B, a coating 77 is formed on the surface of the base material 71 by spraying ceramics, and the coating 77 is formed on the first ceramic layer 78 and the first coating. The two ceramic layers 79 have a two-layer structure, and a sealing portion is formed in at least a part of at least one of the two ceramic layers. In the example of FIG. 13A, the sealing portion 78a is formed on the first ceramic layer 78 on the front surface side, and in FIG. 13B, the second ceramic layer 79 on the base material 71 side is formed. A sealing processing part 79a is formed.

被膜77を構成する第1のセラミックス層78および第2のセラミックス層79は、いずれもB、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むものであり、具体的には、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスが好適である。この場合において、被膜77の膜厚は、50〜300μmであることが好ましい。なお、基材71としては、第1の例と全く同じものを使用することができる。   Each of the first ceramic layer 78 and the second ceramic layer 79 constituting the coating 77 is selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. It contains at least one element, specifically, a group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3, and Nd2O3. At least one ceramic selected from the group consisting of In this case, the thickness of the coating 77 is preferably 50 to 300 μm. Note that the same material as in the first example can be used as the base material 71.

封孔処理部78a,79aは、上述した第1の例のバリアコート層74に施したものと全く同様の樹脂封孔またはゾルゲル法による封孔により形成することができる。このように、封孔処理部78a,79aを設けることにより、溶射被膜である第1および第2のセラミックス層78,79の微細孔を通って侵入するガスまたは洗浄液を有効に阻止することができ、基材71を十分に保護することができる。この封孔処理部78a,79aは、このように基材71へのガスまたは洗浄液の到達を阻止するためのものであるから、その機能を発揮できる限りこれら封孔処理部78a、79aの位置は限定されず、また層全体を封孔処理部としてもよい。また、第1および第2のセラミックス層78,79の両方に封孔処理部を形成してもよい。封孔処理部78a,79aの厚さは50〜100μmであることが好ましい。   The sealing portions 78a and 79a can be formed by completely the same resin sealing or sol-gel sealing as that applied to the barrier coat layer 74 of the first example described above. By providing the sealing portions 78a and 79a in this manner, it is possible to effectively prevent gas or cleaning liquid that enters through the fine holes of the first and second ceramic layers 78 and 79, which are the thermal spray coating. In addition, the base material 71 can be sufficiently protected. Since the sealing portions 78a and 79a are for preventing the gas or the cleaning liquid from reaching the base material 71 as described above, the positions of the sealing portions 78a and 79a are as long as their functions can be exhibited. It is not limited, and the entire layer may be used as a sealing treatment section. In addition, a sealing portion may be formed on both the first and second ceramic layers 78 and 79. The thickness of the sealing portions 78a and 79a is preferably 50 to 100 μm.

このように、基材71上に形成する被膜77を2層構造にすることにより、要求される耐食性およびバリア性に応じて、これら2層の材料を適宜設定することができ、所望の位置に封孔処理を施すことにより、極めて自由度の高い適用が可能となる。例えば、表面側の第1のセラミックス層78としてY2O3を用い、基材71側の第2のセラミックス層79としてYF3またはAl2O3を用い、第2のセラミックス層79の少なくとも一部に封孔処理を施せば、耐食性およびバリア性を極めて高いものとすることができる。   Thus, by forming the coating 77 formed on the base material 71 into a two-layer structure, the materials of these two layers can be appropriately set in accordance with the required corrosion resistance and barrier properties, and can be formed at desired positions. By applying the sealing treatment, an extremely flexible application is possible. For example, Y2O3 is used as the first ceramic layer 78 on the front surface side, YF3 or Al2O3 is used as the second ceramic layer 79 on the base material 71, and at least a part of the second ceramic layer 79 is subjected to sealing treatment. If it is, corrosion resistance and barrier properties can be made extremely high.

この例においても、図14に示すように、基材71と被膜77との間に、上述した第1の例と全く同様の陽極酸化被膜75を形成するようにしてもよい。また、この場合にも、この陽極酸化被膜75を封孔処理することが好ましく、この封孔処理としては上述したのと同様の金属塩封孔などを適用することができる。   Also in this example, as shown in FIG. 14, an anodic oxide film 75 exactly the same as in the first example described above may be formed between the base material 71 and the film 77. Also in this case, it is preferable that the anodic oxide film 75 is sealed. As the sealing process, the same metal salt sealing as described above can be applied.

リング部材をこのような構造としたことによる効果を確認するため、Al合金の基材上にY2O3の溶射被膜を形成した試料1と、Al合金の基材上に樹脂(PTFE)のバリアコート層を介してY2O3の溶射被膜を形成した試料2と、Al合金の基材上にY2O3の溶射被膜を形成してその一部分を樹脂により封孔処理した試料3とをそれぞれ準備し、これら試料1〜3の表面にフッ酸(HF)溶液を滴下して、プラズマ環境下に置いたときの溶射被膜の表面状態を比較した。より具体的に説明すると、各試料表面に、38%濃度のフッ酸溶液を10μL滴下し、50℃で3時間加熱した後、試料をCF系ガスのプラズマ雰囲気に3分間放置した。その結果、溶射被膜の剥がれ対策が施されていない試料1は、表面全体にクラックが発生したのに対し、基材と溶射被膜との間にバリアコート層を形成した試料2と、溶射被膜の一部分を樹脂により封孔処理した試料3は、いずれもクラックが発生しておらず、フッ酸溶液の侵入を防いで、基材表面が保護されていることがわかった。   In order to confirm the effect of the ring member having such a structure, Sample 1 in which a thermal sprayed coating of Y2O3 was formed on an Al alloy base material, and a resin (PTFE) barrier coat layer on an Al alloy base material Sample 2 in which a thermal sprayed coating of Y2O3 was formed through a substrate, and Sample 3 in which a thermal sprayed coating of Y2O3 was formed on an Al alloy base material and a portion thereof was sealed with a resin, were prepared. The hydrofluoric acid (HF) solution was dropped on the surface of No. 3 and the surface state of the sprayed coating when placed in a plasma environment was compared. More specifically, 10 μL of a 38% hydrofluoric acid solution was dropped on the surface of each sample, heated at 50 ° C. for 3 hours, and then left for 3 minutes in a CF-based gas plasma atmosphere. As a result, in Sample 1 in which no measures were taken against peeling of the thermal spray coating, cracks occurred on the entire surface, whereas Sample 2 in which a barrier coat layer was formed between the base material and the thermal spray coating, Sample 3 in which a part was sealed with a resin did not have any cracks, indicating that the intrusion of the hydrofluoric acid solution was prevented and the surface of the base material was protected.

またリング部材としてAl2O3やY2O3を用いる場合には、空気中の水分との反応性が高いため、処理容器である真空チャンバを大気開放したときや真空チャンバをウェットクリーニングするときに水分を大量に取り込み、種々の問題を生じるが、本発明者らの検討結果によれば、Y2O3等の周期律表第3a族に属する元素を含むセラミックスに水化処理を施すことにより、またはこれら元素を含む水酸化物を形成することにより、このような不都合が解消されることを知見した。   When Al2O3 or Y2O3 is used as the ring member, a large amount of moisture is taken in when the vacuum chamber, which is a processing container, is opened to the atmosphere or when the vacuum chamber is wet-cleaned because of high reactivity with moisture in the air. According to the results of studies by the present inventors, ceramics containing an element belonging to Group 3a of the periodic table, such as Y2O3, are subjected to hydration treatment, or hydroxylated containing these elements. It has been found that such an inconvenience is solved by forming an object.

このような知見に基づき、図8におけるリング部材(この例ではフォーカスリング213及び絶縁リング213a)において、周期律表第3a族に属する元素を含むセラミックスに水化処理を施した部分を形成する、あるいは、少なくともその一部分をその元素を含む水酸化物にするようにした。   Based on such knowledge, in the ring member in FIG. 8 (in this example, the focus ring 213 and the insulating ring 213a), a portion obtained by subjecting a ceramic containing an element belonging to Group 3a of the periodic table to a hydration treatment is formed. Alternatively, at least a part thereof is made to be a hydroxide containing the element.

このようにすることにより、水分を吸着しにくく、脱離しにくい構造とすることができるので、プラズマ処理時における水分の離脱が生じ難いリング部材を得ることができる。   By doing so, it is possible to obtain a structure in which moisture is hardly adsorbed and hardly desorbed, so that a ring member in which water is hardly desorbed during plasma processing can be obtained.

先ずリング部材の第4の例では、図15に示すように基材81の上に、周期律表第3a族に属する元素を含むセラミックスからなる被膜82を形成し、例えば、少なくともその表面部分に水化処理部82aを形成する。   First, in a fourth example of the ring member, as shown in FIG. 15, a coating 82 made of ceramics containing an element belonging to Group 3a of the periodic table is formed on a base material 81, and, for example, at least the surface portion thereof is formed. The hydration treatment part 82a is formed.

基材81としては、上記基材71と同様、ステンレス鋼(SUS)を含む各種の鋼、AlおよびAl合金、WおよびW合金、TiおよびTi合金、MoおよびMo合金、炭素ならびに酸化物系、非酸化物系セラミックス焼結体、および炭素質材料などが好適に用いられる。   As the base material 81, similarly to the base material 71, various steels including stainless steel (SUS), Al and Al alloys, W and W alloys, Ti and Ti alloys, Mo and Mo alloys, carbon and oxide-based materials, A non-oxide ceramic sintered body, a carbonaceous material, and the like are preferably used.

被膜82は、周期律表第3a族に属する元素を含むセラミックスで構成されていればよいが、周期律表第3a族に属する元素を含む酸化物であることが好ましい。また、これらの中ではY2O3、CeO2、Ce2O3、Nd2O3が好適であり、その中でも、従来から多用され、高い耐食性を有することから、Y2O3が特に好ましい。   The coating 82 may be made of a ceramic containing an element belonging to Group 3a of the periodic table, but is preferably an oxide containing an element belonging to Group 3a of the periodic table. Among these, Y2O3, CeO2, Ce2O3, and Nd2O3 are preferable. Among them, Y2O3 is particularly preferable because it has been widely used and has high corrosion resistance.

この被膜82は、溶射法、PVD法やCVD法等の薄膜形成技術によって好適に形成することができる。また、その他、浸漬法、あるいは塗布法などの方法で形成することもできる。   This film 82 can be suitably formed by a thin film forming technique such as a thermal spraying method, a PVD method or a CVD method. In addition, it can also be formed by a method such as a dipping method or a coating method.

水化処理部82aは、例えば、被膜82を水蒸気または高温の水と反応させることにより水化反応を生じさせることにより形成することができる。セラミックスとしてY2O3を用いた場合には以下の(1)式のような反応が生じる。
Y2O3+H2O→Y2O3・(H2O)n→2(YOOH)→Y(OH)3…(1)
ただし、上記(1)式は価数を考慮していない。
この(1)式に示すように、水化処理により、最終的にYの水酸化物が形成される。他の周期律表第3a族に属する元素の場合も、ほぼ同様な反応によってこのような水酸化物を形成する。このような水酸化物としてはY(OH)3、Ce(OH)3、Nd(OH)3が好ましい。
The hydration treatment section 82a can be formed, for example, by causing a hydration reaction by reacting the coating 82 with steam or high-temperature water. When Y2O3 is used as ceramics, a reaction such as the following equation (1) occurs.
Y2O3 + H2O → Y2O3 · (H2O) n → 2 (YOOH) → Y (OH) 3 (1)
However, the above equation (1) does not consider the valence.
As shown in the formula (1), the hydration treatment finally forms a hydroxide of Y. In the case of other elements belonging to Group 3a of the periodic table, such a hydroxide is formed by a substantially similar reaction. As such a hydroxide, Y (OH) 3, Ce (OH) 3, and Nd (OH) 3 are preferable.

このことを確認するために、基材上にY2O3の溶射被膜を形成した試料を準備し、80℃の高温水に150時間浸漬して水化処理を行った後、室温にて乾燥したものと、このような処理を行わなかったものについてX線回折測定を行った。その結果、図16の(a),(b)に示すように、水化処理を行った試料のみにY(OH)3が認められ、水化処理により水酸化物が形成されることが確認された。   In order to confirm this, a sample in which a thermal sprayed coating of Y2O3 was formed on a substrate was prepared, immersed in high-temperature water of 80 ° C. for 150 hours, hydrated, and dried at room temperature. An X-ray diffraction measurement was performed on a sample not subjected to such a treatment. As a result, as shown in FIGS. 16A and 16B, Y (OH) 3 was observed only in the sample subjected to the hydration treatment, and it was confirmed that hydroxide was formed by the hydration treatment. Was done.

周期律表第3a族に属する元素の水酸化物は、極めて安定であり、化学的に吸着した水が脱離しにくく、かつ水を吸着し難いという特性を有しており、水化処理によりこのような水酸化物を形成することで、プロセス中における水分による不都合を回避することができる。   Hydroxides of elements belonging to Group 3a of the periodic table are extremely stable, and have characteristics that chemically adsorbed water is hardly desorbed and water is hardly adsorbed. By forming such a hydroxide, inconvenience due to moisture during the process can be avoided.

このような水化処理による効果を確認するために、基材の上にY2O3溶射被膜を200μm程度形成し、沸騰水にて3時間処理した試料と処理しなかった試料とを準備し、この両者にIPAを吹き付けた。なお、IPAは水よりも吸着性が高く、したがって、IPA吹き付けは加速試験となる。この試験の結果、図17に示すように水化処理していないものはIPAが吸着したが、水化処理したものは全く吸着しなかった。このことから水化処理により吸水が極めて生じ難くなることが確認された。   In order to confirm the effect of the hydration treatment, a Y2O3 sprayed coating was formed on a substrate to a thickness of about 200 μm, and a sample treated with boiling water for 3 hours and a sample not treated were prepared. Was sprayed with IPA. It should be noted that IPA has higher adsorptivity than water, and therefore, IPA spraying is an accelerated test. As a result of this test, as shown in FIG. 17, IPA was adsorbed on the sample not subjected to hydration treatment, but was not adsorbed on the sample subjected to hydration treatment. From this, it was confirmed that water absorption was extremely unlikely to occur due to the hydration treatment.

次に、上と同様に基材の上にY2O3溶射被膜を200μm程度形成し、沸騰水にて3時間処理した試料と処理しなかった試料とを準備し、これらの上に樹脂を塗布した後、切断して断面を確認した。その結果、図18の(a),(b)に示すように、表面状態は両者で差がないのにもかかわらず、「処理無し」の場合には被膜が全体的に透明であり全体に樹脂が浸透していたことが認められるのに対し、「処理有り」の場合には表層わずかな部分のみが透明であり、内部は白くなっており、樹脂がほとんど浸透していないことが確認された。すなわち、水化処理を行うことにより、疎水性となったことが判明した。また、図18の(c)に示すように水化処理後20μm程度除去するとその部分は透明になっており、水化処理を行った表層の20μm程度を除去することにより、疎水性が低下することが確認された。   Next, similarly to the above, a Y2O3 thermal spray coating was formed on the base material to a thickness of about 200 μm, a sample treated with boiling water for 3 hours and a sample not treated were prepared, and a resin was applied thereon. , And the cross section was confirmed. As a result, as shown in FIGS. 18A and 18B, in the case of “no treatment”, the coating is entirely transparent and the entire surface is completely in spite of the fact that there is no difference between the surface states. It is recognized that the resin had permeated, whereas in the case of "treated", only a small part of the surface layer was transparent, the inside was white, and it was confirmed that the resin had hardly penetrated. Was. That is, it was found that the hydration treatment resulted in hydrophobicity. In addition, as shown in FIG. 18C, when about 20 μm is removed after the hydration treatment, the portion becomes transparent, and by removing about 20 μm of the hydrated surface layer, the hydrophobicity is reduced. It was confirmed that.

なお、H2OがY2O3表面に及ぼす影響については、Langmuir,Vol.16, No.17,2000の6937−6947 頁に記載された黒田らの「Specific Adsorption Behavior of Water on aY2O3 Surface」という論文に詳しい。   The effect of H2O on the Y2O3 surface is described in detail in Kuroda et al.'S "Specific Adsorption Behavior of Water on a Y2O3 Surface" described in Langmuir, Vol. 16, No. 17, 2000, pp. 6937-6947.

以下、水化処理について具体的に説明する。水化処理は、水蒸気が豊富な環境で熱処理を行うか、沸騰した水中で処理することにより行うことができる。これにより、例えばイットリア(Y2O3)分子の周囲に数個の水分子を引きつけて結合し、安定した一つの分子集団にすることができる。このとき、水蒸気の分圧、熱処理温度、熱処理時間などがパラメーターとなる。例えば、相対湿度が90%以上の環境で100〜300℃程度の炉の中で、24時間程度、加熱処理を行うことにより安定した水酸化物を形成することができる。もし、相対湿度や熱処理温度が低い場合には、処理時間を長くすればよい。水化処理を効率的に行うためには、高温・高圧で処理することが好ましい。イットリア表面での水和反応は、基本的に室温程度でも長時間行えば十分に進行するので、上記条件以外でも、同じ最終状態を得ることができる。また、水化処理する際、純水を用いて水化処理するよりも、イオンを含む水(pH7より大きいアルカリ水)を用いて水化処理を施したほうが、疎水性がより優れたものとなる。   Hereinafter, the hydration treatment will be specifically described. The hydration treatment can be performed by heat treatment in an environment rich in steam or by treatment in boiling water. Thereby, for example, several water molecules can be attracted and bound around the yttria (Y2O3) molecule to form one stable molecular population. At this time, the partial pressure of steam, heat treatment temperature, heat treatment time, and the like are parameters. For example, a stable hydroxide can be formed by performing heat treatment for about 24 hours in a furnace at about 100 to 300 ° C. in an environment where the relative humidity is 90% or more. If the relative humidity or the heat treatment temperature is low, the treatment time may be extended. In order to carry out the hydration treatment efficiently, it is preferable to carry out the treatment at high temperature and high pressure. The hydration reaction on the yttria surface basically proceeds sufficiently for a long time even at about room temperature, so that the same final state can be obtained under conditions other than the above. In addition, when the hydration treatment is performed, the hydration treatment using water containing ions (alkaline water having a pH of greater than 7) is more excellent in hydrophobicity than the hydration treatment using pure water. Become.

なお、水化処理に限らず、例えば原料段階で水酸化物にする等、最終的に水酸化物が形成されれば、他の方法を採用してもよい。被膜を溶射法で製造する場合には、原料が高温にさらされるため、原料段階で水酸化物にすると水酸化物が酸化物に変化することが懸念されるが、この場合でも、高湿度環境下で溶射することにより水酸化物膜を形成することができる。このように、水化処理部を形成する代わりに、他の方法によって直接水酸化物を形成してもよい。   The method is not limited to the hydration treatment, and other methods may be adopted as long as the hydroxide is finally formed, for example, a hydroxide at the raw material stage. When the coating is manufactured by the thermal spraying method, since the raw material is exposed to a high temperature, there is a concern that when the raw material is converted into a hydroxide at the raw material stage, the hydroxide is converted into an oxide. A hydroxide film can be formed by spraying underneath. Thus, instead of forming the hydration treatment section, a hydroxide may be directly formed by another method.

このような水化処理部ないしは水酸化物層は、被膜82を、水分を吸着しにくく、脱離しにくい構造とするためには、被膜82の表面部分に形成する必要がある。この場合の水化処理部ないしは水酸化物膜の厚さは100μm以上が好ましく、使用する場所に応じて最適な厚みに設定するのが良い。   Such a hydrated portion or hydroxide layer needs to be formed on the surface of the coating 82 in order to make the coating 82 hardly adsorb moisture and hardly desorb. In this case, the thickness of the hydration treatment section or the hydroxide film is preferably 100 μm or more, and is preferably set to an optimum thickness according to the place of use.

周期律表第3a族に属する元素を含むセラミックスを水化処理することにより緻密化も促進する。例えば、溶射により形成したY2O3膜について、水化処理前に図19の(a)に示すようなポーラスな状態であったものが、水化処理することにより、図19の(b)に示すように緻密化される。このように緻密化されることにより、上記効果の他、既述したバリア効果も得られる。   Densification is also promoted by hydrating ceramics containing elements belonging to Group 3a of the periodic table. For example, the Y2O3 film formed by thermal spraying, which had a porous state as shown in FIG. 19A before the hydration treatment, was subjected to the hydration treatment, as shown in FIG. 19B. It is densified. Such densification provides the above-described barrier effect as well as the above effect.

バリア効果のみを得る観点からは、水化処理により水酸化物とされた水化処理部82aは必ずしも表面にある必要はなく、被膜82の任意の位置に形成されていればよい。他の方法で水酸化物にされた水酸化物層を形成する場合には、上述したような樹脂やゾルゲル法での封孔処理をすることが好ましい。この例においては、図20に示すように、上述の実施の形態と同様、基材81と被膜82との間に、全く同様の陽極酸化被膜83を形成するようにしてもよい。また、この陽極酸化被膜83を封孔処理することが好ましく、この封孔処理としては上述したのと同様の金属塩封孔などを適用することができる。   From the viewpoint of obtaining only the barrier effect, the hydration-treated portion 82a converted into a hydroxide by the hydration treatment does not necessarily have to be on the surface, but may be formed at any position of the coating film 82. When forming a hydroxide layer converted into a hydroxide by another method, it is preferable to perform the sealing treatment by the resin or the sol-gel method as described above. In this example, as shown in FIG. 20, a completely similar anodic oxide coating 83 may be formed between the base material 81 and the coating 82 as in the above-described embodiment. Further, it is preferable that the anodic oxide film 83 is subjected to a sealing treatment. As the sealing treatment, the same metal salt sealing as described above can be applied.

次に、リング部材の第5の例について説明する。第5の例では、図21の(a)、(b)に示すように、基材81の表面に、被膜84を形成し、被膜84を、第1のセラミックス層85と第2のセラミックス層86の2層構造とし、その少なくとも一方の少なくとも一部分に水化処理部を形成するようにしている。図21の(a)の例では、表面側の第1のセラミックス層85に水化処理部85aを形成しており、図21の(b)では、基材81側の第2のセラミックス層86に水化処理部86aを形成している。   Next, a fifth example of the ring member will be described. In the fifth example, as shown in FIGS. 21A and 21B, a coating 84 is formed on the surface of a base material 81, and the coating 84 is formed by a first ceramic layer 85 and a second ceramic layer. 86, and a hydration treatment part is formed on at least a part of at least one of the two. In the example of FIG. 21A, a hydration treatment portion 85a is formed on the first ceramic layer 85 on the front surface side, and in FIG. 21B, the second ceramic layer 86 on the base material 81 side is formed. The hydration processing part 86a is formed.

被膜84を構成する第1のセラミックス層85および第2のセラミックス層は、いずれも第4の例と同様、周期律表第3a族に属する元素を含むセラミックスで構成されており、周期律表第3a族に属する元素を含む酸化物であることが好ましく、これらの中ではY2O3、CeO2、Ce2O3、Nd2O3が好適であり、特に、Y2O3が好ましい。なお、基材81としては、第4の例と全く同じものを用いることができる。   Each of the first ceramic layer 85 and the second ceramic layer constituting the coating 84 is made of a ceramic containing an element belonging to Group 3a of the periodic table, similarly to the fourth example. An oxide containing an element belonging to Group 3a is preferable, and among these, Y2O3, CeO2, Ce2O3, and Nd2O3 are preferable, and Y2O3 is particularly preferable. Note that the same material as that of the fourth example can be used as the base material 81.

これら第1および第2のセラミックス層85,86は、第1の例における被膜82と同様、溶射法、PVD法やCVD法等の薄膜形成技術によって好適に形成することができる。また、その他、浸漬法、あるいは塗布法などの方法で形成することもできる。   These first and second ceramic layers 85 and 86 can be suitably formed by a thin film forming technique such as a thermal spraying method, a PVD method, or a CVD method, similarly to the coating 82 in the first example. In addition, it can also be formed by a method such as a dipping method or a coating method.

水化処理部85a,86aは、第4の例における水化処理部82aと全く同様に形成することができる。図21の(a)に示すように、被膜84の表面に水化処理部がある場合には、水分を吸着しにくく、脱離しにくい構造とすることができ、図21の(b)に示すように、被膜84の内部に水化処理部がある場合には、バリア効果を有効に発揮させることができる。被膜84内部の水化処理部86aを形成するためには、基材81上に第2のセラミックス層86を製造した後、水化処理を行い、さらに第1のセラミックス層85を形成すればよい。水化処理部85a,86aの厚さは100μm以上とすることが好ましい。   The hydration units 85a and 86a can be formed in exactly the same manner as the hydration unit 82a in the fourth example. As shown in FIG. 21A, in the case where a hydrated portion is present on the surface of the coating 84, the structure can be made such that moisture is hardly adsorbed and hardly desorbed, as shown in FIG. 21B. As described above, when the hydrated portion is present inside the coating 84, the barrier effect can be effectively exhibited. In order to form the hydrated portion 86a inside the coating 84, the second ceramic layer 86 is manufactured on the base material 81, then hydrated, and then the first ceramic layer 85 may be formed. . It is preferable that the thickness of the hydrated parts 85a and 86a be 100 μm or more.

このように、基材81上に形成する被膜84を2層構造にすることにより、要求される特性に応じて、これら2層の材料および水化処理の位置を適宜設定することができ、極めて自由度の高い適用が可能となる。   In this way, by forming the coating 84 formed on the base material 81 into a two-layer structure, the materials of these two layers and the position of the hydration treatment can be appropriately set according to the required characteristics. Application with a high degree of freedom is possible.

この例においても、図22に示すように、基材81と被膜84との間に、第1の例と全く同様の陽極酸化被膜83を形成するようにしてもよい。   Also in this example, as shown in FIG. 22, an anodic oxide film 83 exactly the same as in the first example may be formed between the base material 81 and the film 84.

次に、リング部材の第6の例について説明する。第6の例では、図23に示すように、基材81の表面に、被膜87を形成し、被膜87を、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層88と、セラミックスの溶射で形成された第2のセラミックス層89とを有し、第1セラミックス層88の表面部分に水化処理部88aが形成されている。   Next, a sixth example of the ring member will be described. In the sixth example, as shown in FIG. 23, a coating 87 is formed on the surface of a substrate 81, and the coating 87 is formed of a first ceramic made of a ceramic containing at least one element belonging to Group 3a of the periodic table. It has a ceramic layer 88 and a second ceramic layer 89 formed by spraying ceramics, and a hydration treatment section 88 a is formed on the surface of the first ceramic layer 88.

第1のセラミックス層88の周期律表第3a族に属する元素を含むセラミックスとしては、周期律表第3a族に属する元素を含む酸化物であることが好ましく、これらの中ではY2O3、CeO2、Ce2O3、Nd2O3が好適であり、特に、Y2O3が好ましい。第1のセラミックス層88の膜厚は、100〜300μmであることが好ましい。第1のセラミックス層88は、第4の例における被膜82と同様、溶射法、PVD法やCVD法等の薄膜形成技術によって好適に形成することができる。また、その他、浸漬法、あるいは塗布法などの方法で形成することもできる。   The ceramic containing an element belonging to Group 3a of the periodic table of the first ceramic layer 88 is preferably an oxide containing an element belonging to Group 3a of the periodic table, and among these, Y2O3, CeO2, and Ce2O3 are preferable. , Nd2O3 are preferred, and Y2O3 is particularly preferred. The thickness of the first ceramics layer 88 is preferably 100 to 300 μm. The first ceramics layer 88 can be suitably formed by a thin film forming technique such as a thermal spraying method, a PVD method, or a CVD method, similarly to the coating 82 in the fourth example. In addition, it can also be formed by a method such as a dipping method or a coating method.

第2のセラミックス層89としては、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むものが好適であり、具体的には、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスが好適である。第2のセラミックス層89の膜厚は、50〜300μmであることが好ましい。なお、基材81としては、第4の例と全く同じものを使用することができる。   As the second ceramic layer 89, a layer containing at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd is preferable. Specifically, at least one ceramic selected from the group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3 and Nd2O3 is preferable. It is. The thickness of the second ceramic layer 89 is preferably 50 to 300 μm. In addition, as the base material 81, exactly the same material as in the fourth example can be used.

水化処理部88aは、第4の例における水化処理部82aと全く同様に形成することができる。このように、被膜87の表面に水化処理部が形成されているので、水分を吸着しにくく、脱離しにくい構造とすることができる。なお、水化処理部88aを第1のセラミックス層88の内部に形成してバリア効果を発揮させることもできる。水化処理部88aの厚さは100μm以上であることが好ましい。   The hydration processing section 88a can be formed in exactly the same way as the hydration processing section 82a in the fourth example. Since the hydrated portion is formed on the surface of the coating 87 as described above, it is possible to obtain a structure in which moisture is hardly adsorbed and hardly desorbed. In addition, the hydration treatment part 88a can be formed inside the first ceramics layer 88 to exert a barrier effect. The thickness of the hydrated portion 88a is preferably 100 μm or more.

図24に示すように、第2のセラミック層89に封孔処理部89aを形成することが好ましい。封孔処理部89aは、上述の第1〜第3の例で説明した内容と全く同様の樹脂封孔またはゾルゲル法による封孔により形成することができる。このように、封孔処理部89aを設けることにより、溶射被膜である第2のセラミックス層89の微細孔を通って侵入するガスまたは洗浄液を有効に阻止することができ、基材81を十分に保護することができる。なお、封孔処理部89aは第2のセラミックス層89の任意の位置に形成することができる。   As shown in FIG. 24, it is preferable to form a sealing portion 89a in the second ceramic layer 89. The sealing section 89a can be formed by resin sealing or the sol-gel sealing exactly the same as described in the first to third examples. As described above, by providing the sealing processing portion 89a, gas or cleaning liquid that enters through the fine holes of the second ceramics layer 89, which is a thermal spray coating, can be effectively prevented, and the base material 81 can be sufficiently protected. Can be protected. The sealing section 89a can be formed at an arbitrary position on the second ceramic layer 89.

図23、図24に示すような構造にすることにより、耐食性に優れるとともに、第1のセラミックス層88の水化処理部88aによって、水分を吸着しにくく、脱離しにくい構造とすることができ、しかも第2のセラミックス層89のバリア効果により、基材81を有効に保護することができる。特に、図24の構造では、封孔処理部89aの存在により、バリア効果を一層高めることができる。   With the structure as shown in FIGS. 23 and 24, it is possible to obtain a structure that is excellent in corrosion resistance and hardly adsorbs water and hardly desorbs by the hydration treatment portion 88a of the first ceramic layer 88. Moreover, the base material 81 can be effectively protected by the barrier effect of the second ceramic layer 89. In particular, in the structure of FIG. 24, the barrier effect can be further enhanced by the presence of the sealing section 89a.

なお、図25に示すように、第1のセラミックス層88と第2のセラミックス層89とを逆にしてもよい。この場合には、基材81側の第1のセラミックス層88の水化処理部88aにてバリア効果が有効に発揮されて基材81の保護効果を高めることができる。   Note that, as shown in FIG. 25, the first ceramic layer 88 and the second ceramic layer 89 may be reversed. In this case, a barrier effect is effectively exerted in the hydration treatment portion 88a of the first ceramics layer 88 on the base material 81 side, and the protection effect of the base material 81 can be enhanced.

この例においても、図26に示すように、基材81と被膜87との間に、第1の例と全く同様の陽極酸化被膜83を形成するようにしてもよい。   Also in this example, as shown in FIG. 26, an anodic oxide film 83 exactly the same as in the first example may be formed between the base material 81 and the film 87.

次にリング部材の第7の例について述べる。第7の例では、リング部材は、図27に示すように、周期律表第3a族に属する元素を含むセラミックス焼結体97の表面に、水化処理部98が形成されている。水化処理部98は、上述した実施の形態と全く同様に形成することができ、水化処理によって周期律表第3a族に属する元素を含む水酸化物が形成される。   Next, a seventh example of the ring member will be described. In the seventh example, as shown in FIG. 27, the ring member has a hydrated portion 98 formed on the surface of a ceramic sintered body 97 containing an element belonging to Group 3a of the periodic table. The hydration treatment section 98 can be formed in exactly the same manner as in the above-described embodiment, and a hydration treatment forms a hydroxide containing an element belonging to Group 3a of the periodic table.

このように水化処理部98が表面に形成されることにより、水分を吸着しにくく、脱離しにくい構造とすることができる。この場合の水化処理部98ないしは水酸化物膜の厚さは100μm以上が好ましい。   By forming the hydration treatment section 98 on the surface in this manner, a structure can be obtained in which moisture is hardly adsorbed and desorbed. In this case, the thickness of the hydration treatment section 98 or the hydroxide film is preferably 100 μm or more.

この第7の実施の例においても、第4〜第6で述べた如く、周期律表第3a族に属する元素を含むセラミックスとしては、周期律表第3a族に属する元素を含む酸化物であることが好ましい。これらの中ではY2O3、CeO2、Ce2O3、Nd2O3が好適であり、特に、Y2O3が好ましい。   Also in the seventh embodiment, as described in the fourth to sixth examples, the ceramic containing an element belonging to Group 3a of the periodic table is an oxide containing an element belonging to Group 3a of the periodic table. Is preferred. Among these, Y2O3, CeO2, Ce2O3, and Nd2O3 are preferable, and Y2O3 is particularly preferable.

なお、上記実施の形態では、図8に示す、永久磁石を用いたマグネトロンタイプの平行平板型のプラズマエッチング装置のリング部材(フォーカスリング213、絶縁リング213a)に本発明を適用した場合を例に挙げて説明したが、本発明は、かかる構成の装置に限定されず、マグネトロンを用いない平行平板型のプラズマエッチング装置や、誘導結合型など他のプラズマエッチング処理装置及びエッチング装置のみならずアッシング処理や成膜処理などのエッチング以外の各種プラズマ処理を行う装置にも適用できる。   In the above embodiment, the case where the present invention is applied to a ring member (focus ring 213, insulating ring 213a) of a magnetron type parallel plate type plasma etching apparatus using a permanent magnet shown in FIG. Although the present invention has been described above, the present invention is not limited to the apparatus having such a configuration, and is not limited to a parallel plate type plasma etching apparatus without a magnetron, other plasma etching processing apparatuses such as an inductive coupling type and an etching apparatus, as well as an ashing processing. The present invention can also be applied to an apparatus that performs various types of plasma processing other than etching, such as film formation and film formation.

本発明のプラズマ処理装置は、リング部材内の電極に所定の電圧を印加することによりプラズマの状態を調整することができるので、例えば半導体ウエハなどにエッチングなどのプラズマ処理を行う装置に適用することができる。また本発明のリング部材は、特に基材上に形成する被膜を耐食性が高いセラミックスで構成し、バリアとして機能する部分を設けたので、腐食性の高い雰囲気によるプラズマにより処理に好適である。また周期律表第3a族に属する元素を含むセラミックスに水化処理を施して水に対して安定な構造とするので、水分が問題となるリング部材として好適である。   INDUSTRIAL APPLICABILITY The plasma processing apparatus of the present invention can adjust the state of plasma by applying a predetermined voltage to an electrode in a ring member. Therefore, the present invention is applicable to an apparatus that performs plasma processing such as etching on a semiconductor wafer or the like. Can be. In addition, the ring member of the present invention is particularly suitable for processing by plasma in a highly corrosive atmosphere since the coating formed on the substrate is made of a highly corrosion-resistant ceramic and has a portion functioning as a barrier. Further, the ceramic containing an element belonging to Group 3a of the periodic table is subjected to hydration treatment to obtain a structure that is stable with respect to water, and thus is suitable as a ring member in which moisture is a problem.

本発明の実施の形態に係るプラズマ処理装置を示す縦断面図である。It is a longitudinal section showing the plasma processing device concerning an embodiment of the invention. 上記のプラズマ処理装置の制御部を示す説明図である。It is an explanatory view showing a control part of the above-mentioned plasma processing device. 上記のプラズマ処理装置を用いてプラズマ処理したときのプラズマの状態を示す説明図である。It is explanatory drawing which shows the state of the plasma when performing plasma processing using the said plasma processing apparatus. 上記のプラズマ処理装置を用いてエッチングする多層膜を示す説明図である。It is explanatory drawing which shows the multilayer film etched using the said plasma processing apparatus. 本発明の実施の形態に係るプラズマ処理装置の他の例を示す説明図である。It is an explanatory view showing another example of a plasma processing apparatus according to an embodiment of the present invention. 本発明の実施の形態に係るプラズマ処理装置の更に他の例を示す説明図である。FIG. 9 is an explanatory diagram showing still another example of the plasma processing apparatus according to the embodiment of the present invention. 本発明の実施の形態に係るプラズマ処理装置を組み込んだプラズマ処理システムを示す説明図である。FIG. 1 is an explanatory diagram showing a plasma processing system incorporating a plasma processing apparatus according to an embodiment of the present invention. 本発明の実施の形態に係るリング部材が搭載されるプラズマエッチング装置を示す縦断面図。FIG. 1 is a longitudinal sectional view showing a plasma etching apparatus on which a ring member according to an embodiment of the present invention is mounted. 本発明に係るリング部材の第1の例の層構成を示す断面図。FIG. 3 is a cross-sectional view illustrating a layer configuration of a first example of the ring member according to the present invention. 図9の構成に陽極酸化被膜を加えた例を示す断面図。Sectional drawing which shows the example which added the anodic oxide film to the structure of FIG. 本発明の実施の形態に係るリング部材の第2の例の層構成を示す断面図。FIG. 6 is a sectional view showing a layer configuration of a second example of the ring member according to the embodiment of the present invention. 図11の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 12 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 11. 本発明の実施の形態に係るリング部材の第3の例の層構成を示す断面図。FIG. 9 is a sectional view showing a layer configuration of a third example of the ring member according to the embodiment of the present invention. 図13の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 14 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 13. 本発明の実施の形態に係るリング部材の第1の例の層構成を示す断面図。FIG. 4 is a cross-sectional view showing a layer configuration of a first example of the ring member according to the embodiment of the present invention. Y2O3被膜に水化処理を行った場合と行わない場合とでX線解析パターンを比較して示す図。The figure which shows the case where the hydration process is performed to the Y2O3 film | membrane, and the case where it does not perform and shows an X-ray analysis pattern in comparison. Y2O3被膜に水化処理を行った場合と行わない場合とでIPAの吸着を比較して示す図。The figure which shows comparison of the adsorption | suction of IPA in the case where a hydration process is performed to the Y2O3 film | membrane, and the case where it is not performed. Y2O3被膜に水化処理を行った場合と行わない場合とで樹脂の浸透を比較して示す図。FIG. 4 is a diagram showing a comparison of resin penetration between a case where a hydration treatment is performed on a Y2O3 coating and a case where a hydration treatment is not performed. 水化処理前と処理後の層状態を比較して示す走査型電子顕微鏡写真。The scanning electron micrograph which shows the layer state before and after a hydration process in comparison, and shows it. 図15の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 16 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 15. 本発明の実施の形態に係るリング部材の第2の例の層構成を示す断面図。FIG. 6 is a sectional view showing a layer configuration of a second example of the ring member according to the embodiment of the present invention. 図21の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 22 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 21. 本発明の実施の形態に係るリング部材の第3の例の層構成を示す断面図。FIG. 9 is a sectional view showing a layer configuration of a third example of the ring member according to the embodiment of the present invention. 本発明の実施の形態に係るリング部材の第3の例の層構成を示す断面図。FIG. 9 is a sectional view showing a layer configuration of a third example of the ring member according to the embodiment of the present invention. 本発明の実施の形態に係るリング部材の第3の例の層構成を示す断面図。FIG. 9 is a sectional view showing a layer configuration of a third example of the ring member according to the embodiment of the present invention. 図16の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 17 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 16. 本発明の実施の形態に係るリング部材を示す模式図。The schematic diagram which shows the ring member which concerns on embodiment of this invention. 従来のプラズマ処理装置を示す説明図である。It is an explanatory view showing a conventional plasma processing apparatus.

符号の説明Explanation of reference numerals

2 処理容器
22 真空ポンプ
3 上部電極
33 第1のガス供給系
34 第2のガス供給系
4 下部電極
44 静電チャック
5 フォーカスリング
51 電極
52a アクチュエータ
6 制御部
20 真空チャンバ
20a デポシールド
30 ガスシャワーヘッド
210 載置台
212 静電チャック
213 フォーカスリング
214 排気プレート
71,81 基材
72,76,77,82,84,87 被膜
74 バリアコート層
75,83 陽極酸化被膜
76a,78a,79a 封孔処理部
82a,86a,88a,98 水化処理部
2 Processing container 22 Vacuum pump 3 Upper electrode 33 First gas supply system 34 Second gas supply system 4 Lower electrode 44 Electrostatic chuck 5 Focus ring 51 Electrode 52a Actuator 6 Control unit 20 Vacuum chamber 20a Depot shield 30 Gas shower head 210 Mounting table 212 Electrostatic chuck 213 Focus ring 214 Exhaust plate 71, 81 Base material 72, 76, 77, 82, 84, 87 Coating 74 Barrier coating layer 75, 83 Anodized coating 76a, 78a, 79a Sealing section 82a , 86a, 88a, 98 Water treatment section

Claims (44)

処理容器内の載置台に載置された被処理基板に対して、処理ガスのプラズマにより処理を行うプラズマ処理装置において、
前記載置台上の被処理基板を取り囲むように設けられた絶縁材からなるリング部材と、
このリング部材内に設けられた電極と、
前記リング部材上方のプラズマのシース領域を調整するために前記電極に直流電圧を印加する直流電源と、を備えたことを特徴とするプラズマ処理装置。
In a plasma processing apparatus that performs processing with a plasma of a processing gas on a substrate to be processed mounted on a mounting table in a processing container,
A ring member made of an insulating material provided so as to surround the substrate to be processed on the mounting table,
An electrode provided in the ring member;
And a DC power supply for applying a DC voltage to the electrode to adjust a sheath region of the plasma above the ring member.
被処理基板に対して第1のプロセスを行うときにはリング部材内の電極に第1の直流電圧を印加し、被処理基板に対して第2のプロセスを行うときにはリング部材内の電極に第2の直流電圧を印加するように印加電圧を切り替えるための手段と、を備えたことを特徴とする請求項1記載のプラズマ処理装置。   When performing the first process on the substrate to be processed, the first DC voltage is applied to the electrode in the ring member. When performing the second process on the substrate to be processed, the second DC voltage is applied to the electrode in the ring member. The plasma processing apparatus according to claim 1, further comprising: means for switching an applied voltage so as to apply a DC voltage. 第1のプロセスは薄膜をエッチングする処理であり、第2のプロセスは前記薄膜とは異なる種類の薄膜をエッチングする処理であることを特徴とする請求項2記載のプラズマ処理装置。   3. The plasma processing apparatus according to claim 2, wherein the first process is a process for etching a thin film, and the second process is a process for etching a thin film different from the thin film. リング部材内の電極は径方向に複数設けられ、これら複数の電極に印加する直流電圧を各々独立して調整できることを特徴とする請求項1記載のプラズマ処理装置。   2. The plasma processing apparatus according to claim 1, wherein a plurality of electrodes in the ring member are provided in a radial direction, and a DC voltage applied to the plurality of electrodes can be independently adjusted. 処理容器内の載置台に載置された被処理基板に対して、処理ガスのプラズマにより処理を行うプラズマ処理装置の当該載置台上の被処理基板を取り囲むように設けられる絶縁材からなるリング部材において、
このリング部材の上方のプラズマのシース領域を調整するために直流電圧が印加される電極を内部に備えたことを特徴とするリング部材。
A ring member made of an insulating material provided so as to surround a substrate to be processed on a mounting table of a plasma processing apparatus for performing processing by a plasma of a processing gas on a substrate to be processed mounted on a mounting table in a processing container. At
A ring member comprising an electrode to which a DC voltage is applied in order to adjust a plasma sheath region above the ring member.
被処理基板に対して第1のプロセスを行うときには前記電極に第1の直流電圧が印加され、被処理基板に対して第2のプロセスを行うときには前記電極に第2の直流電圧が印加されることを特徴とする請求項5記載のリング部材。   A first DC voltage is applied to the electrodes when performing the first process on the substrate to be processed, and a second DC voltage is applied to the electrodes when performing the second process on the substrate to be processed. The ring member according to claim 5, wherein: 第1のプロセスは薄膜をエッチングする処理であり、第2のプロセスは前記薄膜とは異なる種類の薄膜をエッチングする処理であることを特徴とする請求項6記載のリング部材。   The ring member according to claim 6, wherein the first process is a process of etching a thin film, and the second process is a process of etching a thin film of a type different from the thin film. リング部材内の電極は径方向に複数設けられ、これら複数の電極に印加する直流電圧を各々独立して調整できることを特徴とする請求項5記載のリング部材。   The ring member according to claim 5, wherein a plurality of electrodes in the ring member are provided in a radial direction, and a DC voltage applied to the plurality of electrodes can be adjusted independently of each other. 処理容器内の載置台に被処理基板を載置する工程と、
前記載置台上の被処理基板を取り囲むように設けられた絶縁材からなるリング部材内に設けられたプラズマシース領域調整用の電極に第1の直流電圧を印加した状態で、処理容器内にプラズマを発生させて被処理基板に第1のプロセスを行う工程と、
次いで前記プラズマシース領域調整用の電極に第2の直流電圧を印加した状態で、処理容器内にプラズマを発生させて被処理基板に第2のプロセスを行う工程と、を含むことを特徴とするプラズマ処理方法。
A step of mounting the substrate to be processed on a mounting table in the processing container,
A plasma is introduced into a processing vessel in a state where a first DC voltage is applied to a plasma sheath region adjusting electrode provided in a ring member made of an insulating material provided to surround a substrate to be processed on the mounting table. Generating a first process on the substrate to be processed;
And generating a plasma in the processing vessel and performing a second process on the substrate to be processed in a state in which a second DC voltage is applied to the electrode for adjusting the plasma sheath region. Plasma treatment method.
基材と、その表面にセラミックスの溶射によって形成された被膜とを有し、
前記被膜を構成するセラミックスは、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含み、その少なくとも一部分が樹脂によって封孔処理されていることを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface by spraying ceramics,
The ceramic constituting the coating contains at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce, and Nd, at least a portion of which is made of resin. The ring member according to claim 5, wherein a sealing process is performed.
基材と、その表面にセラミックスの溶射によって形成された被膜とを有し、
前記被膜は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分が樹脂によって封孔処理されていることを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface by spraying ceramics,
A first ceramic layer made of a ceramic containing at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd; A second ceramic layer made of a ceramic containing at least one element selected from the group consisting of Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce, and Nd; The ring member according to claim 5, wherein at least a part of at least one of the ceramic layers (2) is sealed with a resin.
前記樹脂は、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択されたものであることを特徴とする請求項10記載のリング部材。   The ring member according to claim 10, wherein the resin is selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA. 基材と、その表面にセラミックスの溶射によって形成された被膜とを有し、
前記被膜を構成するセラミックスは、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含み、その少なくとも一部分がゾルゲル法によって封孔処理されていることを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface by spraying ceramics,
The ceramic constituting the coating contains at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd, at least a part of which is a sol-gel method. 6. The ring member according to claim 5, wherein the ring member is sealed.
基材と、その表面にセラミックスの溶射によって形成された被膜とを有し、
前記被膜は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分がゾルゲル法によって封孔処理されていることを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface by spraying ceramics,
A first ceramic layer made of a ceramic containing at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd; A second ceramic layer made of a ceramic containing at least one element selected from the group consisting of Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce, and Nd; The ring member according to claim 5, wherein at least a part of at least one of the ceramic layers (2) is sealed by a sol-gel method.
前記封孔処理は、周期律表第3a族に属する元素から選択されたものを用いて行うことを特徴とする請求項13記載のリング部材。   14. The ring member according to claim 13, wherein the sealing treatment is performed using an element selected from elements belonging to Group 3a of the periodic table. 前記セラミックスは、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種であることを特徴とする請求項10記載のリング部材。   The ceramic is at least one selected from the group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3 and Nd2O3. The ring member according to claim 10, wherein 基材と、その表面に形成された被膜とを有し、
前記被膜は、セラミックスの溶射によって形成された主層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された元素を含むセラミックスからなるバリアコート層とを有することを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface thereof,
The coating comprises a main layer formed by spraying ceramics, and a barrier made of ceramics containing an element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. The ring member according to claim 5, further comprising a coat layer.
前記バリアコート層は、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスで構成されていることを特徴とする請求項17記載のリング部材。   The barrier coat layer is made of at least one ceramic selected from the group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3 and Nd2O3. The ring member according to claim 17, wherein the ring member is configured. 前記バリアコート層は、少なくともその一部が樹脂によって封孔処理された溶射被膜であることを特徴とする請求項17記載のリング部材。   18. The ring member according to claim 17, wherein at least a part of the barrier coat layer is a thermal spray coating that has been sealed with a resin. 前記樹脂は、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択されたものであることを特徴とする請求項19記載のリング部材。   20. The ring member according to claim 19, wherein the resin is selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA. 前記バリアコート層は、少なくともその一部がゾルゲル法によって封孔処理された溶射被膜であることを特徴とする請求項17記載のリング部材。   18. The ring member according to claim 17, wherein at least a part of the barrier coat layer is a thermal spray coating that has been subjected to a sealing treatment by a sol-gel method. 前記封孔処理は、周期律表第3a族に属する元素から選択されたものを用いて行うことを特徴とする請求項21に記載のリング部材。   22. The ring member according to claim 21, wherein the sealing treatment is performed using an element selected from elements belonging to Group 3a of the periodic table. 基材と、その表面に形成された被膜とを有し、
前記被膜は、セラミックスの溶射によって形成された主層と、前記基材と前記主層との間に形成されたエンジニアリングプラスチックからなるバリアコート層とを有することを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface thereof,
The ring according to claim 5, wherein the coating has a main layer formed by spraying ceramics, and a barrier coat layer made of engineering plastic formed between the base material and the main layer. Element.
前記エンジニアリングプラスチックは、PTFE、PI、PAI、PEI、PBI、PFA、PPS、POMの群から選択されるプラスチックであることを特徴とする請求項23記載のリング部材。   The ring member according to claim 23, wherein the engineering plastic is a plastic selected from the group consisting of PTFE, PI, PAI, PEI, PBI, PFA, PPS, and POM. 前記主層は、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスで構成されていることを特徴とする請求項23記載のリング部材。   The main layer is composed of at least one ceramic selected from the group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3 and Nd2O3. The ring member according to claim 23, wherein the ring member is formed. 基材と、その表面に形成された被膜とを有し、
前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなり、前記被膜の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface thereof,
6. The coating according to claim 5, wherein the coating is made of a ceramic containing at least one element belonging to Group 3a of the periodic table, and at least a part of the coating is hydrated with steam or high-temperature water. Ring member.
基材と、その表面に形成された被膜とを有し、
前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface thereof,
The coating is a first ceramic layer made of a ceramic containing at least one element belonging to Group 3a of the periodic table, and a second ceramic layer made of a ceramic containing at least one element belonging to Group 3a of the periodic table. 6. The ring member according to claim 5, wherein at least a part of at least one of the first and second ceramic layers is hydrated with steam or high-temperature water. 7.
前記被膜は、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜であることを特徴とする請求項26記載のリング部材。   The ring member according to claim 26, wherein the coating is a thermal spray coating formed by thermal spraying or a thin film formed by a thin film forming technique. 前記被膜を構成するセラミックスは、Y2O3、CeO2、Ce2O3、Nd2O3から選択されたものであることを特徴とする請求項26記載のリング部材。   27. The ring member according to claim 26, wherein the ceramic constituting the coating is selected from Y2O3, CeO2, Ce2O3, and Nd2O3. 基材と、その表面に形成された被膜とを有し、
前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、セラミックスの溶射で形成された第2セラミックス層とを有し、前記第1セラミックス層の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface thereof,
The coating has a first ceramics layer made of ceramics containing at least one element belonging to Group 3a of the periodic table, and a second ceramics layer formed by spraying ceramics. The ring member according to claim 5, wherein at least a part of the ring member is hydrated with steam or high-temperature water.
前記第1セラミックス層は、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜であることを特徴とする請求項30に記載のリング部材。   The ring member according to claim 30, wherein the first ceramics layer is a thermal spray coating formed by thermal spraying or a thin film formed by a thin film forming technique. 前記第1セラミックス層を構成するセラミックスは、Y2O3、CeO2、Ce2O3、Nd2O3から選択されたものであることを特徴とする請求項30記載のリング部材。   31. The ring member according to claim 30, wherein the ceramics forming the first ceramics layer is selected from Y2O3, CeO2, Ce2O3, and Nd2O3. 前記第2セラミックス層は、B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3およびNd2O3からなる群から選択された少なくとも1種のセラミックスで構成されていることを特徴とする請求項30記載のリング部材。   The second ceramic layer is at least one ceramic selected from the group consisting of B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3, and Nd2O3. 31. The ring member according to claim 30, comprising: 基材と、その表面に形成された被膜とを有し、
前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含む水酸化物からなる水酸化物層を有することを特徴とする請求項5記載のリング部材。
Having a substrate and a coating formed on the surface thereof,
The ring member according to claim 5, wherein the coating has a hydroxide layer made of a hydroxide containing at least one element belonging to Group 3a of the periodic table.
前記水酸化物層は、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜であることを特徴とする請求項34に記載のリング部材。   35. The ring member according to claim 34, wherein the hydroxide layer is a thermal spray coating formed by thermal spraying or a thin film formed by a thin film forming technique. 前記水酸化物層を構成する水酸化物は、Y(OH)3、Ce(OH)3、Nd(OH)3から選択されたものであることを特徴とする請求項34記載のリング部材。   35. The ring member according to claim 34, wherein the hydroxide constituting the hydroxide layer is selected from Y (OH) 3, Ce (OH) 3, and Nd (OH) 3. 前記水酸化物層は少なくともその一部が封孔処理されていることを特徴とする請求項34記載のリング部材。   35. The ring member according to claim 34, wherein at least a part of the hydroxide layer is subjected to a sealing treatment. 前記基材と前記被膜との間に、陽極酸化被膜を有することを特徴とする請求項10記載のリング部材。   The ring member according to claim 10, further comprising an anodic oxide coating between the base material and the coating. 前記陽極酸化被膜は、金属塩水溶液により封孔処理されていることを特徴とする請求項38に記載のリング部材。   39. The ring member according to claim 38, wherein the anodized film is sealed with an aqueous metal salt solution. 前記陽極酸化被膜は、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択された樹脂により封孔処理されていることを特徴とする請求項38に記載のリング部材。   The ring member according to claim 38, wherein the anodic oxide coating is sealed with a resin selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA. 周期律表第3a族に属する少なくとも1種の元素を含むセラミックス焼結体からなり、その少なくとも一部が蒸気または高温水によって水化処理されていることを特徴とする請求項5記載のリング部材。   The ring member according to claim 5, comprising a ceramic sintered body containing at least one element belonging to Group 3a of the periodic table, at least a part of which is hydrated with steam or high-temperature water. . 前記セラミックス焼結体は、Y2O3、CeO2、Ce2O3、Nd2O3から選択されたセラミックスを水化処理したものであることを特徴とする請求項41に記載のリング部材。   The ring member according to claim 41, wherein the ceramic sintered body is obtained by subjecting a ceramic selected from Y2O3, CeO2, Ce2O3, and Nd2O3 to a hydration treatment. 周期律表第3a族に属する少なくとも1種の元素を含む水酸化物を含むセラミックス焼結体からなることを特徴とする請求項5記載のリング部材。   The ring member according to claim 5, comprising a ceramic sintered body containing a hydroxide containing at least one element belonging to Group 3a of the periodic table. 前記セラミックス焼結体に含まれる水酸化物は、Y(OH)3、Ce(OH)3、Nd(OH)3から選択されたものであることを特徴とする請求項43に記載のリング部材。

The ring member according to claim 43, wherein the hydroxide contained in the ceramic sintered body is selected from Y (OH) 3, Ce (OH) 3, and Nd (OH) 3. .

JP2004032218A 2003-02-07 2004-02-09 Plasma processing equipment Expired - Lifetime JP4486372B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004032218A JP4486372B2 (en) 2003-02-07 2004-02-09 Plasma processing equipment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003031278 2003-02-07
JP2004032218A JP4486372B2 (en) 2003-02-07 2004-02-09 Plasma processing equipment

Publications (3)

Publication Number Publication Date
JP2004260159A true JP2004260159A (en) 2004-09-16
JP2004260159A5 JP2004260159A5 (en) 2007-03-29
JP4486372B2 JP4486372B2 (en) 2010-06-23

Family

ID=33133779

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004032218A Expired - Lifetime JP4486372B2 (en) 2003-02-07 2004-02-09 Plasma processing equipment

Country Status (1)

Country Link
JP (1) JP4486372B2 (en)

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006130434A (en) * 2004-11-08 2006-05-25 Tokyo Electron Ltd Washing method of ceramic thermal spray member, program for carrying out the method, storage medium, and ceramic thermal spray member
JP2007059567A (en) * 2005-08-24 2007-03-08 Hitachi High-Technologies Corp Plasma treatment apparatus
JP2007067353A (en) * 2005-09-02 2007-03-15 Tokyo Electron Ltd Annular component for plasma treatment, plasma treatment device and external annular member
JP2007080912A (en) * 2005-09-12 2007-03-29 Matsushita Electric Ind Co Ltd Plasma processing apparatus, electrode member for plasma processing apparatus, process for producing electrode member and method for recycling it
JP2007115973A (en) * 2005-10-21 2007-05-10 Shin Etsu Chem Co Ltd Corrosion resistant member
JP2007243020A (en) * 2006-03-10 2007-09-20 Hitachi High-Technologies Corp Plasma treatment device
JP2007258417A (en) * 2006-03-23 2007-10-04 Tokyo Electron Ltd Plasma treatment method
WO2007111058A1 (en) * 2006-03-27 2007-10-04 Tohoku University Structural member for plasma treatment system and method for manufacture thereof
JP2007313468A (en) * 2006-05-29 2007-12-06 Hitachi High-Tech Instruments Co Ltd Plasma cleaning apparatus
JP2008117857A (en) * 2006-11-01 2008-05-22 Sekisui Chem Co Ltd Surface processing apparatus
JP2008147659A (en) * 2006-12-11 2008-06-26 Tokyo Electron Ltd Method and system for controlling uniformity in ballistic electron beam accelerating plasma processing system
JP2008177493A (en) * 2007-01-22 2008-07-31 Tokyo Electron Ltd Substrate processing apparatus and focus ring
JP2008227063A (en) * 2007-03-12 2008-09-25 Tokyo Electron Ltd Plasma treatment equipment and plasma distribution correcting method
JP2008251764A (en) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp Plasma treatment equipment
JP2008255001A (en) * 2007-03-12 2008-10-23 Ngk Insulators Ltd Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP2009239222A (en) * 2008-03-28 2009-10-15 Tokyo Electron Ltd Plasma etching apparatus, plasma etching method and computer-readable storage medium
JP2010135838A (en) * 2010-02-22 2010-06-17 Tokyo Electron Ltd Apparatus and method for plasma treatment
JP2010283028A (en) * 2009-06-02 2010-12-16 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method, and program
WO2011043223A1 (en) * 2009-10-09 2011-04-14 東京エレクトロン株式会社 Actuator element and sheet-like actuator
JP2011255371A (en) * 2010-06-09 2011-12-22 General Electric Co <Ge> Unit for feeding electric power, plasma spraying system, and method using plasma spraying system
WO2012029561A1 (en) * 2010-08-31 2012-03-08 株式会社新川 Plasma generating apparatus
JP2012109608A (en) * 2012-02-20 2012-06-07 Tokyo Electron Ltd Plasma processing apparatus, method and focus ring
JP2012523123A (en) * 2009-04-03 2012-09-27 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド Improved etching and deposition profile control using plasma sheath engineering
KR101191543B1 (en) 2010-08-09 2012-10-15 주식회사 케이씨텍 Apparatus to Plate Substrate
KR101217460B1 (en) * 2010-11-11 2013-01-02 주식회사 케이씨텍 Apparatus to Plate Substrate
US8357265B2 (en) 2006-05-15 2013-01-22 Ulvac, Inc. Cleaning method and a vacuum processing apparatus
US8414735B2 (en) 2005-09-02 2013-04-09 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US8506753B2 (en) 2005-03-31 2013-08-13 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
JP2016028379A (en) * 2014-07-10 2016-02-25 東京エレクトロン株式会社 Plasma processing device part, plasma processing device, and method for manufacturing part for plasma processing device
WO2017116130A1 (en) * 2015-12-31 2017-07-06 ㈜코미코 Plasma resistant coating film and formation method therefor
WO2017163472A1 (en) * 2016-03-23 2017-09-28 株式会社栗田製作所 Plasma processing method and plasma processing device
TWI622081B (en) * 2015-05-28 2018-04-21 日立全球先端科技股份有限公司 Plasma processing apparatus and plasma processing method
WO2019244700A1 (en) * 2018-06-22 2019-12-26 東京エレクトロン株式会社 Plasma processing device and plasma etching method
WO2020017566A1 (en) * 2018-07-18 2020-01-23 日本発條株式会社 Member for plasma processing device
CN111095499A (en) * 2018-05-15 2020-05-01 东京毅力科创株式会社 Method for forming member and plasma processing apparatus
CN112736015A (en) * 2020-12-31 2021-04-30 拓荆科技股份有限公司 Apparatus for adjusting plasma profile in a processing chamber and method for controlling the same
WO2022054837A1 (en) * 2020-09-09 2022-03-17 三菱マテリアル株式会社 Plasma-resistant coating film, sol gel liquid for forming said film, method for forming plasma-resistant coating film, and substrate with plasma-resistant coating film
JP2022045344A (en) * 2020-09-08 2022-03-18 セメス カンパニー,リミテッド Substrate treating device and cover ring thereof
JP2022089158A (en) * 2020-12-03 2022-06-15 ピーエスケー インコーポレイテッド Supporting unit, substrate processing apparatus, and substrate processing method
KR20220093089A (en) 2020-12-24 2022-07-05 도카로 가부시키가이샤 Electrostatic chucks and processing devices
CN117238743A (en) * 2023-11-10 2023-12-15 合肥晶合集成电路股份有限公司 Method for improving annular defect of wafer edge
JP7401313B2 (en) 2019-01-11 2023-12-19 東京エレクトロン株式会社 Treatment method and plasma treatment equipment
US11955360B2 (en) 2020-12-24 2024-04-09 Tocalo Co., Ltd. Electrostatic chuck and processing apparatus

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111383887A (en) * 2018-12-27 2020-07-07 江苏鲁汶仪器有限公司 Device and method for improving plasma etching uniformity

Cited By (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1792474A (en) * 2004-11-08 2006-06-28 东京毅力科创株式会社 Ceramic sprayed member-cleaning method, program for implementing the method, storage medium storing the program, and ceramic sprayed member
JP2006130434A (en) * 2004-11-08 2006-05-25 Tokyo Electron Ltd Washing method of ceramic thermal spray member, program for carrying out the method, storage medium, and ceramic thermal spray member
CN1792474B (en) * 2004-11-08 2010-10-13 东京毅力科创株式会社 Ceramic sprayed member-cleaning method
JP4666576B2 (en) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 Method for cleaning ceramic sprayed member, program for executing the method, storage medium, and ceramic sprayed member
US7942975B2 (en) 2004-11-08 2011-05-17 Tokyo Electron Limited Ceramic sprayed member-cleaning method
US9607866B2 (en) 2005-03-31 2017-03-28 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US10529596B2 (en) 2005-03-31 2020-01-07 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US8506753B2 (en) 2005-03-31 2013-08-13 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US8926790B2 (en) 2005-08-24 2015-01-06 Hitachi High-Technologies Corporation Plasma processing apparatus
JP2007059567A (en) * 2005-08-24 2007-03-08 Hitachi High-Technologies Corp Plasma treatment apparatus
JP4628900B2 (en) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ Plasma processing equipment
US8414735B2 (en) 2005-09-02 2013-04-09 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
JP2007067353A (en) * 2005-09-02 2007-03-15 Tokyo Electron Ltd Annular component for plasma treatment, plasma treatment device and external annular member
JP2007080912A (en) * 2005-09-12 2007-03-29 Matsushita Electric Ind Co Ltd Plasma processing apparatus, electrode member for plasma processing apparatus, process for producing electrode member and method for recycling it
JP4508054B2 (en) * 2005-09-12 2010-07-21 パナソニック株式会社 Method for manufacturing electrode member
JP2007115973A (en) * 2005-10-21 2007-05-10 Shin Etsu Chem Co Ltd Corrosion resistant member
JP2007243020A (en) * 2006-03-10 2007-09-20 Hitachi High-Technologies Corp Plasma treatment device
JP2007258417A (en) * 2006-03-23 2007-10-04 Tokyo Electron Ltd Plasma treatment method
WO2007111058A1 (en) * 2006-03-27 2007-10-04 Tohoku University Structural member for plasma treatment system and method for manufacture thereof
JP2007258634A (en) * 2006-03-27 2007-10-04 Tohoku Univ Member for plasma processor and method for manufacturing the same
JP5335421B2 (en) * 2006-05-15 2013-11-06 株式会社アルバック Vacuum processing equipment
US8357265B2 (en) 2006-05-15 2013-01-22 Ulvac, Inc. Cleaning method and a vacuum processing apparatus
JP2007313468A (en) * 2006-05-29 2007-12-06 Hitachi High-Tech Instruments Co Ltd Plasma cleaning apparatus
JP2008117857A (en) * 2006-11-01 2008-05-22 Sekisui Chem Co Ltd Surface processing apparatus
JP4733616B2 (en) * 2006-11-01 2011-07-27 積水化学工業株式会社 Surface treatment equipment
JP2008147659A (en) * 2006-12-11 2008-06-26 Tokyo Electron Ltd Method and system for controlling uniformity in ballistic electron beam accelerating plasma processing system
JP2008177493A (en) * 2007-01-22 2008-07-31 Tokyo Electron Ltd Substrate processing apparatus and focus ring
JP2008255001A (en) * 2007-03-12 2008-10-23 Ngk Insulators Ltd Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
KR101457215B1 (en) 2007-03-12 2014-10-31 엔지케이 인슐레이터 엘티디 Yttrium oxide-containing material, component of semiconductor manufacturing equipment, amd method of producing yttrium oxide-containing material
JP2008227063A (en) * 2007-03-12 2008-09-25 Tokyo Electron Ltd Plasma treatment equipment and plasma distribution correcting method
JP2012232897A (en) * 2007-03-12 2012-11-29 Ngk Insulators Ltd Yttrium oxide-containing material, member of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
US8343306B2 (en) 2007-03-12 2013-01-01 Tokyo Electron Limited Plasma processing apparatus and method of plasma distribution correction
JP2008251764A (en) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp Plasma treatment equipment
JP2009239222A (en) * 2008-03-28 2009-10-15 Tokyo Electron Ltd Plasma etching apparatus, plasma etching method and computer-readable storage medium
JP2012523123A (en) * 2009-04-03 2012-09-27 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド Improved etching and deposition profile control using plasma sheath engineering
JP2010283028A (en) * 2009-06-02 2010-12-16 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method, and program
WO2011043223A1 (en) * 2009-10-09 2011-04-14 東京エレクトロン株式会社 Actuator element and sheet-like actuator
JP2010135838A (en) * 2010-02-22 2010-06-17 Tokyo Electron Ltd Apparatus and method for plasma treatment
JP2011255371A (en) * 2010-06-09 2011-12-22 General Electric Co <Ge> Unit for feeding electric power, plasma spraying system, and method using plasma spraying system
CN102395242A (en) * 2010-06-09 2012-03-28 通用电气公司 Power delivery unit, plasma spray system, and method of using plasma spray system
KR101191543B1 (en) 2010-08-09 2012-10-15 주식회사 케이씨텍 Apparatus to Plate Substrate
JP2012054333A (en) * 2010-08-31 2012-03-15 Shinkawa Ltd Surface cleaning device
WO2012029561A1 (en) * 2010-08-31 2012-03-08 株式会社新川 Plasma generating apparatus
KR101217460B1 (en) * 2010-11-11 2013-01-02 주식회사 케이씨텍 Apparatus to Plate Substrate
JP2012109608A (en) * 2012-02-20 2012-06-07 Tokyo Electron Ltd Plasma processing apparatus, method and focus ring
JP2016028379A (en) * 2014-07-10 2016-02-25 東京エレクトロン株式会社 Plasma processing device part, plasma processing device, and method for manufacturing part for plasma processing device
TWI622081B (en) * 2015-05-28 2018-04-21 日立全球先端科技股份有限公司 Plasma processing apparatus and plasma processing method
WO2017116130A1 (en) * 2015-12-31 2017-07-06 ㈜코미코 Plasma resistant coating film and formation method therefor
WO2017163472A1 (en) * 2016-03-23 2017-09-28 株式会社栗田製作所 Plasma processing method and plasma processing device
JP2017174586A (en) * 2016-03-23 2017-09-28 株式会社栗田製作所 Plasma processing method and plasma processing device
CN111095499A (en) * 2018-05-15 2020-05-01 东京毅力科创株式会社 Method for forming member and plasma processing apparatus
WO2019244700A1 (en) * 2018-06-22 2019-12-26 東京エレクトロン株式会社 Plasma processing device and plasma etching method
JP7090149B2 (en) 2018-06-22 2022-06-23 東京エレクトロン株式会社 Plasma processing equipment and plasma etching method
JPWO2019244700A1 (en) * 2018-06-22 2021-07-08 東京エレクトロン株式会社 Plasma processing equipment and plasma etching method
CN112514540A (en) * 2018-07-18 2021-03-16 日本发条株式会社 Component for plasma processing apparatus
KR20210021065A (en) * 2018-07-18 2021-02-24 닛폰 하츠죠 가부시키가이샤 Members for plasma processing equipment
JPWO2020017566A1 (en) * 2018-07-18 2021-08-26 日本発條株式会社 Parts for plasma processing equipment
TWI741320B (en) * 2018-07-18 2021-10-01 日商日本發條股份有限公司 Components for plasma processing equipment
EP3826435A4 (en) * 2018-07-18 2022-03-16 NHK Spring Co., Ltd. Member for plasma processing device
WO2020017566A1 (en) * 2018-07-18 2020-01-23 日本発條株式会社 Member for plasma processing device
JP7346412B2 (en) 2018-07-18 2023-09-19 日本発條株式会社 Method for manufacturing parts for plasma processing equipment
KR102459750B1 (en) * 2018-07-18 2022-10-26 닛폰 하츠죠 가부시키가이샤 member for plasma processing device
JP7401313B2 (en) 2019-01-11 2023-12-19 東京エレクトロン株式会社 Treatment method and plasma treatment equipment
JP7190546B2 (en) 2020-09-08 2022-12-15 セメス カンパニー,リミテッド Substrate processing equipment and its covering
JP2022045344A (en) * 2020-09-08 2022-03-18 セメス カンパニー,リミテッド Substrate treating device and cover ring thereof
TWI823137B (en) * 2020-09-08 2023-11-21 南韓商細美事有限公司 Substrate treating apparatus, cover ring thereof and method for manufacturing the cover ring
WO2022054837A1 (en) * 2020-09-09 2022-03-17 三菱マテリアル株式会社 Plasma-resistant coating film, sol gel liquid for forming said film, method for forming plasma-resistant coating film, and substrate with plasma-resistant coating film
JP7361410B2 (en) 2020-12-03 2023-10-16 ピーエスケー インコーポレイテッド Support unit, substrate processing equipment, and substrate processing method
JP2022089158A (en) * 2020-12-03 2022-06-15 ピーエスケー インコーポレイテッド Supporting unit, substrate processing apparatus, and substrate processing method
KR20220093089A (en) 2020-12-24 2022-07-05 도카로 가부시키가이샤 Electrostatic chucks and processing devices
KR102626584B1 (en) 2020-12-24 2024-01-18 도카로 가부시키가이샤 Electrostatic chucks and handling devices
US11955360B2 (en) 2020-12-24 2024-04-09 Tocalo Co., Ltd. Electrostatic chuck and processing apparatus
CN112736015A (en) * 2020-12-31 2021-04-30 拓荆科技股份有限公司 Apparatus for adjusting plasma profile in a processing chamber and method for controlling the same
CN117238743A (en) * 2023-11-10 2023-12-15 合肥晶合集成电路股份有限公司 Method for improving annular defect of wafer edge
CN117238743B (en) * 2023-11-10 2024-02-09 合肥晶合集成电路股份有限公司 Method for improving annular defect of wafer edge

Also Published As

Publication number Publication date
JP4486372B2 (en) 2010-06-23

Similar Documents

Publication Publication Date Title
JP4486372B2 (en) Plasma processing equipment
KR100540051B1 (en) Plasma processing apparatus, ring member and plasma processing method
US8877002B2 (en) Internal member of a plasma processing vessel
JP4503270B2 (en) Inside the plasma processing vessel
US10385459B2 (en) Advanced layered bulk ceramics via field assisted sintering technology
US9828690B2 (en) Component of substrate processing apparatus and method for forming a film thereon
CN111333420A (en) Rare earth oxide based monolithic chamber materials
TWI752545B (en) Substrate processing chamber and method using ceramic coated quartz lid
JP4666576B2 (en) Method for cleaning ceramic sprayed member, program for executing the method, storage medium, and ceramic sprayed member
US9780037B2 (en) Method of processing target object
JP2017508891A (en) Diffusion bonded plasma resistant chemical vapor deposition (CVD) chamber heater
US20090203219A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
CN109801827B (en) Plasma processing apparatus
JPH05114582A (en) Vacuum processor
WO2005054543A1 (en) Cleaning method
JP2006339678A (en) Plasma processor and electrode member
JP2006261518A (en) Method for removing moisture in vacuum container, program for exeucting the method, and storage medium
JP2023533730A (en) Process kit with protective ceramic coating for hydrogen and NH3 plasma applications
WO2023003658A1 (en) Reactive cleaning of substrate support
CN116791086A (en) Plasma corrosion resistant coating structure and preparation method thereof

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070208

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090303

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090507

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090811

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091013

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100309

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100326

R150 Certificate of patent or registration of utility model

Ref document number: 4486372

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130402

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160402

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250